diff --git a/c_project/Makefile b/c_project/Makefile deleted file mode 100644 index 2ca229c..0000000 --- a/c_project/Makefile +++ /dev/null @@ -1,140 +0,0 @@ -# Color definitions ------------------------------- - -NO_COLOR="\033[0m" -RED="\033[38;5;009m" -GREEN="\033[38;5;010m" -YELLOW="\033[38;5;011m" -ORANGE="\033[38;5;214m" -LIGHTPURPLE="\033[38;5;177m" -PURPLE="\033[38;5;135m" -CYAN="\033[38;5;014m" -LIGHTBLUE="\033[38;5;39m" -BLUE="\033[38;5;75m" -DARKBLUE="\033[38;5;33m" -LIGHTGRAY="\033[38;5;252m" -DARKGRAY="\033[38;5;242m" -BRIGHTRED="\033[91m" -BOLD="\033[1m" - -# PATHS (adapt to your system)---------------------- - -PATH_RISCV=/opt/riscv/ -PATH_RISC_BIN=$(PATH_RISCV)bin/ -PATH_VERILATOR_BIN?=/usr/local/bin/verilator -PREFIX?=$(PATH_RISC_BIN)riscv64-unknown-elf - -# TOOLS -------------------------------------------- - -AR?=$(PREFIX)-ar -GCC?=$(PREFIX)-gcc -CLANG=clang -GDB?=$(PREFIX)-gdb -OBJDUMP?=$(PREFIX)-objdump -OBJCOPY?=$(PREFIX)-objcopy -RISCVPATH=$(PATH_RISCV)riscv64-unknown-elf -OPENOCD?=$(PATH_RISC_BIN)openocd -ECHO?=echo - -# GCC FLAGS --------------------------------------- - -CC=$(GCC) - -GCC_CFLAGS_COMMON := -g \ - -O3 \ - -fno-builtin-printf \ - -Wno-unused-parameter \ - -Wall -Wextra -Wredundant-decls \ - -Wshadow -Wno-unused-function \ - -fno-common \ - -I$(RISCVPATH)/include - -GCC_CFLAGS_MURAX=-fstrict-volatile-bitfields --specs=nosys.specs - -RISCV_ARCH?=rv32im -RISCV_ABI?=ilp32 -RISCV_CMODEL?=medany -RISCV_ARCHFLAGS +=-march=$(RISCV_ARCH) -RISCV_ARCHFLAGS +=-mabi=$(RISCV_ABI) -RISCV_ARCHFLAGS +=-mcmodel=$(RISCV_CMODEL) -GCC_RISCV_ARCHFLAGS=$(RISCV_ARCHFLAGS) - -CFLAGS += $(GCC_CFLAGS_COMMON) \ - $(GCC_CFLAGS_MURAX) \ - $(GCC_RISCV_ARCHFLAGS) - -# Linker flags -------------------------------------- - -PLATFORM ?= pqvexriscvsim - -LDSCRIPT = $(PLATFORM).ld -LDFLAGS = -L$(RISCVPATH)/lib/rv32imac/ilp32 -LDFLAGS += $(GCC_RISCV_ARCHFLAGS) -LDFLAGS += --specs=nosys.specs -LDFLAGS += -Wl,-T$(LDSCRIPT) -LDFLAGS += -nostartfiles -ffreestanding -Wl,--gc-sections -LDFLAGS += -L. -LDFLAGS += -Wl,--start-group -l$(PLATFORM)bsp -lc -Wl,--end-group - -# Object files -------------------------------------- - -SDK_ASM_SRCS := $(wildcard *.S) -SDK_C_SRCS := $(wildcard *.c) -SDK_C_OBJS := $(SDK_C_SRCS:.c=.o) -SDK_ASM_OBJS := $(SDK_ASM_SRCS:.S=.o) -SDK_OBJS := $(SDK_C_OBJS) $(SDK_ASM_OBJS) - -# Target all ---------------------------------------- - -.PHONY: all -all: main.hex main.bin - -# LIBWRAP - -include vexriscv/vexriscv.mk - -# Targets -------------------------------------------- - -main.bin: main - @$(ECHO) $(PURPLE)"obj "$@""$(LIGHTGRAY) - $(OBJCOPY) -O binary $< $@ - -main.hex: main - @$(ECHO) $(PURPLE)"obj "$@""$(LIGHTGRAY) - $(OBJCOPY) -O ihex $< $@ - -main: $(LIBWRAP) $(SDK_OBJS) $(LDSCRIPT) - @$(ECHO) $(LIGHTPURPLE)"building "$@""$(LIGHTGRAY) - $(GCC) $(GCC_RISCV_ARCHFLAGS) $(GCC_CFLAGS_COMMON) $(SDK_OBJS) -o $@ $(LDFLAGS) - -%.o: %.S - @$(ECHO) $(ORANGE)"building "$@" (.S)"$(LIGHTGRAY) - $(CC) $(CFLAGS) -c -o $@ $^ - -%.o: %.c - @$(ECHO) $(ORANGE)"building "$@" (.c)"$(LIGHTGRAY) - $(CC) $(CFLAGS) -c -o $@ $^ - -.PHONY: clean -clean: - @$(ECHO) $(RED)"cleaning..."$(LIGHTGRAY) - rm -f main main.bin main.hex $(SDK_OBJS) $(LIBWRAP_OBJS) $(LIBWRAP) - -help: - @$(ECHO) $(RED)"Compile & Simulate"$(LIGHTGRAY) - @$(ECHO) $(LIGHTGRAY)"1. "$(CYAN)"make"$(LIGHTGRAY) - @$(ECHO) $(LIGHTGRAY)"2. open 3 terminals"$(LIGHTGRAY) - @$(ECHO) $(LIGHTGRAY)"3. Terminal 2: "$(CYAN)"make startsim"$(LIGHTGRAY) - @$(ECHO) $(LIGHTGRAY)"4. Terminal 3: "$(CYAN)"make openocd"$(LIGHTGRAY) - @$(ECHO) $(LIGHTGRAY)"5. Terminal 1: "$(CYAN)"make flash"$(LIGHTGRAY) - -# Targets for starting simulation, openocd and gdb ---------------------------- - -# startsim: -# cd ../Building_Tools/pqriscv-vexriscv/ ; sbt "runMain mupq.PQVexRiscvSim --ram 256,128" - -# openocd: -# cd ../Building_Tools/pqriscv-vexriscv/ ; /opt/verilator/bin/openocd --file pqvexriscvsim.cfg - -#flash: -# /opt/riscv/bin/riscv64-unknown-elf-gdb -ex 'set remotetimeout 15' -ex 'target remote :3333' -ex 'load' -ex 'break main' -ex 'continue' main - diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/Makefile b/c_project/bsp/vexriscv/Makefile similarity index 100% rename from c_project_sim_and_ulx3s/bsp/vexriscv/Makefile rename to c_project/bsp/vexriscv/Makefile diff --git a/c_project/vexriscv/init.c b/c_project/bsp/vexriscv/init.c similarity index 100% rename from c_project/vexriscv/init.c rename to c_project/bsp/vexriscv/init.c diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/libpqvexriscvup5kbsp.a b/c_project/bsp/vexriscv/libpqvexriscvup5kbsp.a similarity index 100% rename from c_project_sim_and_ulx3s/bsp/vexriscv/libpqvexriscvup5kbsp.a rename to c_project/bsp/vexriscv/libpqvexriscvup5kbsp.a diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/main.c b/c_project/bsp/vexriscv/main.c similarity index 100% rename from c_project_sim_and_ulx3s/bsp/vexriscv/main.c rename to c_project/bsp/vexriscv/main.c diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/murax.ld b/c_project/bsp/vexriscv/murax.ld similarity index 100% rename from c_project_sim_and_ulx3s/bsp/vexriscv/murax.ld rename to c_project/bsp/vexriscv/murax.ld diff --git a/c_project/nonvolatile.ld b/c_project/bsp/vexriscv/nonvolatile.ld similarity index 100% rename from c_project/nonvolatile.ld rename to c_project/bsp/vexriscv/nonvolatile.ld diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/pqvexriscvicoboard.ld b/c_project/bsp/vexriscv/pqvexriscvicoboard.ld similarity index 100% rename from c_project_sim_and_ulx3s/bsp/vexriscv/pqvexriscvicoboard.ld rename to c_project/bsp/vexriscv/pqvexriscvicoboard.ld diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/pqvexriscvsim.ld b/c_project/bsp/vexriscv/pqvexriscvsim.ld similarity index 100% rename from c_project_sim_and_ulx3s/bsp/vexriscv/pqvexriscvsim.ld rename to c_project/bsp/vexriscv/pqvexriscvsim.ld diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/pqvexriscvsimhuge.ld b/c_project/bsp/vexriscv/pqvexriscvsimhuge.ld similarity index 100% rename from c_project_sim_and_ulx3s/bsp/vexriscv/pqvexriscvsimhuge.ld rename to c_project/bsp/vexriscv/pqvexriscvsimhuge.ld diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/pqvexriscvup5k.ld b/c_project/bsp/vexriscv/pqvexriscvup5k.ld similarity index 100% rename from c_project_sim_and_ulx3s/bsp/vexriscv/pqvexriscvup5k.ld rename to c_project/bsp/vexriscv/pqvexriscvup5k.ld diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/pqvexriscvup5k_init.o b/c_project/bsp/vexriscv/pqvexriscvup5k_init.o similarity index 100% rename from c_project_sim_and_ulx3s/bsp/vexriscv/pqvexriscvup5k_init.o rename to c_project/bsp/vexriscv/pqvexriscvup5k_init.o diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/pqvexriscvup5k_start.o b/c_project/bsp/vexriscv/pqvexriscvup5k_start.o similarity index 100% rename from c_project_sim_and_ulx3s/bsp/vexriscv/pqvexriscvup5k_start.o rename to c_project/bsp/vexriscv/pqvexriscvup5k_start.o diff --git a/c_project/vexriscv/start.S b/c_project/bsp/vexriscv/start.S similarity index 100% rename from c_project/vexriscv/start.S rename to c_project/bsp/vexriscv/start.S diff --git a/c_project/vexriscv/volatile-split.ld b/c_project/bsp/vexriscv/volatile-split.ld similarity index 100% rename from c_project/vexriscv/volatile-split.ld rename to c_project/bsp/vexriscv/volatile-split.ld diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/volatile.ld b/c_project/bsp/vexriscv/volatile.ld similarity index 100% rename from c_project_sim_and_ulx3s/bsp/vexriscv/volatile.ld rename to c_project/bsp/vexriscv/volatile.ld diff --git a/c_project/vexriscv/weak_under_alias.h b/c_project/bsp/vexriscv/weak_under_alias.h similarity index 100% rename from c_project/vexriscv/weak_under_alias.h rename to c_project/bsp/vexriscv/weak_under_alias.h diff --git a/c_project_sim_and_ulx3s/compile_command.txt b/c_project/compile_command.txt similarity index 100% rename from c_project_sim_and_ulx3s/compile_command.txt rename to c_project/compile_command.txt diff --git a/c_project/crt.S b/c_project/crt.S deleted file mode 100644 index adfc5ab..0000000 --- a/c_project/crt.S +++ /dev/null @@ -1,97 +0,0 @@ -# .global crtStart -# .global main -# .global irqCallback - -# crtStart: -# j crtInit -# nop -# nop -# nop -# nop -# nop -# nop -# nop - -# .global trap_entry -# trap_entry: -# sw x1, - 1*4(sp) -# sw x5, - 2*4(sp) -# sw x6, - 3*4(sp) -# sw x7, - 4*4(sp) -# sw x10, - 5*4(sp) -# sw x11, - 6*4(sp) -# sw x12, - 7*4(sp) -# sw x13, - 8*4(sp) -# sw x14, - 9*4(sp) -# sw x15, -10*4(sp) -# sw x16, -11*4(sp) -# sw x17, -12*4(sp) -# sw x28, -13*4(sp) -# sw x29, -14*4(sp) -# sw x30, -15*4(sp) -# sw x31, -16*4(sp) -# addi sp,sp,-16*4 -# call irqCallback -# lw x1 , 15*4(sp) -# lw x5, 14*4(sp) -# lw x6, 13*4(sp) -# lw x7, 12*4(sp) -# lw x10, 11*4(sp) -# lw x11, 10*4(sp) -# lw x12, 9*4(sp) -# lw x13, 8*4(sp) -# lw x14, 7*4(sp) -# lw x15, 6*4(sp) -# lw x16, 5*4(sp) -# lw x17, 4*4(sp) -# lw x28, 3*4(sp) -# lw x29, 2*4(sp) -# lw x30, 1*4(sp) -# lw x31, 0*4(sp) -# addi sp,sp,16*4 -# mret -# .text - - -# crtInit: -# .option push -# .option norelax -# la gp, __global_pointer$ -# .option pop -# la sp, _stack_start - -# bss_init: -# la a0, _bss_start -# la a1, _bss_end -# bss_loop: -# beq a0,a1,bss_done -# sw zero,0(a0) -# add a0,a0,4 -# j bss_loop -# bss_done: - -# ctors_init: -# la a0, _ctors_start -# addi sp,sp,-4 -# ctors_loop: -# la a1, _ctors_end -# beq a0,a1,ctors_done -# lw a3,0(a0) -# add a0,a0,4 -# sw a0,0(sp) -# jalr a3 -# lw a0,0(sp) -# j ctors_loop -# ctors_done: -# addi sp,sp,4 - - -# li a0, 0x880 //880 enable timer + external interrupts -# csrw mie,a0 -# li a0, 0x1808 //1808 enable interrupts -# csrw mstatus,a0 - -# call main -# infinitLoop: -# j infinitLoop - diff --git a/c_project/crt.o b/c_project/crt.o deleted file mode 100644 index 7838fdd..0000000 Binary files a/c_project/crt.o and /dev/null differ diff --git a/c_project/hal-vexriscv.o b/c_project/hal-vexriscv.o deleted file mode 100644 index 389a3ad..0000000 Binary files a/c_project/hal-vexriscv.o and /dev/null differ diff --git a/c_project/hal.o b/c_project/hal.o deleted file mode 100644 index 03dff69..0000000 Binary files a/c_project/hal.o and /dev/null differ diff --git a/c_project/libpqvexriscvsimbsp.a b/c_project/libpqvexriscvsimbsp.a deleted file mode 100644 index fe6b4e0..0000000 Binary files a/c_project/libpqvexriscvsimbsp.a and /dev/null differ diff --git a/c_project_sim_and_ulx3s/libpqvexriscvup5kbsp.a b/c_project/libpqvexriscvup5kbsp.a similarity index 100% rename from c_project_sim_and_ulx3s/libpqvexriscvup5kbsp.a rename to c_project/libpqvexriscvup5kbsp.a diff --git a/c_project_sim_and_ulx3s/main.d b/c_project/main.d similarity index 100% rename from c_project_sim_and_ulx3s/main.d rename to c_project/main.d diff --git a/c_project_sim_and_ulx3s/main.elf b/c_project/main.elf similarity index 83% rename from c_project_sim_and_ulx3s/main.elf rename to c_project/main.elf index 0cd5bf5..10978c7 100755 Binary files a/c_project_sim_and_ulx3s/main.elf and b/c_project/main.elf differ diff --git a/c_project/main.o b/c_project/main.o deleted file mode 100644 index a4b9ea5..0000000 Binary files a/c_project/main.o and /dev/null differ diff --git a/c_project/memcmp.o b/c_project/memcmp.o deleted file mode 100644 index 6428b39..0000000 Binary files a/c_project/memcmp.o and /dev/null differ diff --git a/c_project/memcpy.o b/c_project/memcpy.o deleted file mode 100644 index 68be95b..0000000 Binary files a/c_project/memcpy.o and /dev/null differ diff --git a/c_project/memset.o b/c_project/memset.o deleted file mode 100644 index 9c9bb76..0000000 Binary files a/c_project/memset.o and /dev/null differ diff --git a/c_project/pqvexriscvsim.ld b/c_project/pqvexriscvsim.ld deleted file mode 100644 index 229954b..0000000 --- a/c_project/pqvexriscvsim.ld +++ /dev/null @@ -1,14 +0,0 @@ -OUTPUT_FORMAT("elf32-littleriscv", "elf32-littleriscv", "elf32-littleriscv") -OUTPUT_ARCH(riscv) - -ENTRY( _start ) - -__ram_size = 128K; - -MEMORY -{ - rom (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 64K - ram (wxa!ri) : ORIGIN = 0x80020000, LENGTH = 64K -} - -INCLUDE volatile-split.ld diff --git a/c_project_sim_and_ulx3s/pqvexriscvup5k.ld b/c_project/pqvexriscvup5k.ld similarity index 100% rename from c_project_sim_and_ulx3s/pqvexriscvup5k.ld rename to c_project/pqvexriscvup5k.ld diff --git a/c_project/printf.o b/c_project/printf.o deleted file mode 100644 index d45eeec..0000000 Binary files a/c_project/printf.o and /dev/null differ diff --git a/c_project/vexriscv/nonvolatile.ld b/c_project/vexriscv/nonvolatile.ld deleted file mode 100644 index 0809fad..0000000 --- a/c_project/vexriscv/nonvolatile.ld +++ /dev/null @@ -1,141 +0,0 @@ -/* SECTIONS for non-volatile chip configuration, i.e. chips with flash */ - -SECTIONS -{ - .init : - { - KEEP (*(SORT_NONE(.init))) - } >rom - - .text : - { - *(.text.unlikely .text.unlikely.*) - *(.text.startup .text.startup.*) - *(.text .text.*) - *(.gnu.linkonce.t.*) - } >rom - - .fini : - { - KEEP (*(SORT_NONE(.fini))) - } >rom - - PROVIDE (__etext = .); - PROVIDE (_etext = .); - PROVIDE (etext = .); - - .rodata : - { - *(.rdata) - *(.rodata .rodata.*) - *(.gnu.linkonce.r.*) - . = ALIGN(8); - *(.srodata.cst16) - *(.srodata.cst8) - *(.srodata.cst4) - *(.srodata.cst2) - *(.srodata .srodata.*) - } >rom - - . = ALIGN(4); - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >rom - - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) - KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) - PROVIDE_HIDDEN (__init_array_end = .); - } >rom - - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) - KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) - PROVIDE_HIDDEN (__fini_array_end = .); - } >rom - - .ctors : - { - /* gcc uses crtbegin.o to find the start of - the constructors, so we make sure it is - first. Because this is a wildcard, it - doesn't matter if the user does not - actually link against crtbegin.o; the - linker won't look for a file to match a - wildcard. The wildcard also means that it - doesn't matter which directory crtbegin.o - is in. */ - KEEP (*crtbegin.o(.ctors)) - KEEP (*crtbegin?.o(.ctors)) - /* We don't want to include the .ctor section from - the crtend.o file until after the sorted ctors. - The .ctor section from the crtend file contains the - end of ctors marker and it must be last */ - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) - KEEP (*(SORT(.ctors.*))) - KEEP (*(.ctors)) - } >rom - - .dtors : - { - KEEP (*crtbegin.o(.dtors)) - KEEP (*crtbegin?.o(.dtors)) - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) - KEEP (*(SORT(.dtors.*))) - KEEP (*(.dtors)) - } >rom - - .lalign : - { - . = ALIGN(4); - } >rom - - .dalign : - { - . = ALIGN(4); - } >ram AT>rom - - .data : - { - PROVIDE( _data = . ); - *(.data .data.*) - *(.gnu.linkonce.d.*) - . = ALIGN(8); - PROVIDE( __global_pointer$ = . + 0x800 ); - *(.sdata .sdata.*) - *(.gnu.linkonce.s.*) - } >ram AT>rom - - PROVIDE( _data_lma = LOADADDR(.data) ); - - . = ALIGN(4); - PROVIDE( _edata = . ); - PROVIDE( edata = . ); - - PROVIDE( _fbss = . ); - PROVIDE( __bss_start = . ); - .bss : - { - *(.sbss*) - *(.gnu.linkonce.sb.*) - *(.bss .bss.*) - *(.gnu.linkonce.b.*) - *(COMMON) - . = ALIGN(4); - } >ram - - . = ALIGN(8); - PROVIDE( _end = . ); - PROVIDE( end = . ); -} - -PROVIDE(_sp = ORIGIN(ram) + LENGTH(ram)); -PROVIDE(_heap_end = ORIGIN(ram) + LENGTH(ram)); diff --git a/c_project/vexriscv/pqvexriscvsim.ld b/c_project/vexriscv/pqvexriscvsim.ld deleted file mode 100644 index 229954b..0000000 --- a/c_project/vexriscv/pqvexriscvsim.ld +++ /dev/null @@ -1,14 +0,0 @@ -OUTPUT_FORMAT("elf32-littleriscv", "elf32-littleriscv", "elf32-littleriscv") -OUTPUT_ARCH(riscv) - -ENTRY( _start ) - -__ram_size = 128K; - -MEMORY -{ - rom (wxa!ri) : ORIGIN = 0x80000000, LENGTH = 64K - ram (wxa!ri) : ORIGIN = 0x80020000, LENGTH = 64K -} - -INCLUDE volatile-split.ld diff --git a/c_project/vexriscv/pqvexriscvsim_init.o b/c_project/vexriscv/pqvexriscvsim_init.o deleted file mode 100644 index b1eecb8..0000000 Binary files a/c_project/vexriscv/pqvexriscvsim_init.o and /dev/null differ diff --git a/c_project/vexriscv/pqvexriscvsim_start.o b/c_project/vexriscv/pqvexriscvsim_start.o deleted file mode 100644 index cfe93fa..0000000 Binary files a/c_project/vexriscv/pqvexriscvsim_start.o and /dev/null differ diff --git a/c_project/vexriscv/vexriscv.mk b/c_project/vexriscv/vexriscv.mk deleted file mode 100644 index 6c238df..0000000 --- a/c_project/vexriscv/vexriscv.mk +++ /dev/null @@ -1,45 +0,0 @@ -PLATFORM ?= murax - -LIBWRAP_CFLAGS += -Os -Wall -Wextra -LIBWRAP_CFLAGS += $(GCC_RISCV_ARCHFLAGS) -fstrict-volatile-bitfields --specs=nosys.specs - -ifeq ($(PLATFORM),murax) -LIBWRAP_CFLAGS += -DVEXRISCV_VOLATILE -endif - -ifeq ($(PLATFORM),pqvexriscvup5k) -LIBWRAP_CFLAGS += -DVEXRISCV_VOLATILE -DVEXRISCV_RWMTVEC -endif - -ifeq ($(PLATFORM),pqvexriscvicoboard) -LIBWRAP_CFLAGS += -DVEXRISCV_VOLATILE -DVEXRISCV_RWMTVEC -endif - -ifeq ($(PLATFORM),pqvexriscvsim) -LIBWRAP_CFLAGS += -DVEXRISCV_RWMTVEC -endif - -LIBWRAP_DIR := $(dir $(lastword $(MAKEFILE_LIST))) - -LIBWRAP_SRCS_C = init.c -LIBWRAP_SRCS_ASM = start.s - -LIBWRAP_OBJS_ = $(LIBWRAP_SRCS_C:.c=.o) $(LIBWRAP_SRCS_ASM:.s=.o) -LIBWRAP_OBJS = $(addprefix $(LIBWRAP_DIR)$(PLATFORM)_,$(LIBWRAP_OBJS_)) - -LIBWRAP = lib$(PLATFORM)bsp.a - -$(LIBWRAP): $(LIBWRAP_OBJS) - @$(ECHO) $(LIGHTBLUE)"building "$@""$(LIGHTGRAY) - $(AR) rcs $@ $^ - -$(LIBWRAP_DIR)$(PLATFORM)_%.o: $(LIBWRAP_DIR)%.c - @$(ECHO) $(DARKBLUE)" "$(LIBWRAP_DIR)" "$(LIGHTGRAY) - @$(ECHO) $(DARKBLUE)"building "$@" (.c)"$(LIGHTGRAY) - $(GCC) $(LIBWRAP_CFLAGS) -c -o $@ $< - -$(LIBWRAP_DIR)$(PLATFORM)_%.o: $(LIBWRAP_DIR)%.S - @$(ECHO) $(DARKBLUE)"building "$@" (.S)"$(LIGHTGRAY) - $(GCC) $(LIBWRAP_CFLAGS) -c -o $@ $< - - diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/init.c b/c_project_sim_and_ulx3s/bsp/vexriscv/init.c deleted file mode 100644 index 29eb940..0000000 --- a/c_project_sim_and_ulx3s/bsp/vexriscv/init.c +++ /dev/null @@ -1,8 +0,0 @@ -#include "weak_under_alias.h" - -void __weak__init() {} - -void __weak__fini() {} - -weak_under_alias(_init); -weak_under_alias(_fini); diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/nonvolatile.ld b/c_project_sim_and_ulx3s/bsp/vexriscv/nonvolatile.ld deleted file mode 100644 index 0809fad..0000000 --- a/c_project_sim_and_ulx3s/bsp/vexriscv/nonvolatile.ld +++ /dev/null @@ -1,141 +0,0 @@ -/* SECTIONS for non-volatile chip configuration, i.e. chips with flash */ - -SECTIONS -{ - .init : - { - KEEP (*(SORT_NONE(.init))) - } >rom - - .text : - { - *(.text.unlikely .text.unlikely.*) - *(.text.startup .text.startup.*) - *(.text .text.*) - *(.gnu.linkonce.t.*) - } >rom - - .fini : - { - KEEP (*(SORT_NONE(.fini))) - } >rom - - PROVIDE (__etext = .); - PROVIDE (_etext = .); - PROVIDE (etext = .); - - .rodata : - { - *(.rdata) - *(.rodata .rodata.*) - *(.gnu.linkonce.r.*) - . = ALIGN(8); - *(.srodata.cst16) - *(.srodata.cst8) - *(.srodata.cst4) - *(.srodata.cst2) - *(.srodata .srodata.*) - } >rom - - . = ALIGN(4); - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >rom - - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) - KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) - PROVIDE_HIDDEN (__init_array_end = .); - } >rom - - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) - KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) - PROVIDE_HIDDEN (__fini_array_end = .); - } >rom - - .ctors : - { - /* gcc uses crtbegin.o to find the start of - the constructors, so we make sure it is - first. Because this is a wildcard, it - doesn't matter if the user does not - actually link against crtbegin.o; the - linker won't look for a file to match a - wildcard. The wildcard also means that it - doesn't matter which directory crtbegin.o - is in. */ - KEEP (*crtbegin.o(.ctors)) - KEEP (*crtbegin?.o(.ctors)) - /* We don't want to include the .ctor section from - the crtend.o file until after the sorted ctors. - The .ctor section from the crtend file contains the - end of ctors marker and it must be last */ - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) - KEEP (*(SORT(.ctors.*))) - KEEP (*(.ctors)) - } >rom - - .dtors : - { - KEEP (*crtbegin.o(.dtors)) - KEEP (*crtbegin?.o(.dtors)) - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) - KEEP (*(SORT(.dtors.*))) - KEEP (*(.dtors)) - } >rom - - .lalign : - { - . = ALIGN(4); - } >rom - - .dalign : - { - . = ALIGN(4); - } >ram AT>rom - - .data : - { - PROVIDE( _data = . ); - *(.data .data.*) - *(.gnu.linkonce.d.*) - . = ALIGN(8); - PROVIDE( __global_pointer$ = . + 0x800 ); - *(.sdata .sdata.*) - *(.gnu.linkonce.s.*) - } >ram AT>rom - - PROVIDE( _data_lma = LOADADDR(.data) ); - - . = ALIGN(4); - PROVIDE( _edata = . ); - PROVIDE( edata = . ); - - PROVIDE( _fbss = . ); - PROVIDE( __bss_start = . ); - .bss : - { - *(.sbss*) - *(.gnu.linkonce.sb.*) - *(.bss .bss.*) - *(.gnu.linkonce.b.*) - *(COMMON) - . = ALIGN(4); - } >ram - - . = ALIGN(8); - PROVIDE( _end = . ); - PROVIDE( end = . ); -} - -PROVIDE(_sp = ORIGIN(ram) + LENGTH(ram)); -PROVIDE(_heap_end = ORIGIN(ram) + LENGTH(ram)); diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/start.S b/c_project_sim_and_ulx3s/bsp/vexriscv/start.S deleted file mode 100644 index 105b7e8..0000000 --- a/c_project_sim_and_ulx3s/bsp/vexriscv/start.S +++ /dev/null @@ -1,156 +0,0 @@ - .section .init - .global _start - .type _start,@function -_start: -#ifndef VEXRISCV_RWMTVEC - j _crtInit - nop - nop - nop - nop - nop - nop - nop - j trap_entry -_crtInit: -#endif - .cfi_startproc - .cfi_undefined ra -.option push -.option norelax - la gp, __global_pointer$ -.option pop - la sp, _sp - -#ifndef VEXRISCV_VOLATILE - /* Load data section */ - la a0, _data_lma - la a1, _data - la a2, _edata - bgeu a1, a2, 2f -1: - lw t0, (a0) - sw t0, (a1) - addi a0, a0, 4 - addi a1, a1, 4 - bltu a1, a2, 1b -2: -#endif - - /* Clear bss section */ - la a0, __bss_start - la a1, _end - bgeu a0, a1, 2f -1: - sw zero, (a0) - addi a0, a0, 4 - bltu a0, a1, 1b -2: - - /* Call global constructors */ - la a0, __libc_fini_array - call atexit - call __libc_init_array - - auipc ra, 0 - addi sp, sp, -16 - sw ra, 8(sp) - - /* Enable Interrupts and set trap vector */ -#ifndef VEXRISCV_RWMTVEC - la a0, trap_entry - csrw mtvec, a0 -#endif - li a0, 0x880 //880 enable timer + external interrupts - csrw mie, a0 - li a0, 0x1808 //1808 enable interrupts - csrw mstatus, a0 - - /* argc = argv = 0 */ - li a0, 0 - li a1, 0 - call main - tail exit -1: - j 1b - .cfi_endproc - - .align 4 - .weak trap_entry - .global trap_entry -trap_entry: - addi sp, sp, -32*4 - - sw x1, 1*4(sp) - sw x2, 2*4(sp) - sw x3, 3*4(sp) - sw x4, 4*4(sp) - sw x5, 5*4(sp) - sw x6, 6*4(sp) - sw x7, 7*4(sp) - sw x8, 8*4(sp) - sw x9, 9*4(sp) - sw x10, 10*4(sp) - sw x11, 11*4(sp) - sw x12, 12*4(sp) - sw x13, 13*4(sp) - sw x14, 14*4(sp) - sw x15, 15*4(sp) - sw x16, 16*4(sp) - sw x17, 17*4(sp) - sw x18, 18*4(sp) - sw x19, 19*4(sp) - sw x20, 20*4(sp) - sw x21, 21*4(sp) - sw x22, 22*4(sp) - sw x23, 23*4(sp) - sw x24, 24*4(sp) - sw x25, 25*4(sp) - sw x26, 26*4(sp) - sw x27, 27*4(sp) - sw x28, 28*4(sp) - sw x29, 29*4(sp) - sw x30, 30*4(sp) - sw x31, 31*4(sp) - - call irqCallback - - lw x1, 1*4(sp) - lw x2, 2*4(sp) - lw x3, 3*4(sp) - lw x4, 4*4(sp) - lw x5, 5*4(sp) - lw x6, 6*4(sp) - lw x7, 7*4(sp) - lw x8, 8*4(sp) - lw x9, 9*4(sp) - lw x10, 10*4(sp) - lw x11, 11*4(sp) - lw x12, 12*4(sp) - lw x13, 13*4(sp) - lw x14, 14*4(sp) - lw x15, 15*4(sp) - lw x16, 16*4(sp) - lw x17, 17*4(sp) - lw x18, 18*4(sp) - lw x19, 19*4(sp) - lw x20, 20*4(sp) - lw x21, 21*4(sp) - lw x22, 22*4(sp) - lw x23, 23*4(sp) - lw x24, 24*4(sp) - lw x25, 25*4(sp) - lw x26, 26*4(sp) - lw x27, 27*4(sp) - lw x28, 28*4(sp) - lw x29, 29*4(sp) - lw x30, 30*4(sp) - lw x31, 31*4(sp) - - addi sp, sp, 32*4 - mret - - .weak irqCallback -irqCallback: -1: - j 1b diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/volatile-split.ld b/c_project_sim_and_ulx3s/bsp/vexriscv/volatile-split.ld deleted file mode 100644 index 00d8d89..0000000 --- a/c_project_sim_and_ulx3s/bsp/vexriscv/volatile-split.ld +++ /dev/null @@ -1,137 +0,0 @@ -/* SECTIONS for volatile chip configuration, i.e. chips without flash */ - -SECTIONS -{ - .init : - { - KEEP (*(SORT_NONE(.init))) - } >rom - - .text : - { - *(.text.unlikely .text.unlikely.*) - *(.text.startup .text.startup.*) - *(.text .text.*) - *(.gnu.linkonce.t.*) - } >rom - - .fini : - { - KEEP (*(SORT_NONE(.fini))) - } >rom - - PROVIDE (__etext = .); - PROVIDE (_etext = .); - PROVIDE (etext = .); - - . = ALIGN(4); - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >rom - - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) - KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) - PROVIDE_HIDDEN (__init_array_end = .); - } >rom - - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) - KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) - PROVIDE_HIDDEN (__fini_array_end = .); - } >rom - - .ctors : - { - /* gcc uses crtbegin.o to find the start of - the constructors, so we make sure it is - first. Because this is a wildcard, it - doesn't matter if the user does not - actually link against crtbegin.o; the - linker won't look for a file to match a - wildcard. The wildcard also means that it - doesn't matter which directory crtbegin.o - is in. */ - KEEP (*crtbegin.o(.ctors)) - KEEP (*crtbegin?.o(.ctors)) - /* We don't want to include the .ctor section from - the crtend.o file until after the sorted ctors. - The .ctor section from the crtend file contains the - end of ctors marker and it must be last */ - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) - KEEP (*(SORT(.ctors.*))) - KEEP (*(.ctors)) - } >rom - - .dtors : - { - KEEP (*crtbegin.o(.dtors)) - KEEP (*crtbegin?.o(.dtors)) - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) - KEEP (*(SORT(.dtors.*))) - KEEP (*(.dtors)) - } >rom - - .dalign : - { - . = ALIGN(4); - PROVIDE( _data = . ); - } >rom - - /* RODATA is usally in ROM, however in volatile configurations this doesn't - make a lot of sense. */ - .rodata : - { - *(.rdata) - *(.rodata .rodata.*) - *(.gnu.linkonce.r.*) - } >ram - - .data : - { - *(.data .data.*) - *(.gnu.linkonce.d.*) - . = ALIGN(8); - PROVIDE( __global_pointer$ = . + 0x800 ); - *(.sdata .sdata.*) - *(.gnu.linkonce.s.*) - . = ALIGN(8); - *(.srodata.cst16) - *(.srodata.cst8) - *(.srodata.cst4) - *(.srodata.cst2) - *(.srodata .srodata.*) - } >ram - - . = ALIGN(4); - PROVIDE( _edata = . ); - PROVIDE( edata = . ); - - PROVIDE( _fbss = . ); - PROVIDE( __bss_start = . ); - - .bss : - { - *(.sbss*) - *(.gnu.linkonce.sb.*) - *(.bss .bss.*) - *(.gnu.linkonce.b.*) - *(COMMON) - . = ALIGN(4); - } >ram - - . = ALIGN(8); - PROVIDE( _end = . ); - PROVIDE( end = . ); -} - -PROVIDE(_sp = ORIGIN(ram) + LENGTH(ram)); -PROVIDE(_heap_end = ORIGIN(ram) + LENGTH(ram)); diff --git a/c_project_sim_and_ulx3s/bsp/vexriscv/weak_under_alias.h b/c_project_sim_and_ulx3s/bsp/vexriscv/weak_under_alias.h deleted file mode 100644 index 4de2501..0000000 --- a/c_project_sim_and_ulx3s/bsp/vexriscv/weak_under_alias.h +++ /dev/null @@ -1,7 +0,0 @@ -#ifndef WEAK_UNDER_ALIAS_H -#define WEAK_UNDER_ALIAS_H - -#define weak_under_alias(name) \ - extern __typeof (__weak_##name) name __attribute__ ((weak, alias ("__weak_"#name))) - -#endif /* WEAK_UNDER_ALIAS_H */ diff --git a/c_project_sim_and_ulx3s/hal-vexriscv.c b/c_project_sim_and_ulx3s/hal-vexriscv.c deleted file mode 100644 index 29fa8e5..0000000 --- a/c_project_sim_and_ulx3s/hal-vexriscv.c +++ /dev/null @@ -1,60 +0,0 @@ -#include "hal.h" -#include - -/* Murax UART */ - -typedef struct { - volatile uint32_t DATA; - volatile uint32_t STATUS; - volatile uint32_t CLOCK_DIVIDER; - volatile uint32_t FRAME_CONFIG; -} Uart_Reg; - -enum UartParity { NONE = 0, EVEN = 1, ODD = 2 }; -enum UartStop { ONE = 0, TWO = 1 }; - -typedef struct { - uint32_t dataLength; - enum UartParity parity; - enum UartStop stop; - uint32_t clockDivider; -} Uart_Config; - -static uint32_t uart_writeAvailability(Uart_Reg* reg) -{ - return (reg->STATUS >> 16) & 0xFF; -} - -static void uart_write(Uart_Reg* reg, uint32_t data) -{ - while (uart_writeAvailability(reg) == 0) - ; - reg->DATA = data; -} - -#define UART ((Uart_Reg*)(0xF0010000)) - -void hal_send(const uint8_t* in, const size_t len) { - for (size_t i = 0; i < len; i++) { - uart_write(UART, in[i]); - } -} - -void hal_send_str(const char* in) -{ - const char* cur = in; - while(*cur) { - uart_write(UART, *cur); - cur += 1; - } -} - -__attribute__((naked)) uint64_t hal_get_time(void) -{ -#define LE "\n\t" - asm volatile (LE"csrr a1, mcycleh" - LE"csrr a0, mcycle" - LE"csrr a2, mcycleh" - LE"bne a1, a2, hal_get_time" - LE"ret"); -} diff --git a/c_project_sim_and_ulx3s/hal.c b/c_project_sim_and_ulx3s/hal.c deleted file mode 100644 index 2c43d0e..0000000 --- a/c_project_sim_and_ulx3s/hal.c +++ /dev/null @@ -1,21 +0,0 @@ -#include - -#include -#include - -#include -#undef errno -extern int errno; - -#include "hal.h" - -void _putchar(char c) { - hal_send((uint8_t*)&c, 1); -} - -void _write(int fd, const void* ptr, size_t len) { - // Don't care about the fd. Just put everything on the UART console. - (void)fd; - hal_send(ptr, len); -} - diff --git a/c_project_sim_and_ulx3s/hal.h b/c_project_sim_and_ulx3s/hal.h deleted file mode 100644 index 217bb5b..0000000 --- a/c_project_sim_and_ulx3s/hal.h +++ /dev/null @@ -1,18 +0,0 @@ -#ifndef VECRISCV_HAL_H_ -#define VECRISCV_HAL_H_ - -#include -#include -#include - -#define printf printf_ - -void hal_send(const uint8_t* in, const size_t len); -void hal_send_str(const char* in); - -int printf_(const char* format, ...); - -void _putchar(char c); -void _write(int fd, const void* ptr, size_t len); - -#endif /* VECRISCV_HAL_H_ */ diff --git a/c_project_sim_and_ulx3s/main.c b/c_project_sim_and_ulx3s/main.c deleted file mode 100644 index 7783f33..0000000 --- a/c_project_sim_and_ulx3s/main.c +++ /dev/null @@ -1,29 +0,0 @@ -#include -#include "hal.h" - -// typedef struct { -// volatile uint32_t state; -// } My_Mem; - -// #define MY_MEM ((My_Mem*)(0xF0030000)) - -int main(void) -{ - printf("HELLO WORLD\n"); - - uint32_t state = 10000; - // uint32_t state_return; - - while (1) - { - printf("State in : %i\n", state); - - // MY_MEM->state = state; - // state_return = MY_MEM->state; - - state = state + 1; - - printf("State out: %i\n", state); - } - return 0; -} diff --git a/c_project_sim_and_ulx3s/memcmp.c b/c_project_sim_and_ulx3s/memcmp.c deleted file mode 100644 index 2348afe..0000000 --- a/c_project_sim_and_ulx3s/memcmp.c +++ /dev/null @@ -1,16 +0,0 @@ -/* Public domain. */ -#include - -int -memcmp (const void *str1, const void *str2, size_t count) -{ - const unsigned char *s1 = str1; - const unsigned char *s2 = str2; - - while (count-- > 0) - { - if (*s1++ != *s2++) - return s1[-1] < s2[-1] ? -1 : 1; - } - return 0; -} diff --git a/c_project_sim_and_ulx3s/memcpy.c b/c_project_sim_and_ulx3s/memcpy.c deleted file mode 100644 index 39786ba..0000000 --- a/c_project_sim_and_ulx3s/memcpy.c +++ /dev/null @@ -1,61 +0,0 @@ -/* Public domain. */ -#include - -/* -** Copyright 2001, Travis Geiselbrecht. All rights reserved. -** Distributed under the terms of the NewOS License. -*/ -/* - * Copyright (c) 2008 Travis Geiselbrecht - * - * Permission is hereby granted, free of charge, to any person obtaining - * a copy of this software and associated documentation files - * (the "Software"), to deal in the Software without restriction, - * including without limitation the rights to use, copy, modify, merge, - * publish, distribute, sublicense, and/or sell copies of the Software, - * and to permit persons to whom the Software is furnished to do so, - * subject to the following conditions: - * - * The above copyright notice and this permission notice shall be - * included in all copies or substantial portions of the Software. - * - * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, - * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF - * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. - * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY - * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, - * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE - * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. - */ -#include -#include - -typedef long word; -#define lsize sizeof(word) -#define lmask (lsize - 1) -void *memcpy(void *dest, const void *src, size_t count) -{ - char *d = (char *)dest; - const char *s = (const char *)src; - int len; - if(count == 0 || dest == src) - return dest; - if(((long)d | (long)s) & lmask) { - // src and/or dest do not align on word boundary - if((((long)d ^ (long)s) & lmask) || (count < lsize)) - len = count; // copy the rest of the buffer with the byte mover - else - len = lsize - ((long)d & lmask); // move the ptrs up to a word boundary - count -= len; - for(; len > 0; len--) - *d++ = *s++; - } - for(len = count / lsize; len > 0; len--) { - *(word *)d = *(word *)s; - d += lsize; - s += lsize; - } - for(len = count & lmask; len > 0; len--) - *d++ = *s++; - return dest; -} diff --git a/c_project_sim_and_ulx3s/memset.c b/c_project_sim_and_ulx3s/memset.c deleted file mode 100644 index 86efb6d..0000000 --- a/c_project_sim_and_ulx3s/memset.c +++ /dev/null @@ -1,64 +0,0 @@ -/* -** Copyright 2005, Michael Noisternig. All rights reserved. -** Copyright 2001, Travis Geiselbrecht. All rights reserved. -** Distributed under the terms of the NewOS License. -*/ -/* - * Copyright (c) 2008 Travis Geiselbrecht - * - * Permission is hereby granted, free of charge, to any person obtaining - * a copy of this software and associated documentation files - * (the "Software"), to deal in the Software without restriction, - * including without limitation the rights to use, copy, modify, merge, - * publish, distribute, sublicense, and/or sell copies of the Software, - * and to permit persons to whom the Software is furnished to do so, - * subject to the following conditions: - * - * The above copyright notice and this permission notice shall be - * included in all copies or substantial portions of the Software. - * - * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, - * EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF - * MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. - * IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY - * CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, - * TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE - * SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. - */ -#include -#include - -// void * -// memset(void *s, int c, size_t count) -// { -// char *xs = (char *) s; -// // size_t len = (-(size_t)s) & (sizeof(size_t)-1); -// // int cc = c & 0xff; -// // if ( count > len ) { -// // count -= len; -// // cc |= cc << 8; -// // cc |= cc << 16; -// // // write to non-aligned memory byte-wise -// // for ( ; len > 0; len-- ) -// // *xs++ = c; -// // // write to aligned memory dword-wise -// // for ( len = count/sizeof(size_t); len > 0; len-- ) { -// // *((size_t *)xs) = cc; -// // xs += sizeof(size_t); -// // } -// // count &= sizeof(size_t)-1; -// // } -// // write remaining bytes -// for ( ; count > 0; count-- ) -// *xs++ = (char) c; -// return s; -// } - -void * -memset (void *dest, int val, size_t len) -{ - unsigned char *ptr = dest; - while (len-- > 0) - *ptr++ = (unsigned char) val; - return dest; -} \ No newline at end of file diff --git a/c_project_sim_and_ulx3s/printf.c b/c_project_sim_and_ulx3s/printf.c deleted file mode 100644 index 7bf7d74..0000000 --- a/c_project_sim_and_ulx3s/printf.c +++ /dev/null @@ -1,987 +0,0 @@ -/////////////////////////////////////////////////////////////////////////////// -// \author (c) Marco Paland (info@paland.com) -// 2014-2019, PALANDesign Hannover, Germany -// -// \license The MIT License (MIT) -// -// Permission is hereby granted, free of charge, to any person obtaining a copy -// of this software and associated documentation files (the "Software"), to deal -// in the Software without restriction, including without limitation the rights -// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -// copies of the Software, and to permit persons to whom the Software is -// furnished to do so, subject to the following conditions: -// -// The above copyright notice and this permission notice shall be included in -// all copies or substantial portions of the Software. -// -// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN -// THE SOFTWARE. -// -// \brief Tiny printf, sprintf and (v)snprintf implementation, optimized for speed on -// embedded systems with a very limited resources. These routines are thread -// safe and reentrant! -// Use this instead of the bloated standard/newlib printf cause these use -// malloc for printf (and may not be thread safe). -// -/////////////////////////////////////////////////////////////////////////////// - -#include -#include - -#include "printf.h" - - -// define this globally (e.g. gcc -DPRINTF_INCLUDE_CONFIG_H ...) to include the -// printf_config.h header file -// default: undefined -#ifdef PRINTF_INCLUDE_CONFIG_H -#include "printf_config.h" -#endif - - -// 'ntoa' conversion buffer size, this must be big enough to hold one converted -// numeric number including padded zeros (dynamically created on stack) -// default: 32 byte -#ifndef PRINTF_NTOA_BUFFER_SIZE -#define PRINTF_NTOA_BUFFER_SIZE 32U -#endif - -// 'ftoa' conversion buffer size, this must be big enough to hold one converted -// float number including padded zeros (dynamically created on stack) -// default: 32 byte -#ifndef PRINTF_FTOA_BUFFER_SIZE -#define PRINTF_FTOA_BUFFER_SIZE 32U -#endif - -// support for the floating point type (%f) -// default: activated -// #ifndef PRINTF_DISABLE_SUPPORT_FLOAT -// #define PRINTF_SUPPORT_FLOAT -// #endif - -// support for exponential floating point notation (%e/%g) -// default: activated -// #ifndef PRINTF_DISABLE_SUPPORT_EXPONENTIAL -// #define PRINTF_SUPPORT_EXPONENTIAL -// #endif - -// define the default floating point precision -// default: 6 digits -// #ifndef PRINTF_DEFAULT_FLOAT_PRECISION -// #define PRINTF_DEFAULT_FLOAT_PRECISION 6U -// #endif - -// define the largest float suitable to print with %f -// default: 1e9 -// #ifndef PRINTF_MAX_FLOAT -// #define PRINTF_MAX_FLOAT 1e9 -// #endif - -// support for the long long types (%llu or %p) -// default: activated -#ifndef PRINTF_DISABLE_SUPPORT_LONG_LONG -#define PRINTF_SUPPORT_LONG_LONG -#endif - -// support for the ptrdiff_t type (%t) -// ptrdiff_t is normally defined in as long or long long type -// default: activated -// #ifndef PRINTF_DISABLE_SUPPORT_PTRDIFF_T -// #define PRINTF_SUPPORT_PTRDIFF_T -// #endif - -/////////////////////////////////////////////////////////////////////////////// - -// internal flag definitions -#define FLAGS_ZEROPAD (1U << 0U) -#define FLAGS_LEFT (1U << 1U) -#define FLAGS_PLUS (1U << 2U) -#define FLAGS_SPACE (1U << 3U) -#define FLAGS_HASH (1U << 4U) -#define FLAGS_UPPERCASE (1U << 5U) -#define FLAGS_CHAR (1U << 6U) -#define FLAGS_SHORT (1U << 7U) -#define FLAGS_LONG (1U << 8U) -#define FLAGS_LONG_LONG (1U << 9U) -#define FLAGS_PRECISION (1U << 10U) -#define FLAGS_ADAPT_EXP (1U << 11U) - - -// import float.h for DBL_MAX -// #if defined(PRINTF_SUPPORT_FLOAT) -// #include -// #endif - - -// output function type -typedef void (*out_fct_type)(char character, void* buffer, size_t idx, size_t maxlen); - - -// wrapper (used as buffer) for output function type -typedef struct { - void (*fct)(char character, void* arg); - void* arg; -} out_fct_wrap_type; - - -// Sometimes div modulo is not available, we implement one with shift/and/or -static int divmod(int* Qptr, int* Rptr, const int N, const int D) { - if (D == 0) { - return -1; - } - - int Q = 0; - int R = 0; - for (int i = 8*sizeof(int) - 1; i >= 0; i--) { - R <<= 1; - R |= (N >> i) & 0x1; - if (R >= D) { - R -= D; - Q |= 1 << i; - } - } - *Qptr = Q; - *Rptr = R; - return 0; -} - -// Sometimes div modulo is not available, we implement one with shift/and/or -static int divmod_long_long(long long* Qptr, long long* Rptr, const long long N, const int D) { - if (D == 0) { - return -1; - } - - long long Q = 0; - long long R = 0; - for (long long i = 8*sizeof(long long) - 1; i >= 0; i--) { - R <<= 1; - R |= (N >> i) & 0x1; - if (R >= D) { - R -= D; - Q |= 1 << i; - } - } - *Qptr = Q; - *Rptr = R; - return 0; -} - - -// internal buffer output -static inline void _out_buffer(char character, void* buffer, size_t idx, size_t maxlen) -{ - if (idx < maxlen) { - ((char*)buffer)[idx] = character; - } -} - - -// internal null output -static inline void _out_null(char character, void* buffer, size_t idx, size_t maxlen) -{ - (void)character; (void)buffer; (void)idx; (void)maxlen; -} - - -// internal _putchar wrapper -static inline void _out_char(char character, void* buffer, size_t idx, size_t maxlen) -{ - (void)buffer; (void)idx; (void)maxlen; - if (character) { - _putchar(character); - } -} - - -// internal output function wrapper -static inline void _out_fct(char character, void* buffer, size_t idx, size_t maxlen) -{ - (void)idx; (void)maxlen; - if (character) { - // buffer is the output fct pointer - ((out_fct_wrap_type*)buffer)->fct(character, ((out_fct_wrap_type*)buffer)->arg); - } -} - - -// internal secure strlen -// \return The length of the string (excluding the terminating 0) limited by 'maxsize' -static inline unsigned int _strnlen_s(const char* str, size_t maxsize) -{ - const char* s; - for (s = str; *s && maxsize--; ++s); - return (unsigned int)(s - str); -} - - -// internal test if char is a digit (0-9) -// \return true if char is a digit -static inline bool _is_digit(char ch) -{ - return (ch >= '0') && (ch <= '9'); -} - - -// internal ASCII string to unsigned int conversion -static unsigned int _atoi(const char** str) -{ - unsigned int i = 0U; - while (_is_digit(**str)) { - i = i * 10U + (unsigned int)(*((*str)++) - '0'); - } - return i; -} - - -// output the specified string in reverse, taking care of any zero-padding -static size_t _out_rev(out_fct_type out, char* buffer, size_t idx, size_t maxlen, const char* buf, size_t len, unsigned int width, unsigned int flags) -{ - const size_t start_idx = idx; - - // pad spaces up to given width - if (!(flags & FLAGS_LEFT) && !(flags & FLAGS_ZEROPAD)) { - for (size_t i = len; i < width; i++) { - out(' ', buffer, idx++, maxlen); - } - } - - // reverse string - while (len) { - out(buf[--len], buffer, idx++, maxlen); - } - - // append pad spaces up to given width - if (flags & FLAGS_LEFT) { - while (idx - start_idx < width) { - out(' ', buffer, idx++, maxlen); - } - } - - return idx; -} - - -// internal itoa format -static size_t _ntoa_format(out_fct_type out, char* buffer, size_t idx, size_t maxlen, char* buf, size_t len, bool negative, unsigned int base, unsigned int prec, unsigned int width, unsigned int flags) -{ - // pad leading zeros - if (!(flags & FLAGS_LEFT)) { - if (width && (flags & FLAGS_ZEROPAD) && (negative || (flags & (FLAGS_PLUS | FLAGS_SPACE)))) { - width--; - } - while ((len < prec) && (len < PRINTF_NTOA_BUFFER_SIZE)) { - buf[len++] = '0'; - } - while ((flags & FLAGS_ZEROPAD) && (len < width) && (len < PRINTF_NTOA_BUFFER_SIZE)) { - buf[len++] = '0'; - } - } - - // handle hash - if (flags & FLAGS_HASH) { - if (!(flags & FLAGS_PRECISION) && len && ((len == prec) || (len == width))) { - len--; - if (len && (base == 16U)) { - len--; - } - } - if ((base == 16U) && !(flags & FLAGS_UPPERCASE) && (len < PRINTF_NTOA_BUFFER_SIZE)) { - buf[len++] = 'x'; - } - else if ((base == 16U) && (flags & FLAGS_UPPERCASE) && (len < PRINTF_NTOA_BUFFER_SIZE)) { - buf[len++] = 'X'; - } - else if ((base == 2U) && (len < PRINTF_NTOA_BUFFER_SIZE)) { - buf[len++] = 'b'; - } - if (len < PRINTF_NTOA_BUFFER_SIZE) { - buf[len++] = '0'; - } - } - - if (len < PRINTF_NTOA_BUFFER_SIZE) { - if (negative) { - buf[len++] = '-'; - } - else if (flags & FLAGS_PLUS) { - buf[len++] = '+'; // ignore the space if the '+' exists - } - else if (flags & FLAGS_SPACE) { - buf[len++] = ' '; - } - } - - return _out_rev(out, buffer, idx, maxlen, buf, len, width, flags); -} - - -// internal itoa for 'long' type -static size_t _ntoa_long(out_fct_type out, char* buffer, size_t idx, size_t maxlen, unsigned long value, bool negative, unsigned long base, unsigned int prec, unsigned int width, unsigned int flags) -{ - char buf[PRINTF_NTOA_BUFFER_SIZE]; - size_t len = 0U; - int q, r; - - // no hash for 0 values - if (!value) { - flags &= ~FLAGS_HASH; - } - - // write if precision != 0 and value is != 0 - if (!(flags & FLAGS_PRECISION) || value) { - q = 0, r = 0; - len = 0; - do { - divmod(&q, &r, value, base); - const char digit = (char)(r); - // buf[idx2++] = '0' + digit; - buf[len++] = digit < 10 ? '0' + digit : (flags & FLAGS_UPPERCASE ? 'A' : 'a') + digit - 10; - value = q; - } while (value && (len < PRINTF_NTOA_BUFFER_SIZE)); - // while (idx2 > 0) { - // _putchar(buf[--idx2]); - // written++; - // } - // idx++; - - - - // do { - // const char digit = (char)(value % base); - // buf[len++] = digit < 10 ? '0' + digit : (flags & FLAGS_UPPERCASE ? 'A' : 'a') + digit - 10; - // value /= base; - // } while (value && (len < PRINTF_NTOA_BUFFER_SIZE)); - } - - return _ntoa_format(out, buffer, idx, maxlen, buf, len, negative, (unsigned int)base, prec, width, flags); -} - - -// // internal itoa for 'long long' type -#if defined(PRINTF_SUPPORT_LONG_LONG) -static size_t _ntoa_long_long(out_fct_type out, char* buffer, size_t idx, size_t maxlen, unsigned long long value, bool negative, unsigned long long base, unsigned int prec, unsigned int width, unsigned int flags) -{ - char buf[PRINTF_NTOA_BUFFER_SIZE]; - size_t len = 0U; - long long q, r; - - // no hash for 0 values - if (!value) { - flags &= ~FLAGS_HASH; - } - - // write if precision != 0 and value is != 0 - if (!(flags & FLAGS_PRECISION) || value) { - q = 0, r = 0; - len = 0; - do { - divmod_long_long(&q, &r, value, base); - const char digit = (char)(r); - // buf[idx2++] = '0' + digit; - buf[len++] = digit < 10 ? '0' + digit : (flags & FLAGS_UPPERCASE ? 'A' : 'a') + digit - 10; - value = q; - } while (value && (len < PRINTF_NTOA_BUFFER_SIZE)); - - - // do { - // const char digit = (char)(value % base); - // buf[len++] = digit < 10 ? '0' + digit : (flags & FLAGS_UPPERCASE ? 'A' : 'a') + digit - 10; - // value /= base; - // } while (value && (len < PRINTF_NTOA_BUFFER_SIZE)); - } - - return _ntoa_format(out, buffer, idx, maxlen, buf, len, negative, (unsigned int)base, prec, width, flags); -} -#endif // PRINTF_SUPPORT_LONG_LONG - - -// #if defined(PRINTF_SUPPORT_FLOAT) -// -// #if defined(PRINTF_SUPPORT_EXPONENTIAL) -// // forward declaration so that _ftoa can switch to exp notation for values > PRINTF_MAX_FLOAT -// static size_t _etoa(out_fct_type out, char* buffer, size_t idx, size_t maxlen, double value, unsigned int prec, unsigned int width, unsigned int flags); -// #endif -// -// -// // internal ftoa for fixed decimal floating point -// static size_t _ftoa(out_fct_type out, char* buffer, size_t idx, size_t maxlen, double value, unsigned int prec, unsigned int width, unsigned int flags) -// { -// char buf[PRINTF_FTOA_BUFFER_SIZE]; -// size_t len = 0U; -// double diff = 0.0; -// -// // powers of 10 -// static const double pow10[] = { 1, 10, 100, 1000, 10000, 100000, 1000000, 10000000, 100000000, 1000000000 }; -// -// // test for special values -// if (value != value) -// return _out_rev(out, buffer, idx, maxlen, "nan", 3, width, flags); -// if (value < -DBL_MAX) -// return _out_rev(out, buffer, idx, maxlen, "fni-", 4, width, flags); -// if (value > DBL_MAX) -// return _out_rev(out, buffer, idx, maxlen, (flags & FLAGS_PLUS) ? "fni+" : "fni", (flags & FLAGS_PLUS) ? 4U : 3U, width, flags); -// -// // test for very large values -// // standard printf behavior is to print EVERY whole number digit -- which could be 100s of characters overflowing your buffers == bad -// if ((value > PRINTF_MAX_FLOAT) || (value < -PRINTF_MAX_FLOAT)) { -// #if defined(PRINTF_SUPPORT_EXPONENTIAL) -// return _etoa(out, buffer, idx, maxlen, value, prec, width, flags); -// #else -// return 0U; -// #endif -// } -// -// // test for negative -// bool negative = false; -// if (value < 0) { -// negative = true; -// value = 0 - value; -// } -// -// // set default precision, if not set explicitly -// if (!(flags & FLAGS_PRECISION)) { -// prec = PRINTF_DEFAULT_FLOAT_PRECISION; -// } -// // limit precision to 9, cause a prec >= 10 can lead to overflow errors -// while ((len < PRINTF_FTOA_BUFFER_SIZE) && (prec > 9U)) { -// buf[len++] = '0'; -// prec--; -// } -// -// int whole = (int)value; -// double tmp = (value - whole) * pow10[prec]; -// unsigned long frac = (unsigned long)tmp; -// diff = tmp - frac; -// -// if (diff > 0.5) { -// ++frac; -// // handle rollover, e.g. case 0.99 with prec 1 is 1.0 -// if (frac >= pow10[prec]) { -// frac = 0; -// ++whole; -// } -// } -// else if (diff < 0.5) { -// } -// else if ((frac == 0U) || (frac & 1U)) { -// // if halfway, round up if odd OR if last digit is 0 -// ++frac; -// } -// -// if (prec == 0U) { -// diff = value - (double)whole; -// if ((!(diff < 0.5) || (diff > 0.5)) && (whole & 1)) { -// // exactly 0.5 and ODD, then round up -// // 1.5 -> 2, but 2.5 -> 2 -// ++whole; -// } -// } -// else { -// unsigned int count = prec; -// // now do fractional part, as an unsigned number -// while (len < PRINTF_FTOA_BUFFER_SIZE) { -// --count; -// buf[len++] = (char)(48U + (frac % 10U)); -// if (!(frac /= 10U)) { -// break; -// } -// } -// // add extra 0s -// while ((len < PRINTF_FTOA_BUFFER_SIZE) && (count-- > 0U)) { -// buf[len++] = '0'; -// } -// if (len < PRINTF_FTOA_BUFFER_SIZE) { -// // add decimal -// buf[len++] = '.'; -// } -// } -// -// // do whole part, number is reversed -// while (len < PRINTF_FTOA_BUFFER_SIZE) { -// buf[len++] = (char)(48 + (whole % 10)); -// if (!(whole /= 10)) { -// break; -// } -// } -// -// // pad leading zeros -// if (!(flags & FLAGS_LEFT) && (flags & FLAGS_ZEROPAD)) { -// if (width && (negative || (flags & (FLAGS_PLUS | FLAGS_SPACE)))) { -// width--; -// } -// while ((len < width) && (len < PRINTF_FTOA_BUFFER_SIZE)) { -// buf[len++] = '0'; -// } -// } -// -// if (len < PRINTF_FTOA_BUFFER_SIZE) { -// if (negative) { -// buf[len++] = '-'; -// } -// else if (flags & FLAGS_PLUS) { -// buf[len++] = '+'; // ignore the space if the '+' exists -// } -// else if (flags & FLAGS_SPACE) { -// buf[len++] = ' '; -// } -// } -// -// return _out_rev(out, buffer, idx, maxlen, buf, len, width, flags); -// } -// -// -// #if defined(PRINTF_SUPPORT_EXPONENTIAL) -// // internal ftoa variant for exponential floating-point type, contributed by Martijn Jasperse -// static size_t _etoa(out_fct_type out, char* buffer, size_t idx, size_t maxlen, double value, unsigned int prec, unsigned int width, unsigned int flags) -// { -// // check for NaN and special values -// if ((value != value) || (value > DBL_MAX) || (value < -DBL_MAX)) { -// return _ftoa(out, buffer, idx, maxlen, value, prec, width, flags); -// } -// -// // determine the sign -// const bool negative = value < 0; -// if (negative) { -// value = -value; -// } -// -// // default precision -// if (!(flags & FLAGS_PRECISION)) { -// prec = PRINTF_DEFAULT_FLOAT_PRECISION; -// } -// -// // determine the decimal exponent -// // based on the algorithm by David Gay (https://www.ampl.com/netlib/fp/dtoa.c) -// union { -// uint64_t U; -// double F; -// } conv; -// -// conv.F = value; -// int exp2 = (int)((conv.U >> 52U) & 0x07FFU) - 1023; // effectively log2 -// conv.U = (conv.U & ((1ULL << 52U) - 1U)) | (1023ULL << 52U); // drop the exponent so conv.F is now in [1,2) -// // now approximate log10 from the log2 integer part and an expansion of ln around 1.5 -// int expval = (int)(0.1760912590558 + exp2 * 0.301029995663981 + (conv.F - 1.5) * 0.289529654602168); -// // now we want to compute 10^expval but we want to be sure it won't overflow -// exp2 = (int)(expval * 3.321928094887362 + 0.5); -// const double z = expval * 2.302585092994046 - exp2 * 0.6931471805599453; -// const double z2 = z * z; -// conv.U = (uint64_t)(exp2 + 1023) << 52U; -// // compute exp(z) using continued fractions, see https://en.wikipedia.org/wiki/Exponential_function#Continued_fractions_for_ex -// conv.F *= 1 + 2 * z / (2 - z + (z2 / (6 + (z2 / (10 + z2 / 14))))); -// // correct for rounding errors -// if (value < conv.F) { -// expval--; -// conv.F /= 10; -// } -// -// // the exponent format is "%+03d" and largest value is "307", so set aside 4-5 characters -// unsigned int minwidth = ((expval < 100) && (expval > -100)) ? 4U : 5U; -// -// // in "%g" mode, "prec" is the number of *significant figures* not decimals -// if (flags & FLAGS_ADAPT_EXP) { -// // do we want to fall-back to "%f" mode? -// if ((value >= 1e-4) && (value < 1e6)) { -// if ((int)prec > expval) { -// prec = (unsigned)((int)prec - expval - 1); -// } -// else { -// prec = 0; -// } -// flags |= FLAGS_PRECISION; // make sure _ftoa respects precision -// // no characters in exponent -// minwidth = 0U; -// expval = 0; -// } -// else { -// // we use one sigfig for the whole part -// if ((prec > 0) && (flags & FLAGS_PRECISION)) { -// --prec; -// } -// } -// } -// -// // will everything fit? -// unsigned int fwidth = width; -// if (width > minwidth) { -// // we didn't fall-back so subtract the characters required for the exponent -// fwidth -= minwidth; -// } else { -// // not enough characters, so go back to default sizing -// fwidth = 0U; -// } -// if ((flags & FLAGS_LEFT) && minwidth) { -// // if we're padding on the right, DON'T pad the floating part -// fwidth = 0U; -// } -// -// // rescale the float value -// if (expval) { -// value /= conv.F; -// } -// -// // output the floating part -// const size_t start_idx = idx; -// idx = _ftoa(out, buffer, idx, maxlen, negative ? -value : value, prec, fwidth, flags & ~FLAGS_ADAPT_EXP); -// -// // output the exponent part -// if (minwidth) { -// // output the exponential symbol -// out((flags & FLAGS_UPPERCASE) ? 'E' : 'e', buffer, idx++, maxlen); -// // output the exponent value -// idx = _ntoa_long(out, buffer, idx, maxlen, (expval < 0) ? -expval : expval, expval < 0, 10, 0, minwidth-1, FLAGS_ZEROPAD | FLAGS_PLUS); -// // might need to right-pad spaces -// if (flags & FLAGS_LEFT) { -// while (idx - start_idx < width) out(' ', buffer, idx++, maxlen); -// } -// } -// return idx; -// } -// #endif // PRINTF_SUPPORT_EXPONENTIAL -// #endif // PRINTF_SUPPORT_FLOAT - - -// internal vsnprintf -static int _vsnprintf(out_fct_type out, char* buffer, const size_t maxlen, const char* format, va_list va) -{ - unsigned int flags, width, precision, n; - size_t idx = 0U; - - if (!buffer) { - // use null output function - out = _out_null; - } - - while (*format) - { - // format specifier? %[flags][width][.precision][length] - if (*format != '%') { - // no - out(*format, buffer, idx++, maxlen); - format++; - continue; - } - else { - // yes, evaluate it - format++; - } - - // evaluate flags - flags = 0U; - do { - switch (*format) { - case '0': flags |= FLAGS_ZEROPAD; format++; n = 1U; break; - case '-': flags |= FLAGS_LEFT; format++; n = 1U; break; - case '+': flags |= FLAGS_PLUS; format++; n = 1U; break; - case ' ': flags |= FLAGS_SPACE; format++; n = 1U; break; - case '#': flags |= FLAGS_HASH; format++; n = 1U; break; - default : n = 0U; break; - } - } while (n); - - // evaluate width field - width = 0U; - if (_is_digit(*format)) { - width = _atoi(&format); - } - else if (*format == '*') { - const int w = va_arg(va, int); - if (w < 0) { - flags |= FLAGS_LEFT; // reverse padding - width = (unsigned int)-w; - } - else { - width = (unsigned int)w; - } - format++; - } - - // evaluate precision field - precision = 0U; - if (*format == '.') { - flags |= FLAGS_PRECISION; - format++; - if (_is_digit(*format)) { - precision = _atoi(&format); - } - else if (*format == '*') { - const int prec = (int)va_arg(va, int); - precision = prec > 0 ? (unsigned int)prec : 0U; - format++; - } - } - - // evaluate length field - switch (*format) { - case 'l' : - flags |= FLAGS_LONG; - format++; - if (*format == 'l') { - flags |= FLAGS_LONG_LONG; - format++; - } - break; - case 'h' : - flags |= FLAGS_SHORT; - format++; - if (*format == 'h') { - flags |= FLAGS_CHAR; - format++; - } - break; -// #if defined(PRINTF_SUPPORT_PTRDIFF_T) -// case 't' : -// flags |= (sizeof(ptrdiff_t) == sizeof(long) ? FLAGS_LONG : FLAGS_LONG_LONG); -// format++; -// break; -// #endif - case 'j' : - flags |= (sizeof(intmax_t) == sizeof(long) ? FLAGS_LONG : FLAGS_LONG_LONG); - format++; - break; - case 'z' : - flags |= (sizeof(size_t) == sizeof(long) ? FLAGS_LONG : FLAGS_LONG_LONG); - format++; - break; - default : - break; - } - - // evaluate specifier - switch (*format) { - case 'd' : - case 'i' : - case 'u' : - case 'x' : - case 'X' : - case 'o' : - case 'b' : { - // set the base - unsigned int base; - if (*format == 'x' || *format == 'X') { - base = 16U; - } - else if (*format == 'o') { - base = 8U; - } - else if (*format == 'b') { - base = 2U; - } - else { - base = 10U; - flags &= ~FLAGS_HASH; // no hash for dec format - } - // uppercase - if (*format == 'X') { - flags |= FLAGS_UPPERCASE; - } - - // no plus or space flag for u, x, X, o, b - if ((*format != 'i') && (*format != 'd')) { - flags &= ~(FLAGS_PLUS | FLAGS_SPACE); - } - - // ignore '0' flag when precision is given - if (flags & FLAGS_PRECISION) { - flags &= ~FLAGS_ZEROPAD; - } - - // convert the integer - if ((*format == 'i') || (*format == 'd')) { - // signed - if (flags & FLAGS_LONG_LONG) { -#if defined(PRINTF_SUPPORT_LONG_LONG) - const long long value = va_arg(va, long long); - idx = _ntoa_long_long(out, buffer, idx, maxlen, (unsigned long long)(value > 0 ? value : 0 - value), value < 0, base, precision, width, flags); -#endif - } - else if (flags & FLAGS_LONG) { - const long value = va_arg(va, long); - idx = _ntoa_long(out, buffer, idx, maxlen, (unsigned long)(value > 0 ? value : 0 - value), value < 0, base, precision, width, flags); - } - else { - const int value = (flags & FLAGS_CHAR) ? (char)va_arg(va, int) : (flags & FLAGS_SHORT) ? (short int)va_arg(va, int) : va_arg(va, int); - idx = _ntoa_long(out, buffer, idx, maxlen, (unsigned int)(value > 0 ? value : 0 - value), value < 0, base, precision, width, flags); - } - } - else { - // unsigned - if (flags & FLAGS_LONG_LONG) { -#if defined(PRINTF_SUPPORT_LONG_LONG) - idx = _ntoa_long_long(out, buffer, idx, maxlen, va_arg(va, unsigned long long), false, base, precision, width, flags); -#endif - } - else if (flags & FLAGS_LONG) { - idx = _ntoa_long(out, buffer, idx, maxlen, va_arg(va, unsigned long), false, base, precision, width, flags); - } - else { - const unsigned int value = (flags & FLAGS_CHAR) ? (unsigned char)va_arg(va, unsigned int) : (flags & FLAGS_SHORT) ? (unsigned short int)va_arg(va, unsigned int) : va_arg(va, unsigned int); - idx = _ntoa_long(out, buffer, idx, maxlen, value, false, base, precision, width, flags); - } - } - format++; - break; - } -// #if defined(PRINTF_SUPPORT_FLOAT) -// case 'f' : -// case 'F' : -// if (*format == 'F') flags |= FLAGS_UPPERCASE; -// idx = _ftoa(out, buffer, idx, maxlen, va_arg(va, double), precision, width, flags); -// format++; -// break; -// #if defined(PRINTF_SUPPORT_EXPONENTIAL) -// case 'e': -// case 'E': -// case 'g': -// case 'G': -// if ((*format == 'g')||(*format == 'G')) flags |= FLAGS_ADAPT_EXP; -// if ((*format == 'E')||(*format == 'G')) flags |= FLAGS_UPPERCASE; -// idx = _etoa(out, buffer, idx, maxlen, va_arg(va, double), precision, width, flags); -// format++; -// break; -// #endif // PRINTF_SUPPORT_EXPONENTIAL -// #endif // PRINTF_SUPPORT_FLOAT - case 'c' : { - unsigned int l = 1U; - // pre padding - if (!(flags & FLAGS_LEFT)) { - while (l++ < width) { - out(' ', buffer, idx++, maxlen); - } - } - // char output - out((char)va_arg(va, int), buffer, idx++, maxlen); - // post padding - if (flags & FLAGS_LEFT) { - while (l++ < width) { - out(' ', buffer, idx++, maxlen); - } - } - format++; - break; - } - - case 's' : { - const char* p = va_arg(va, char*); - unsigned int l = _strnlen_s(p, precision ? precision : (size_t)-1); - // pre padding - if (flags & FLAGS_PRECISION) { - l = (l < precision ? l : precision); - } - if (!(flags & FLAGS_LEFT)) { - while (l++ < width) { - out(' ', buffer, idx++, maxlen); - } - } - // string output - while ((*p != 0) && (!(flags & FLAGS_PRECISION) || precision--)) { - out(*(p++), buffer, idx++, maxlen); - } - // post padding - if (flags & FLAGS_LEFT) { - while (l++ < width) { - out(' ', buffer, idx++, maxlen); - } - } - format++; - break; - } - - case 'p' : { - width = sizeof(void*) * 2U; - flags |= FLAGS_ZEROPAD | FLAGS_UPPERCASE; -#if defined(PRINTF_SUPPORT_LONG_LONG) - const bool is_ll = sizeof(uintptr_t) == sizeof(long long); - if (is_ll) { - idx = _ntoa_long_long(out, buffer, idx, maxlen, (uintptr_t)va_arg(va, void*), false, 16U, precision, width, flags); - } - else { -#endif - idx = _ntoa_long(out, buffer, idx, maxlen, (unsigned long)((uintptr_t)va_arg(va, void*)), false, 16U, precision, width, flags); -#if defined(PRINTF_SUPPORT_LONG_LONG) - } -#endif - format++; - break; - } - - case '%' : - out('%', buffer, idx++, maxlen); - format++; - break; - - default : - out(*format, buffer, idx++, maxlen); - format++; - break; - } - } - - // termination - out((char)0, buffer, idx < maxlen ? idx : maxlen - 1U, maxlen); - - // return written chars without terminating \0 - return (int)idx; -} - - -/////////////////////////////////////////////////////////////////////////////// - -int printf_(const char* format, ...) -{ - va_list va; - va_start(va, format); - char buffer[1]; - const int ret = _vsnprintf(_out_char, buffer, (size_t)-1, format, va); - va_end(va); - return ret; -} - - -int sprintf_(char* buffer, const char* format, ...) -{ - va_list va; - va_start(va, format); - const int ret = _vsnprintf(_out_buffer, buffer, (size_t)-1, format, va); - va_end(va); - return ret; -} - - -int snprintf_(char* buffer, size_t count, const char* format, ...) -{ - va_list va; - va_start(va, format); - const int ret = _vsnprintf(_out_buffer, buffer, count, format, va); - va_end(va); - return ret; -} - - -int vprintf_(const char* format, va_list va) -{ - char buffer[1]; - return _vsnprintf(_out_char, buffer, (size_t)-1, format, va); -} - - -int vsnprintf_(char* buffer, size_t count, const char* format, va_list va) -{ - return _vsnprintf(_out_buffer, buffer, count, format, va); -} - - -int fctprintf(void (*out)(char character, void* arg), void* arg, const char* format, ...) -{ - va_list va; - va_start(va, format); - const out_fct_wrap_type out_fct_wrap = { out, arg }; - const int ret = _vsnprintf(_out_fct, (char*)(uintptr_t)&out_fct_wrap, (size_t)-1, format, va); - va_end(va); - return ret; -} diff --git a/c_project_sim_and_ulx3s/printf.h b/c_project_sim_and_ulx3s/printf.h deleted file mode 100644 index 165f348..0000000 --- a/c_project_sim_and_ulx3s/printf.h +++ /dev/null @@ -1,121 +0,0 @@ -/////////////////////////////////////////////////////////////////////////////// -// \author (c) Marco Paland (info@paland.com) -// 2014-2019, PALANDesign Hannover, Germany -// -// \license The MIT License (MIT) -// -// Permission is hereby granted, free of charge, to any person obtaining a copy -// of this software and associated documentation files (the "Software"), to deal -// in the Software without restriction, including without limitation the rights -// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -// copies of the Software, and to permit persons to whom the Software is -// furnished to do so, subject to the following conditions: -// -// The above copyright notice and this permission notice shall be included in -// all copies or substantial portions of the Software. -// -// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN -// THE SOFTWARE. -// -// \brief Tiny printf, sprintf and snprintf implementation, optimized for speed on -// embedded systems with a very limited resources. -// Use this instead of bloated standard/newlib printf. -// These routines are thread safe and reentrant. -// -/////////////////////////////////////////////////////////////////////////////// - -#ifndef _PRINTF_H_ -#define _PRINTF_H_ - -#include -#include - - -#ifdef __cplusplus -extern "C" { -#endif - -#define PRINTF_DISABLE_SUPPORT_FLOAT -#define PRINTF_DISABLE_SUPPORT_EXPONENTIAL -// #define PRINTF_DISABLE_SUPPORT_LONG_LONG -#define PRINTF_DISABLE_SUPPORT_PTRDIFF_T - -/** - * Output a character to a custom device like UART, used by the printf() function - * This function is declared here only. You have to write your custom implementation somewhere - * \param character Character to output - */ -void _putchar(char character); - - -/** - * Tiny printf implementation - * You have to implement _putchar if you use printf() - * To avoid conflicts with the regular printf() API it is overridden by macro defines - * and internal underscore-appended functions like printf_() are used - * \param format A string that specifies the format of the output - * \return The number of characters that are written into the array, not counting the terminating null character - */ -#define printf printf_ -int printf_(const char* format, ...); - - -/** - * Tiny sprintf implementation - * Due to security reasons (buffer overflow) YOU SHOULD CONSIDER USING (V)SNPRINTF INSTEAD! - * \param buffer A pointer to the buffer where to store the formatted string. MUST be big enough to store the output! - * \param format A string that specifies the format of the output - * \return The number of characters that are WRITTEN into the buffer, not counting the terminating null character - */ -#define sprintf sprintf_ -int sprintf_(char* buffer, const char* format, ...); - - -/** - * Tiny snprintf/vsnprintf implementation - * \param buffer A pointer to the buffer where to store the formatted string - * \param count The maximum number of characters to store in the buffer, including a terminating null character - * \param format A string that specifies the format of the output - * \param va A value identifying a variable arguments list - * \return The number of characters that COULD have been written into the buffer, not counting the terminating - * null character. A value equal or larger than count indicates truncation. Only when the returned value - * is non-negative and less than count, the string has been completely written. - */ -#define snprintf snprintf_ -#define vsnprintf vsnprintf_ -int snprintf_(char* buffer, size_t count, const char* format, ...); -int vsnprintf_(char* buffer, size_t count, const char* format, va_list va); - - -/** - * Tiny vprintf implementation - * \param format A string that specifies the format of the output - * \param va A value identifying a variable arguments list - * \return The number of characters that are WRITTEN into the buffer, not counting the terminating null character - */ -#define vprintf vprintf_ -int vprintf_(const char* format, va_list va); - - -/** - * printf with output function - * You may use this as dynamic alternative to printf() with its fixed _putchar() output - * \param out An output function which takes one character and an argument pointer - * \param arg An argument pointer for user data passed to output function - * \param format A string that specifies the format of the output - * \return The number of characters that are sent to the output function, not counting the terminating null character - */ -int fctprintf(void (*out)(char character, void* arg), void* arg, const char* format, ...); - - -#ifdef __cplusplus -} -#endif - - -#endif // _PRINTF_H_ diff --git a/c_project_sim_and_ulx3s/volatile-split.ld b/c_project_sim_and_ulx3s/volatile-split.ld deleted file mode 100644 index 00d8d89..0000000 --- a/c_project_sim_and_ulx3s/volatile-split.ld +++ /dev/null @@ -1,137 +0,0 @@ -/* SECTIONS for volatile chip configuration, i.e. chips without flash */ - -SECTIONS -{ - .init : - { - KEEP (*(SORT_NONE(.init))) - } >rom - - .text : - { - *(.text.unlikely .text.unlikely.*) - *(.text.startup .text.startup.*) - *(.text .text.*) - *(.gnu.linkonce.t.*) - } >rom - - .fini : - { - KEEP (*(SORT_NONE(.fini))) - } >rom - - PROVIDE (__etext = .); - PROVIDE (_etext = .); - PROVIDE (etext = .); - - . = ALIGN(4); - - .preinit_array : - { - PROVIDE_HIDDEN (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE_HIDDEN (__preinit_array_end = .); - } >rom - - .init_array : - { - PROVIDE_HIDDEN (__init_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.init_array.*) SORT_BY_INIT_PRIORITY(.ctors.*))) - KEEP (*(.init_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .ctors)) - PROVIDE_HIDDEN (__init_array_end = .); - } >rom - - .fini_array : - { - PROVIDE_HIDDEN (__fini_array_start = .); - KEEP (*(SORT_BY_INIT_PRIORITY(.fini_array.*) SORT_BY_INIT_PRIORITY(.dtors.*))) - KEEP (*(.fini_array EXCLUDE_FILE (*crtbegin.o *crtbegin?.o *crtend.o *crtend?.o ) .dtors)) - PROVIDE_HIDDEN (__fini_array_end = .); - } >rom - - .ctors : - { - /* gcc uses crtbegin.o to find the start of - the constructors, so we make sure it is - first. Because this is a wildcard, it - doesn't matter if the user does not - actually link against crtbegin.o; the - linker won't look for a file to match a - wildcard. The wildcard also means that it - doesn't matter which directory crtbegin.o - is in. */ - KEEP (*crtbegin.o(.ctors)) - KEEP (*crtbegin?.o(.ctors)) - /* We don't want to include the .ctor section from - the crtend.o file until after the sorted ctors. - The .ctor section from the crtend file contains the - end of ctors marker and it must be last */ - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .ctors)) - KEEP (*(SORT(.ctors.*))) - KEEP (*(.ctors)) - } >rom - - .dtors : - { - KEEP (*crtbegin.o(.dtors)) - KEEP (*crtbegin?.o(.dtors)) - KEEP (*(EXCLUDE_FILE (*crtend.o *crtend?.o ) .dtors)) - KEEP (*(SORT(.dtors.*))) - KEEP (*(.dtors)) - } >rom - - .dalign : - { - . = ALIGN(4); - PROVIDE( _data = . ); - } >rom - - /* RODATA is usally in ROM, however in volatile configurations this doesn't - make a lot of sense. */ - .rodata : - { - *(.rdata) - *(.rodata .rodata.*) - *(.gnu.linkonce.r.*) - } >ram - - .data : - { - *(.data .data.*) - *(.gnu.linkonce.d.*) - . = ALIGN(8); - PROVIDE( __global_pointer$ = . + 0x800 ); - *(.sdata .sdata.*) - *(.gnu.linkonce.s.*) - . = ALIGN(8); - *(.srodata.cst16) - *(.srodata.cst8) - *(.srodata.cst4) - *(.srodata.cst2) - *(.srodata .srodata.*) - } >ram - - . = ALIGN(4); - PROVIDE( _edata = . ); - PROVIDE( edata = . ); - - PROVIDE( _fbss = . ); - PROVIDE( __bss_start = . ); - - .bss : - { - *(.sbss*) - *(.gnu.linkonce.sb.*) - *(.bss .bss.*) - *(.gnu.linkonce.b.*) - *(COMMON) - . = ALIGN(4); - } >ram - - . = ALIGN(8); - PROVIDE( _end = . ); - PROVIDE( end = . ); -} - -PROVIDE(_sp = ORIGIN(ram) + LENGTH(ram)); -PROVIDE(_heap_end = ORIGIN(ram) + LENGTH(ram)); diff --git a/ulx3s/Makefile b/ulx3s/Makefile new file mode 100644 index 0000000..cf812db --- /dev/null +++ b/ulx3s/Makefile @@ -0,0 +1,32 @@ +TOPMOD := PQVexRiscvUlx3s +CHIP := 85k +PACKAGE := CABGA381 +CONSTRAINTS := ulx3s_v20_constraints +VLOGFIL := $(TOPMOD).v +PROGPATH := ~/bin/ujprog/ujprog + +.PHONY: all + +all: $(TOPMOD).bit + +.PHONY: clean +clean: + rm -rf $(TOPMOD).json $(TOPMOD).config $(TOPMOD).bit + +$(TOPMOD).bit: $(TOPMOD).config + ecppack $(TOPMOD).config $(TOPMOD).bit + +$(TOPMOD).config: $(TOPMOD).json + nextpnr-ecp5 \ + --$(CHIP) \ + --package $(PACKAGE) \ + --json $(TOPMOD).json \ + --lpf-allow-unconstrained \ + --lpf $(CONSTRAINTS).lpf \ + --textcfg $(TOPMOD).config + +$(TOPMOD).json: $(TOPMOD).v + yosys -q -l $(TOPMOD)_yosys.log -p "synth_ecp5 -top $(TOPMOD) -json $(TOPMOD).json" $(TOPMOD).v + +prog: $(TOPMOD).bit + sudo $(PROGPATH) $(TOPMOD).bit diff --git a/ulx3s/PQVexRiscvUlx3s.bit b/ulx3s/PQVexRiscvUlx3s.bit new file mode 100644 index 0000000..0a9642c Binary files /dev/null and b/ulx3s/PQVexRiscvUlx3s.bit differ diff --git a/ulx3s/PQVexRiscvUlx3s.config b/ulx3s/PQVexRiscvUlx3s.config new file mode 100644 index 0000000..8e6acec --- /dev/null +++ b/ulx3s/PQVexRiscvUlx3s.config @@ -0,0 +1,145708 @@ +.device LFE5U-85F + +.comment Part: LFE5U-85F-6CABGA381 + +.tile CIB_R10C3:PVT_COUNT2 +unknown: F2B0 +unknown: F3B0 +unknown: F5B0 +unknown: F11B0 +unknown: F13B0 + +.tile CIB_R11C125:CIB_LR +arc: W3_H06W0303 JF5 + +.tile CIB_R1C100:CIB +arc: W3_H06W0203 E3_H06W0103 + +.tile CIB_R1C105:CIB +arc: W3_H06W0003 JQ0 + +.tile CIB_R1C106:CIB +arc: W3_H06W0103 E3_H06W0003 + +.tile CIB_R1C112:CIB +arc: W3_H06W0003 JQ0 + +.tile CIB_R1C114:CIB +arc: JA0 V02N0501 +enum: CIB.JB0MUX 0 + +.tile CIB_R1C27:CIB +arc: S3_V06S0003 E3_H06W0003 + +.tile CIB_R1C33:CIB +arc: S3_V06S0303 E3_H06W0303 +arc: W3_H06W0003 E3_H06W0303 + +.tile CIB_R1C39:CIB +arc: W3_H06W0303 E3_H06W0203 + +.tile CIB_R1C45:CIB +arc: W3_H06W0203 E3_H06W0103 + +.tile CIB_R1C51:CIB +arc: W3_H06W0103 E3_H06W0103 + +.tile CIB_R1C57:CIB +arc: W3_H06W0103 E3_H06W0003 + +.tile CIB_R1C63:CIB +arc: W3_H06W0003 E3_H06W0303 + +.tile CIB_R1C69:CIB +arc: W3_H06W0303 E3_H06W0203 + +.tile CIB_R1C75:CIB +arc: JD7 E1_H01W0100 +arc: W3_H06W0203 E3_H06W0203 + +.tile CIB_R1C76:CIB +arc: H01W0100 E3_H06W0303 + +.tile CIB_R1C81:CIB +arc: W3_H06W0203 E3_H06W0103 + +.tile CIB_R1C82:CIB +arc: W3_H06W0303 E3_H06W0303 + +.tile CIB_R1C87:CIB +arc: W3_H06W0103 E3_H06W0103 + +.tile CIB_R1C88:CIB +arc: W3_H06W0303 E3_H06W0203 + +.tile CIB_R1C93:CIB +arc: W3_H06W0103 E3_H06W0103 + +.tile CIB_R1C94:CIB +arc: W3_H06W0203 E3_H06W0203 + +.tile CIB_R1C99:CIB +arc: W3_H06W0103 E3_H06W0003 + +.tile CIB_R22C10:CIB_EBR +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0003 W3_H06E0003 +arc: H00L0100 V02S0101 +arc: H01W0100 JQ6 +arc: JA0 V02N0701 +arc: JA1 W1_H02E0501 +arc: JA2 W1_H02E0701 +arc: JA3 V00T0000 +arc: JA4 V00B0000 +arc: JA5 V02N0301 +arc: JC0 N1_V01N0001 +arc: JC1 W1_H02E0401 +arc: JC2 W1_H02E0601 +arc: JC3 H02E0401 +arc: JC4 V02N0201 +arc: JC5 V02N0001 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: JD6 H00L0100 +arc: N1_V01N0001 S3_V06N0003 +arc: V00B0000 V02N0201 +arc: V00T0000 H02E0201 +arc: V01S0100 S3_V06N0303 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JD7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R22C11:CIB_EBR +arc: E1_H02E0101 S1_V02N0101 +arc: JA0 V02N0701 +arc: JA1 V02N0701 +arc: JA2 W1_H02E0701 +arc: JA3 W1_H02E0701 +arc: JA4 V02N0101 +arc: JA5 V02N0101 +arc: JA6 V02N0301 +arc: JA7 V02N0301 +arc: JC0 V02N0601 +arc: JC1 V02N0601 +arc: JC2 S1_V02N0601 +arc: JC3 S1_V02N0601 +arc: JC4 V00T0100 +arc: JC5 V00T0100 +arc: JC6 V00T0000 +arc: JC7 V00T0000 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 V00B0100 +arc: S3_V06S0303 H06W0303 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 W1_H02E0001 +arc: V00T0100 W1_H02E0301 +arc: V01S0000 S3_V06N0103 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0401 S3_V06N0203 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R22C12:CIB_EBR +arc: E1_H02E0401 V02N0401 +arc: H00L0100 V02N0301 +arc: JA0 H00L0100 +arc: JA1 H00L0100 +arc: JA4 H02W0701 +arc: JA5 H02W0701 +arc: JA6 V02N0101 +arc: JA7 V02N0101 +arc: JC0 W1_H02E0601 +arc: JC1 W1_H02E0601 +arc: JC4 V00T0100 +arc: JC5 V00T0100 +arc: JC6 V02N0001 +arc: JC7 V02N0001 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: V00T0100 W1_H02E0301 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD6MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R22C13:CIB_EBR +arc: E3_H06E0003 JQ0 +arc: E3_H06E0103 S3_V06N0103 +arc: JA0 E1_H02W0701 +arc: JA1 E1_H02W0701 +arc: JA2 V02N0701 +arc: JA3 V02N0701 +arc: JA4 V02N0101 +arc: JA5 V02N0101 +arc: JA6 V02N0301 +arc: JA7 V02N0301 +arc: JC0 S1_V02N0401 +arc: JC1 S1_V02N0401 +arc: JC2 E1_H02W0401 +arc: JC3 E1_H02W0401 +arc: JC4 V02N0001 +arc: JC5 V02N0001 +arc: JC6 V00T0100 +arc: JC7 V00T0100 +arc: JCLK0 G_HPBX0000 +arc: JD0 S1_V02N0001 +arc: JLSR1 H02W0501 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0303 E3_H06W0303 +arc: V00T0100 W1_H02E0101 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0701 V02S0701 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R22C14:CIB_EBR +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0701 V02N0701 +arc: H00L0000 S1_V02N0001 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 S1_V02N0701 +arc: JA0 V02N0501 +arc: JA1 H00R0000 +arc: JA4 V00T0100 +arc: JA5 V00T0000 +arc: JA6 S1_V02N0101 +arc: JA7 V00T0100 +arc: JC0 V02N0401 +arc: JC1 H00L0000 +arc: JC4 S1_V02N0001 +arc: JC5 S1_V02N0201 +arc: JC6 S1_V02N0201 +arc: JC7 W1_H02E0401 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: V00T0000 V02N0601 +arc: V00T0100 V02N0701 +arc: W1_H02W0501 S1_V02N0501 +arc: W3_H06W0303 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R22C15:CIB_EBR +arc: H00L0100 S1_V02N0101 +arc: JA0 S1_V02N0701 +arc: JA1 S1_V02N0701 +arc: JA2 V00B0000 +arc: JA3 V00B0000 +arc: JA4 V02N0101 +arc: JA5 V02N0101 +arc: JA6 H02W0501 +arc: JA7 H02W0501 +arc: JC0 H00L0100 +arc: JC1 H00L0100 +arc: JC2 V02N0401 +arc: JC3 V02N0401 +arc: JC4 S1_V02N0001 +arc: JC5 S1_V02N0001 +arc: JC6 S1_V02N0201 +arc: JC7 S1_V02N0201 +arc: JCLK0 G_HPBX0000 +arc: JD2 H02W0201 +arc: JLSR1 H02E0301 +arc: S3_V06S0103 JQ2 +arc: V00B0000 V02N0001 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0701 S1_V02N0701 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 + +.tile CIB_R22C16:CIB_EBR +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 S3_V06N0003 +arc: H00R0100 S1_V02N0701 +arc: JA0 V02N0701 +arc: JA1 V02N0701 +arc: JA4 V02N0101 +arc: JA5 V02N0101 +arc: JA6 W1_H02E0701 +arc: JA7 W1_H02E0701 +arc: JC0 V02N0401 +arc: JC1 V02N0401 +arc: JC4 S1_V02N0001 +arc: JC5 S1_V02N0001 +arc: JC6 V00T0100 +arc: JC7 V00T0100 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0303 E3_H06W0303 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0201 N1_V01S0000 +arc: W1_H02W0501 V02N0501 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R22C17:CIB_EBR +arc: E1_H02E0101 V06N0103 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0701 N1_V01S0100 +arc: E3_H06E0203 JQ4 +arc: JD4 H02E0201 +arc: S3_V06S0003 E3_H06W0003 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 + +.tile CIB_R22C18:CIB_EBR +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0601 V06N0303 +arc: E1_H02E0701 V06N0203 +arc: JA0 N1_V02S0701 +arc: JA1 N1_V02S0701 +arc: JA2 S1_V02N0701 +arc: JA3 S1_V02N0701 +arc: JA4 H02E0701 +arc: JA5 H02E0701 +arc: JA6 V02N0301 +arc: JA7 V02N0301 +arc: JC0 H02W0401 +arc: JC1 H02W0401 +arc: JC2 V02N0401 +arc: JC3 V02N0401 +arc: JC4 S1_V02N0201 +arc: JC5 S1_V02N0201 +arc: JC6 V02N0201 +arc: JC7 V02N0201 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 E1_H02W0501 +arc: S1_V02S0001 H06W0003 +arc: S3_V06S0003 E1_H01W0000 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R22C19:CIB_EBR +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0103 W3_H06E0103 +arc: H00L0100 H02E0301 +arc: H00R0000 V02S0601 +arc: H01W0000 JQ6 +arc: JA0 H00L0100 +arc: JA1 H00R0000 +arc: JA2 H02E0701 +arc: JA3 V02S0701 +arc: JA4 V00B0000 +arc: JA5 S1_V02N0101 +arc: JC0 H00L0100 +arc: JC1 V02S0601 +arc: JC2 H02E0601 +arc: JC3 V02S0401 +arc: JC4 S1_V02N0201 +arc: JC5 W1_H02E0401 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: JD6 H02W0001 +arc: S3_V06S0003 H06W0003 +arc: S3_V06S0103 E3_H06W0103 +arc: V00B0000 S1_V02N0201 +arc: W1_H02W0401 S1_V02N0401 +arc: W3_H06W0303 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JD7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 + +.tile CIB_R22C20:CIB_EBR +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 V02S0701 +arc: H00L0000 S1_V02N0201 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA2 H02W0501 +arc: JA3 H02W0501 +arc: JA4 V02S0101 +arc: JA5 V02S0101 +arc: JA6 H02E0701 +arc: JA7 H02E0701 +arc: JC0 H02E0401 +arc: JC1 H02E0401 +arc: JC2 W1_H02E0401 +arc: JC3 W1_H02E0401 +arc: JC4 H02W0401 +arc: JC5 H02W0401 +arc: JC6 V02N0201 +arc: JC7 V02N0201 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 V00B0000 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0203 H06E0203 +arc: V00B0000 V02N0001 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0501 V02N0501 +arc: W3_H06W0303 E3_H06W0203 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R22C21:CIB_EBR +arc: E3_H06E0003 S3_V06N0003 +arc: H00R0100 H02E0701 +arc: JA0 S1_V02N0701 +arc: JA1 S1_V02N0701 +arc: JA4 V00T0000 +arc: JA5 V00T0000 +arc: JA6 V02N0301 +arc: JA7 V02N0301 +arc: JC0 H02E0601 +arc: JC1 H02E0601 +arc: JC4 H02W0401 +arc: JC5 W1_H02E0601 +arc: JC6 S1_V02N0201 +arc: JC7 S1_V02N0201 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: S3_V06S0203 E3_H06W0203 +arc: V00T0000 V02N0401 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 S1_V02N0501 +arc: W3_H06W0003 E1_H01W0000 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD6MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R22C22:CIB_EBR +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0501 N1_V01S0100 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0203 S3_V06N0203 +arc: H00L0100 V02S0101 +arc: H01W0000 JQ0 +arc: JA0 V02N0701 +arc: JA1 V02N0701 +arc: JA2 H00L0100 +arc: JA3 H00L0100 +arc: JA4 V02S0301 +arc: JA5 V02S0301 +arc: JA6 E1_H02W0501 +arc: JA7 E1_H02W0501 +arc: JC0 H02W0401 +arc: JC1 H02W0401 +arc: JC2 V02S0401 +arc: JC3 V02S0401 +arc: JC4 V02S0201 +arc: JC5 V02S0201 +arc: JC6 V02N0201 +arc: JC7 V02N0201 +arc: JCLK0 G_HPBX0000 +arc: JD0 H02W0001 +arc: JLSR1 V00B0000 +arc: S3_V06S0203 E3_H06W0203 +arc: V00B0000 V02N0001 +arc: W1_H02W0401 S3_V06N0203 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R22C23:CIB_EBR +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 V02N0401 +arc: H00R0000 V02S0401 +arc: JA0 H02E0501 +arc: JA1 V02N0701 +arc: JA4 S1_V02N0101 +arc: JA5 H02E0501 +arc: JA6 V00T0100 +arc: JA7 S1_V02N0101 +arc: JC0 V02N0401 +arc: JC1 H02E0401 +arc: JC4 H02E0401 +arc: JC5 H02W0401 +arc: JC6 H02W0401 +arc: JC7 V01N0101 +arc: JCE1 H00R0000 +arc: JCLK0 G_HPBX0000 +arc: S3_V06S0203 E1_H01W0000 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0401 S1_V02N0401 +arc: W3_H06W0003 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R22C24:CIB_EBR +arc: E1_H02E0401 V06N0203 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0701 S3_V06N0203 +arc: H01W0000 JQ2 +arc: JA0 V02S0501 +arc: JA1 V02S0501 +arc: JA2 S1_V02N0701 +arc: JA3 S1_V02N0701 +arc: JA4 V02S0301 +arc: JA5 V02S0301 +arc: JA6 V00T0100 +arc: JA7 V00T0100 +arc: JC0 V02S0401 +arc: JC1 V02S0401 +arc: JC2 H02W0601 +arc: JC3 H02W0601 +arc: JC4 H02W0401 +arc: JC5 H02W0401 +arc: JC6 V02N0201 +arc: JC7 V02N0201 +arc: JCLK0 G_HPBX0000 +arc: JD2 H02W0201 +arc: JLSR1 H02E0301 +arc: S3_V06S0103 E3_H06W0103 +arc: V00T0100 V02N0501 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 V02N0501 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 + +.tile CIB_R22C25:CIB_EBR +arc: E1_H02E0001 V01N0001 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 S3_V06N0303 +arc: E3_H06E0303 S3_V06N0303 +arc: JA0 V02N0701 +arc: JA1 V02N0701 +arc: JA4 H02E0701 +arc: JA5 H02E0701 +arc: JA6 V02S0101 +arc: JA7 V02S0101 +arc: JC0 W1_H02E0401 +arc: JC1 W1_H02E0401 +arc: JC4 V02N0001 +arc: JC5 V00B0100 +arc: JC6 H02E0401 +arc: JC7 H02E0401 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: V00B0100 H02E0501 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0601 S3_V06N0303 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R22C26:CIB_EBR +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0301 V06N0003 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0701 V06N0203 +arc: JD4 H02E0201 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0203 JQ4 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 + +.tile CIB_R22C27:CIB_EBR +arc: E1_H02E0001 V06N0003 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 V06N0003 +arc: E1_H02E0401 N1_V01S0000 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0701 N1_V01S0100 +arc: E3_H06E0103 W3_H06E0003 +arc: JA0 H02E0701 +arc: JA1 H02E0701 +arc: JA2 V02N0501 +arc: JA3 V02N0501 +arc: JA4 V02N0101 +arc: JA5 V02N0101 +arc: JA6 W1_H02E0501 +arc: JA7 W1_H02E0501 +arc: JC0 S1_V02N0401 +arc: JC1 S1_V02N0401 +arc: JC2 W1_H02E0601 +arc: JC3 W1_H02E0601 +arc: JC4 V00T0000 +arc: JC5 V00T0000 +arc: JC6 V00B0100 +arc: JC7 V00B0100 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 H02E0301 +arc: V00B0100 S1_V02N0101 +arc: V00T0000 W1_H02E0001 +arc: W3_H06W0203 E3_H06W0103 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R22C28:CIB_EBR +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0100 H02E0301 +arc: H00R0100 V02S0701 +arc: JA0 H02E0501 +arc: JA1 V02S0701 +arc: JA2 V00T0000 +arc: JA3 S1_V02N0701 +arc: JA4 V00B0000 +arc: JA5 V00T0100 +arc: JC0 N1_V01N0001 +arc: JC1 H00R0100 +arc: JC2 H00L0100 +arc: JC3 S1_V02N0401 +arc: JC4 W1_H02E0401 +arc: JC5 V00T0100 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: JD6 S1_V02N0601 +arc: N1_V01N0001 S3_V06N0003 +arc: V00B0000 W1_H02E0401 +arc: V00T0000 H02E0001 +arc: V00T0100 V02N0701 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 JQ6 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JD7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 + +.tile CIB_R22C29:CIB_EBR +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 S1_V02N0701 +arc: JA0 V02S0501 +arc: JA1 V02S0501 +arc: JA2 V00B0000 +arc: JA3 V00B0000 +arc: JA4 V02N0101 +arc: JA5 V02N0101 +arc: JA6 W1_H02E0701 +arc: JA7 W1_H02E0701 +arc: JC0 H02W0601 +arc: JC1 H02W0601 +arc: JC2 V02N0401 +arc: JC3 V02N0401 +arc: JC4 H02E0401 +arc: JC5 H02E0401 +arc: JC6 V02S0201 +arc: JC7 V02S0201 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 V00T0000 +arc: V00B0000 W1_H02E0401 +arc: V00T0000 S1_V02N0401 +arc: W3_H06W0303 E3_H06W0203 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R22C30:CIB_EBR +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 V02N0601 +arc: H00L0000 V02N0001 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA4 V00T0100 +arc: JA5 V00T0100 +arc: JA6 W1_H02E0701 +arc: JA7 W1_H02E0701 +arc: JC0 V02S0401 +arc: JC1 V02S0401 +arc: JC4 V00T0000 +arc: JC5 V00T0000 +arc: JC6 H02E0601 +arc: JC7 H02E0601 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: V00T0000 W1_H02E0201 +arc: V00T0100 V02S0701 +arc: W1_H02W0601 N1_V01S0000 +arc: W3_H06W0103 E3_H06W0103 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD6MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R22C31:CIB_EBR +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 V06N0303 +arc: E3_H06E0003 W3_H06E0303 +arc: H00L0000 H02E0001 +arc: H00L0100 W1_H02E0301 +arc: H00R0000 E1_H02W0601 +arc: JA0 H00R0000 +arc: JA1 H00R0000 +arc: JA2 S1_V02N0701 +arc: JA3 S1_V02N0701 +arc: JA4 V00T0100 +arc: JA5 V00T0100 +arc: JA6 H00L0000 +arc: JA7 H00L0000 +arc: JC0 H00L0100 +arc: JC1 H00L0100 +arc: JC2 H02W0401 +arc: JC3 H02W0401 +arc: JC4 H02E0601 +arc: JC5 H02E0601 +arc: JC6 H02E0401 +arc: JC7 V00B0100 +arc: JCLK0 G_HPBX0000 +arc: JD0 V02S0001 +arc: JLSR1 V00T0000 +arc: V00B0100 W1_H02E0701 +arc: V00T0000 V02N0401 +arc: V00T0100 H02E0101 +arc: W3_H06W0003 JQ0 +arc: W3_H06W0303 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R22C32:CIB_EBR +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0601 S1_V02N0601 +arc: H00L0100 S1_V02N0101 +arc: H00R0100 S1_V02N0701 +arc: JA0 H00L0100 +arc: JA1 S1_V02N0501 +arc: JA4 W1_H02E0501 +arc: JA5 S1_V02N0101 +arc: JA6 V00T0100 +arc: JA7 W1_H02E0501 +arc: JC0 H02E0601 +arc: JC1 S1_V02N0401 +arc: JC4 S1_V02N0001 +arc: JC5 H02E0401 +arc: JC6 H02E0401 +arc: JC7 H02E0601 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0401 E1_H01W0000 +arc: W3_H06W0003 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R22C33:CIB_EBR +arc: E1_H02E0001 V06N0003 +arc: E1_H02E0501 V02N0501 +arc: H00R0000 V02S0601 +arc: H01W0000 W3_H06E0103 +arc: JA0 H00R0000 +arc: JA1 H00R0000 +arc: JA2 V00B0000 +arc: JA3 V00B0000 +arc: JA4 H02E0501 +arc: JA5 H02E0501 +arc: JA6 V02N0301 +arc: JA7 V02N0301 +arc: JC0 N1_V01S0100 +arc: JC1 N1_V01S0100 +arc: JC2 S1_V02N0401 +arc: JC3 S1_V02N0401 +arc: JC4 H02W0401 +arc: JC5 H02W0401 +arc: JC6 V02S0001 +arc: JC7 V02S0001 +arc: JCLK0 G_HPBX0000 +arc: JD2 V02N0201 +arc: JLSR1 H02E0301 +arc: V00B0000 V02S0201 +arc: W1_H02W0601 V01N0001 +arc: W3_H06W0103 JQ2 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 + +.tile CIB_R22C34:CIB_EBR +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 W3_H06E0303 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0303 W1_H02E0601 +arc: JA0 V02N0501 +arc: JA1 V02N0501 +arc: JA4 H02E0501 +arc: JA5 H02E0501 +arc: JA6 V00T0100 +arc: JA7 V00T0100 +arc: JC0 W1_H02E0401 +arc: JC1 W1_H02E0401 +arc: JC4 E1_H01E0101 +arc: JC5 V02N0001 +arc: JC6 V00T0000 +arc: JC7 V00T0000 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: V00T0000 H02E0001 +arc: V00T0100 S1_V02N0701 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0401 V02S0401 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R22C35:CIB_EBR +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 V02N0701 +arc: JD4 V00B0000 +arc: V00B0000 V02S0201 +arc: W3_H06W0203 JQ4 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 + +.tile CIB_R22C36:CIB_EBR +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0201 V06N0103 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0103 V01N0101 +arc: H00R0000 V02S0601 +arc: JA0 H00R0000 +arc: JA1 H00R0000 +arc: JA2 V00B0000 +arc: JA3 V00B0000 +arc: JA4 V00T0100 +arc: JA5 V00T0100 +arc: JA6 V02N0301 +arc: JA7 V02N0301 +arc: JC0 N1_V01S0100 +arc: JC1 N1_V01S0100 +arc: JC2 S1_V02N0401 +arc: JC3 S1_V02N0401 +arc: JC4 W1_H02E0401 +arc: JC5 W1_H02E0401 +arc: JC6 V02N0201 +arc: JC7 V02N0201 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 W1_H02E0301 +arc: V00B0000 V02S0001 +arc: V00T0100 V02N0701 +arc: W3_H06W0103 E3_H06W0103 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R22C37:CIB_EBR +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0303 W1_H02E0601 +arc: H00L0000 H02E0201 +arc: JA0 H02E0501 +arc: JA1 H02W0701 +arc: JA2 V00T0000 +arc: JA3 W1_H02E0501 +arc: JA4 W1_H02E0701 +arc: JA5 V00T0100 +arc: JC0 H02E0401 +arc: JC1 V02N0401 +arc: JC2 H00L0000 +arc: JC3 W1_H02E0601 +arc: JC4 V00B0100 +arc: JC5 V00T0100 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: JD6 H02E0001 +arc: V00B0100 W1_H02E0701 +arc: V00T0000 H02E0201 +arc: V00T0100 V02N0701 +arc: W3_H06W0303 JQ6 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JD7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 + +.tile CIB_R22C38:CIB_EBR +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0701 V02N0701 +arc: H00L0100 W1_H02E0301 +arc: JA0 V02S0501 +arc: JA1 V02S0501 +arc: JA2 H00L0100 +arc: JA3 H00L0100 +arc: JA4 W1_H02E0701 +arc: JA5 W1_H02E0701 +arc: JA6 V02N0301 +arc: JA7 V02N0301 +arc: JC0 S1_V02N0601 +arc: JC1 S1_V02N0601 +arc: JC2 S1_V02N0401 +arc: JC3 S1_V02N0401 +arc: JC4 E1_H02W0401 +arc: JC5 E1_H02W0401 +arc: JC6 V00T0100 +arc: JC7 V00T0100 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 V00B0000 +arc: V00B0000 V02N0201 +arc: V00T0100 H02E0101 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0303 E3_H06W0203 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R22C39:CIB_EBR +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0303 W1_H02E0501 +arc: JA0 W1_H02E0701 +arc: JA1 W1_H02E0701 +arc: JA4 H02E0701 +arc: JA5 H02E0701 +arc: JA6 W1_H02E0501 +arc: JA7 W1_H02E0501 +arc: JC0 E1_H02W0601 +arc: JC1 E1_H02W0601 +arc: JC4 V00T0000 +arc: JC5 W1_H02E0401 +arc: JC6 V02N0201 +arc: JC7 V02N0201 +arc: JCE1 H02E0101 +arc: JCLK0 G_HPBX0000 +arc: V00T0000 H02W0201 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD6MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R22C3:CIB_EBR +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0501 N1_V01S0100 +arc: E1_H02E0601 N1_V01S0000 +arc: E1_H02E0701 S1_V02N0701 + +.tile CIB_R22C40:CIB_EBR +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0401 V02N0401 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0303 +arc: H00R0000 V02S0401 +arc: H01W0000 W3_H06E0103 +arc: JA0 H00R0000 +arc: JA1 H00R0000 +arc: JA2 H02E0501 +arc: JA3 H02E0501 +arc: JA4 H02W0501 +arc: JA5 H02W0501 +arc: JA6 V02N0301 +arc: JA7 V02N0301 +arc: JC0 H02E0601 +arc: JC1 H02E0601 +arc: JC2 S1_V02N0401 +arc: JC3 S1_V02N0401 +arc: JC4 V00T0100 +arc: JC5 V00T0100 +arc: JC6 V02N0201 +arc: JC7 V02N0201 +arc: JCLK0 G_HPBX0000 +arc: JD0 V00B0100 +arc: JLSR1 V00T0000 +arc: V00B0100 V02S0101 +arc: V00T0000 W1_H02E0201 +arc: V00T0100 V02N0701 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0401 V02N0401 +arc: W3_H06W0003 JQ0 +arc: W3_H06W0303 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R22C41:CIB_EBR +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0601 V02N0601 +arc: H00L0100 V02N0301 +arc: JA0 H00L0100 +arc: JA1 E1_H02W0501 +arc: JA4 V02S0101 +arc: JA5 V02N0301 +arc: JA6 E1_H02W0501 +arc: JA7 V02S0101 +arc: JC0 V02N0601 +arc: JC1 W1_H02E0401 +arc: JC4 V00T0100 +arc: JC5 S1_V02N0201 +arc: JC6 S1_V02N0201 +arc: JC7 V00T0000 +arc: JCE1 V02N0201 +arc: JCLK0 G_HPBX0000 +arc: V00T0000 V02N0601 +arc: V00T0100 H02E0101 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0601 V02N0601 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R22C42:CIB_EBR +arc: E1_H02E0201 V06N0103 +arc: E1_H02E0401 W1_H02E0101 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 H02W0201 +arc: H00L0100 V02S0301 +arc: H00R0000 V02S0401 +arc: JA0 H00L0100 +arc: JA1 H00L0100 +arc: JA2 V02N0701 +arc: JA3 V02N0701 +arc: JA4 V02N0101 +arc: JA5 H02E0501 +arc: JA6 V02N0301 +arc: JA7 V02N0301 +arc: JC0 N1_V01S0100 +arc: JC1 V02N0601 +arc: JC2 H00L0000 +arc: JC3 H00L0000 +arc: JC4 W1_H02E0401 +arc: JC5 W1_H02E0401 +arc: JC6 H02W0401 +arc: JC7 H02W0401 +arc: JCLK0 G_HPBX0000 +arc: JD2 H00R0000 +arc: JLSR1 H02E0301 +arc: W3_H06W0103 JQ2 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 + +.tile CIB_R22C43:CIB_EBR +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0303 +arc: JA0 V02N0501 +arc: JA1 V02N0501 +arc: JA4 V02N0301 +arc: JA5 V02N0301 +arc: JA6 S1_V02N0101 +arc: JA7 S1_V02N0101 +arc: JC0 W1_H02E0601 +arc: JC1 W1_H02E0601 +arc: JC4 H02E0401 +arc: JC5 W1_H02E0401 +arc: JC6 V00T0000 +arc: JC7 V00T0000 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: V00T0000 H02E0201 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 V02N0501 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R22C44:CIB_EBR +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 N1_V02S0301 +arc: JD4 H02E0001 +arc: W3_H06W0203 JQ4 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 + +.tile CIB_R22C45:CIB_EBR +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V06N0203 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0601 W3_H06E0303 +arc: E1_H02E0701 W1_H02E0601 +arc: H00L0000 W1_H02E0201 +arc: H00L0100 V02S0301 +arc: H00R0000 W1_H02E0601 +arc: JA0 V02S0701 +arc: JA1 V02S0701 +arc: JA2 H00L0100 +arc: JA3 H00L0100 +arc: JA4 V00B0000 +arc: JA5 V00B0000 +arc: JA6 H00R0000 +arc: JA7 H00R0000 +arc: JC0 S1_V02N0601 +arc: JC1 S1_V02N0601 +arc: JC2 H00L0000 +arc: JC3 H00L0000 +arc: JC4 H02W0601 +arc: JC5 H02W0601 +arc: JC6 H02W0401 +arc: JC7 H02W0401 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 H02E0301 +arc: V00B0000 E1_H02W0601 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R22C46:CIB_EBR +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0601 V02N0601 +arc: H00L0100 H02E0101 +arc: H01W0100 W3_H06E0303 +arc: JA0 E1_H01E0001 +arc: JA1 E1_H02W0701 +arc: JA2 V00B0000 +arc: JA3 H02E0501 +arc: JA4 V00T0100 +arc: JA5 V02N0301 +arc: JC0 H02W0401 +arc: JC1 E1_H02W0401 +arc: JC2 H02E0401 +arc: JC3 H02E0601 +arc: JC4 V00T0100 +arc: JC5 V02N0001 +arc: JCE1 V02N0201 +arc: JCLK0 G_HPBX0000 +arc: JD6 H00L0100 +arc: V00B0000 H02E0401 +arc: V00T0100 H02W0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 V02N0601 +arc: W3_H06W0303 JQ6 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JD7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 + +.tile CIB_R22C47:CIB_EBR +arc: H00L0100 V02S0101 +arc: JA0 H00L0100 +arc: JA1 H00L0100 +arc: JA2 V00B0000 +arc: JA3 V00B0000 +arc: JA4 V00T0000 +arc: JA5 H02W0501 +arc: JA6 W1_H02E0701 +arc: JA7 W1_H02E0701 +arc: JC0 H02W0601 +arc: JC1 H02W0601 +arc: JC2 E1_H02W0401 +arc: JC3 E1_H02W0401 +arc: JC4 H02E0601 +arc: JC5 H02E0601 +arc: JC6 H02E0401 +arc: JC7 H02E0401 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 W1_H02E0301 +arc: V00B0000 V02S0001 +arc: V00T0000 V02N0601 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0601 V02N0601 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R22C48:CIB_EBR +arc: E1_H01E0101 W3_H06E0203 +arc: H00R0000 H02W0601 +arc: JA0 H02W0501 +arc: JA1 H02W0501 +arc: JA4 H02W0701 +arc: JA5 H02W0701 +arc: JA6 H00R0000 +arc: JA7 H00R0000 +arc: JC0 V02N0601 +arc: JC1 V02N0601 +arc: JC4 V00T0100 +arc: JC5 V00T0100 +arc: JC6 V00B0100 +arc: JC7 V00B0100 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: V00B0100 V02N0101 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 W3_H06E0203 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD6MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R22C49:CIB_EBR +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 W3_H06E0303 +arc: W1_H02W0701 H01E0101 + +.tile CIB_R22C4:CIB_EBR +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0501 S3_V06N0303 +arc: E1_H02E0601 S3_V06N0303 +arc: E1_H02E0701 N1_V01S0100 +arc: E3_H06E0003 JQ0 +arc: H00R0000 H02W0601 +arc: H00R0100 V02N0701 +arc: JA0 H00R0000 +arc: JA1 H00R0000 +arc: JA2 H02W0701 +arc: JA3 H02W0701 +arc: JA4 V00T0000 +arc: JA5 V00T0000 +arc: JA6 V02N0101 +arc: JA7 V02N0101 +arc: JC0 H00R0100 +arc: JC1 H00R0100 +arc: JC2 V02N0601 +arc: JC3 V02N0601 +arc: JC4 H02E0401 +arc: JC5 H02E0401 +arc: JC6 H02E0601 +arc: JC7 H02E0601 +arc: JCLK0 G_HPBX0000 +arc: JD0 V00B0100 +arc: JLSR1 H02W0501 +arc: V00B0100 H02E0701 +arc: V00T0000 V02N0401 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R22C5:CIB_EBR +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0601 V02N0601 +arc: H00R0000 V02N0401 +arc: JA0 H02E0701 +arc: JA1 H00R0000 +arc: JA4 W1_H02E0501 +arc: JA5 H02E0701 +arc: JA6 H00R0000 +arc: JA7 W1_H02E0501 +arc: JC0 V02N0601 +arc: JC1 H02E0401 +arc: JC4 H02E0601 +arc: JC5 S1_V02N0201 +arc: JC6 S1_V02N0201 +arc: JC7 V00B0100 +arc: JCE1 V02S0201 +arc: JCLK0 G_HPBX0000 +arc: V00B0100 S1_V02N0101 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 S3_V06N0303 +arc: W1_H02W0701 S3_V06N0203 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R22C6:CIB_EBR +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0103 JQ2 +arc: H00L0000 H02W0201 +arc: H00R0100 V02N0501 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA2 V00T0000 +arc: JA3 V00T0000 +arc: JA4 V02N0301 +arc: JA5 V02N0301 +arc: JA6 N1_V01S0100 +arc: JA7 N1_V01S0100 +arc: JC0 V02N0401 +arc: JC1 V02N0401 +arc: JC2 H00R0100 +arc: JC3 H00R0100 +arc: JC4 H02W0601 +arc: JC5 H02W0601 +arc: JC6 S1_V02N0001 +arc: JC7 S1_V02N0001 +arc: JCLK0 G_HPBX0000 +arc: JD2 H02W0001 +arc: JLSR1 V00B0100 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 E1_H02W0201 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 + +.tile CIB_R22C7:CIB_EBR +arc: E1_H02E0601 V02N0601 +arc: JA0 W1_H02E0501 +arc: JA1 W1_H02E0501 +arc: JA4 V02N0301 +arc: JA5 V02N0301 +arc: JA6 V02N0101 +arc: JA7 V02N0101 +arc: JC0 W1_H02E0601 +arc: JC1 W1_H02E0601 +arc: JC4 V00B0100 +arc: JC5 S1_V02N0201 +arc: JC6 H02E0601 +arc: JC7 H02E0601 +arc: JCE1 H02W0101 +arc: JCLK0 G_HPBX0000 +arc: V00B0100 H02E0501 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0601 E1_H02W0301 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R22C8:CIB_EBR +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0601 W1_H02E0601 +arc: E1_H02E0701 W1_H02E0601 +arc: JD4 S1_V02N0601 +arc: S3_V06S0203 JQ4 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 S1_V02N0201 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 + +.tile CIB_R22C9:CIB_EBR +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0201 V01N0001 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0701 V02N0701 +arc: H00L0000 H02E0001 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA2 V02N0701 +arc: JA3 V02N0701 +arc: JA4 V02N0301 +arc: JA5 V02N0301 +arc: JA6 V00T0100 +arc: JA7 V00T0100 +arc: JC0 E1_H02W0401 +arc: JC1 E1_H02W0401 +arc: JC2 W1_H02E0601 +arc: JC3 W1_H02E0601 +arc: JC4 V02S0201 +arc: JC5 V02S0201 +arc: JC6 V02N0001 +arc: JC7 V02N0001 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 H02E0301 +arc: N1_V01N0001 S3_V06N0003 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0303 E1_H01W0100 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0301 S3_V06N0003 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R34C10:CIB_DSP +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 + +.tile CIB_R34C11:CIB_DSP +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: S3_V06S0003 N3_V06S0003 + +.tile CIB_R34C13:CIB_DSP +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile CIB_R34C15:CIB_DSP +arc: S3_V06S0203 N3_V06S0103 + +.tile CIB_R34C16:CIB_DSP +arc: N3_V06N0003 S3_V06N0303 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile CIB_R34C17:CIB_DSP +arc: S3_V06S0003 N3_V06S0003 + +.tile CIB_R34C18:CIB_DSP +arc: N3_V06N0203 S3_V06N0203 +arc: S3_V06S0203 N3_V06S0103 + +.tile CIB_R34C19:CIB_DSP +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 + +.tile CIB_R34C20:CIB_DSP +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 + +.tile CIB_R34C21:CIB_DSP +arc: N3_V06N0003 S3_V06N0003 +arc: S3_V06S0203 N3_V06S0203 + +.tile CIB_R34C22:CIB_DSP +arc: E3_H06E0103 S3_V06N0103 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile CIB_R34C23:CIB_DSP +arc: N1_V02N0101 S1_V02N0101 +arc: N3_V06N0303 S3_V06N0203 +arc: S3_V06S0303 N3_V06S0303 + +.tile CIB_R34C24:CIB_DSP +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 +arc: S3_V06S0203 N3_V06S0203 + +.tile CIB_R34C25:CIB_DSP +arc: N1_V02N0301 N1_V01S0100 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 H06E0103 +arc: N3_V06N0203 S3_V06N0103 + +.tile CIB_R34C27:CIB_DSP +arc: E1_H02E0301 N1_V02S0301 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 N1_V01S0100 + +.tile CIB_R34C28:CIB_DSP +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 N1_V01S0000 +arc: N3_V06N0103 S3_V06N0003 + +.tile CIB_R34C29:CIB_DSP +arc: N1_V02N0301 W1_H02E0301 +arc: S1_V02S0101 N1_V02S0001 + +.tile CIB_R34C31:CIB_DSP +arc: S1_V02S0501 V01N0101 + +.tile CIB_R34C34:CIB_DSP +arc: N3_V06N0103 S3_V06N0003 + +.tile CIB_R34C37:CIB_DSP +arc: N3_V06N0203 S3_V06N0103 + +.tile CIB_R34C3:CIB_DSP +arc: N3_V06N0103 S3_V06N0003 + +.tile CIB_R34C40:CIB_DSP +arc: N3_V06N0103 S3_V06N0103 + +.tile CIB_R34C4:CIB_DSP +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 + +.tile CIB_R34C5:CIB_DSP +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 + +.tile CIB_R34C7:CIB_DSP +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 + +.tile CIB_R34C8:CIB_DSP +arc: N3_V06N0303 S3_V06N0203 +arc: S3_V06S0003 N3_V06S0303 + +.tile CIB_R34C9:CIB_DSP +arc: N3_V06N0303 S3_V06N0303 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 + +.tile CIB_R38C1:CIB_LR +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0301 S1_V02N0301 + +.tile CIB_R40C1:CIB_LR +arc: E1_H02E0301 E3_H06W0003 +arc: N1_V02N0301 E3_H06W0003 + +.tile CIB_R41C1:CIB_LR +arc: E1_H02E0401 E1_H01W0000 +arc: S1_V02S0401 E1_H02W0401 + +.tile CIB_R42C1:CIB_LR +arc: E1_H02E0401 V02S0401 + +.tile CIB_R43C1:CIB_LR +arc: E1_H02E0701 E3_H06W0203 + +.tile CIB_R44C1:CIB_LR +arc: E1_H02E0201 E3_H06W0103 + +.tile CIB_R45C1:CIB_LR +arc: E1_H02E0201 E3_H06W0103 + +.tile CIB_R46C10:CIB_EBR +arc: E1_H01E0101 JQ6 +arc: E1_H02E0101 H01E0101 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0501 V02N0501 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 E1_H02W0001 +arc: H00L0100 H02E0101 +arc: H01W0000 E3_H06W0103 +arc: JA0 H00L0100 +arc: JA1 W1_H02E0501 +arc: JA2 V00T0000 +arc: JA3 V02N0701 +arc: JA4 W1_H02E0701 +arc: JA5 V00B0000 +arc: JC0 H00L0100 +arc: JC1 W1_H02E0401 +arc: JC2 H00L0000 +arc: JC3 E1_H02W0401 +arc: JC4 V00B0100 +arc: JC5 V02S0201 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: JD6 E1_H02W0201 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0501 V01N0101 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0001 H06W0003 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 N1_V02S0501 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N1_V02S0201 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 V02S0201 +arc: V00B0100 W1_H02E0701 +arc: V00T0000 E1_H02W0001 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0601 N1_V02S0601 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JD7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 + +.tile CIB_R46C11:CIB_EBR +arc: E1_H02E0101 V01N0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0501 V02S0501 +arc: E3_H06E0103 S3_V06N0103 +arc: JA0 W1_H02E0701 +arc: JA1 W1_H02E0701 +arc: JA2 E1_H02W0701 +arc: JA3 E1_H02W0701 +arc: JA4 W1_H02E0501 +arc: JA5 W1_H02E0501 +arc: JA6 V02S0101 +arc: JA7 V02S0101 +arc: JC0 W1_H02E0601 +arc: JC1 W1_H02E0601 +arc: JC2 E1_H02W0401 +arc: JC3 E1_H02W0401 +arc: JC4 W1_H02E0401 +arc: JC5 W1_H02E0401 +arc: JC6 V00T0100 +arc: JC7 V00T0100 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 H02W0301 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 H06W0203 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0501 N1_V02S0501 +arc: S1_V02S0601 S3_V06N0303 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 H01E0101 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 E3_H06W0303 +arc: V00T0100 V02N0501 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0601 N3_V06S0303 +arc: W1_H02W0701 V06N0203 +arc: W3_H06W0003 V06N0003 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0303 E1_H01W0100 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R46C12:CIB_EBR +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0601 V06N0303 +arc: E3_H06E0003 W3_H06E0303 +arc: H00L0000 H02E0201 +arc: H00R0000 V02N0401 +arc: H00R0100 W1_H02E0501 +arc: H01W0100 E3_H06W0303 +arc: JA0 V02S0701 +arc: JA1 V02S0701 +arc: JA4 H02W0501 +arc: JA5 H02W0501 +arc: JA6 H00R0000 +arc: JA7 H00R0000 +arc: JC0 H00L0000 +arc: JC1 H00L0000 +arc: JC4 H02E0401 +arc: JC5 H02E0401 +arc: JC6 V02N0001 +arc: JC7 V02N0001 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0103 V01N0101 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0201 N1_V02S0201 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0601 S3_V06N0303 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 E1_H01W0100 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 N1_V01S0100 +arc: W1_H02W0201 N1_V01S0000 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 S3_V06N0303 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 V01N0001 +arc: W3_H06W0303 N1_V01S0100 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD6MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R46C13:CIB_EBR +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 N1_V01S0100 +arc: E3_H06E0303 W1_H02E0501 +arc: H00L0100 H02W0101 +arc: H00R0100 H02W0701 +arc: H01W0100 W3_H06E0303 +arc: JA0 H00L0100 +arc: JA1 H00L0100 +arc: JA2 H02W0501 +arc: JA3 H02W0501 +arc: JA4 E1_H02W0501 +arc: JA5 E1_H02W0501 +arc: JA6 V02N0101 +arc: JA7 V02N0101 +arc: JC0 H00R0100 +arc: JC1 H00R0100 +arc: JC2 V02N0401 +arc: JC3 V02N0401 +arc: JC4 H02E0401 +arc: JC5 H02E0401 +arc: JC6 V00T0100 +arc: JC7 V00T0100 +arc: JCLK0 G_HPBX0000 +arc: JD0 H02W0001 +arc: JLSR1 V00T0000 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0101 E3_H06W0103 +arc: S1_V02S0201 H01E0001 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0601 H01E0001 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00T0000 H02W0201 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0001 JQ0 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 N1_V01S0000 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0003 E1_H02W0001 +arc: W3_H06W0103 N1_V01S0100 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E1_H02W0501 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R46C14:CIB_EBR +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0601 S1_V02N0601 +arc: E3_H06E0003 V01N0001 +arc: E3_H06E0103 W1_H02E0201 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 V02N0001 +arc: H00R0100 V02S0501 +arc: H01W0100 E3_H06W0303 +arc: JA0 H02E0501 +arc: JA1 V02N0701 +arc: JA4 V00T0000 +arc: JA5 H02E0501 +arc: JA6 V00T0100 +arc: JA7 H00L0000 +arc: JC0 H02W0601 +arc: JC1 E1_H02W0601 +arc: JC4 H02E0401 +arc: JC5 W1_H02E0601 +arc: JC6 W1_H02E0601 +arc: JC7 H02W0601 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 E1_H01W0100 +arc: S3_V06S0303 E1_H01W0100 +arc: V00T0000 V02N0601 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 V06N0003 +arc: W1_H02W0101 E3_H06W0103 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0701 E1_H02W0701 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0103 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R46C15:CIB_EBR +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0501 S3_V06N0303 +arc: E1_H02E0601 V02S0601 +arc: E3_H06E0003 V01N0001 +arc: E3_H06E0203 N3_V06S0203 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 H02W0201 +arc: H01W0100 JQ2 +arc: JA0 V02S0501 +arc: JA1 V02S0501 +arc: JA2 V02N0701 +arc: JA3 V02N0701 +arc: JA4 V00T0000 +arc: JA5 V00T0000 +arc: JA6 H00L0000 +arc: JA7 H00L0000 +arc: JC0 N1_V02S0601 +arc: JC1 N1_V02S0601 +arc: JC2 V02N0401 +arc: JC3 V02N0401 +arc: JC4 V02S0001 +arc: JC5 V02S0001 +arc: JC6 S1_V02N0001 +arc: JC7 S1_V02N0001 +arc: JCLK0 G_HPBX0000 +arc: JD2 V02S0201 +arc: JLSR1 V00B0000 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N1_V02S0201 +arc: S3_V06S0203 H06W0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 H02E0401 +arc: V00T0000 V02S0401 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0301 N3_V06S0003 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0601 E1_H02W0601 +arc: W3_H06W0103 E1_H01W0100 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0303 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 + +.tile CIB_R46C16:CIB_EBR +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0501 E1_H01W0100 +arc: E3_H06E0103 W1_H02E0201 +arc: E3_H06E0303 V06N0303 +arc: H00L0000 V02N0201 +arc: H01W0100 E3_H06W0303 +arc: JA0 V02N0501 +arc: JA1 V02N0501 +arc: JA4 V00T0000 +arc: JA5 V00T0000 +arc: JA6 V00T0100 +arc: JA7 V00T0100 +arc: JC0 H00L0000 +arc: JC1 H00L0000 +arc: JC4 V00B0100 +arc: JC5 V00B0100 +arc: JC6 S1_V02N0001 +arc: JC7 S1_V02N0001 +arc: JCE1 S1_V02N0201 +arc: JCLK0 G_HPBX0000 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 E3_H06W0203 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 H01E0101 +arc: V00B0100 V02N0101 +arc: V00T0000 H02E0201 +arc: V00T0100 V02S0501 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0201 V06N0103 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0601 E3_H06W0303 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0003 S3_V06N0003 +arc: W3_H06W0103 E1_H01W0100 +arc: W3_H06W0203 N1_V01S0000 +arc: W3_H06W0303 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R46C17:CIB_EBR +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0401 W1_H02E0101 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W1_H02E0501 +arc: H00R0100 V02S0701 +arc: H01W0100 E3_H06W0303 +arc: JD4 H00R0100 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0601 E1_H02W0601 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N1_V02S0601 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 H01E0001 +arc: W1_H02W0701 V06S0203 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0203 JQ4 +arc: W3_H06W0303 E3_H06W0203 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 + +.tile CIB_R46C18:CIB_EBR +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0501 S3_V06N0303 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0203 N1_V01S0000 +arc: E3_H06E0303 V01N0101 +arc: H00L0000 E1_H02W0201 +arc: H00R0100 W1_H02E0501 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA2 E1_H01E0001 +arc: JA3 V00T0000 +arc: JA4 H02W0501 +arc: JA5 H02W0501 +arc: JA6 V02N0101 +arc: JA7 V02N0101 +arc: JC0 H00R0100 +arc: JC1 H00R0100 +arc: JC2 V02N0601 +arc: JC3 V02N0601 +arc: JC4 H02E0401 +arc: JC5 H02E0401 +arc: JC6 V00T0100 +arc: JC7 V00T0100 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 W1_H02E0301 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0103 H06W0103 +arc: N3_V06N0203 S1_V02N0701 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0501 S3_V06N0303 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 V02N0501 +arc: V01S0000 S3_V06N0103 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 S3_V06N0303 +arc: W3_H06W0003 S3_V06N0003 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E1_H02W0501 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R46C19:CIB_EBR +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0101 W1_H02E0001 +arc: E3_H06E0003 N3_V06S0003 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0100 E1_H02W0101 +arc: H00R0100 H02E0701 +arc: H01W0000 W3_H06E0103 +arc: JA0 H02W0701 +arc: JA1 E1_H02W0701 +arc: JA2 H02E0701 +arc: JA3 H00L0100 +arc: JA4 V02N0101 +arc: JA5 V00T0100 +arc: JC0 H02W0601 +arc: JC1 H02W0401 +arc: JC2 H00R0100 +arc: JC3 H00L0100 +arc: JC4 V00B0100 +arc: JC5 V00T0100 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 N1_V02S0301 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0100 V02N0101 +arc: V00T0100 V02N0701 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 N3_V06S0303 +arc: W3_H06W0103 E1_H02W0201 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 + +.tile CIB_R46C20:CIB_EBR +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0701 V02N0701 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 W3_H06E0303 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N1_V02S0401 +arc: S3_V06S0303 N3_V06S0203 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 E1_H02W0601 +arc: W1_H02W0701 E1_H02W0701 +arc: W3_H06W0103 E1_H02W0201 +arc: W3_H06W0303 E1_H02W0501 + +.tile CIB_R46C21:CIB_EBR +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0003 N1_V01S0000 +arc: E3_H06E0103 N3_V06S0103 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0303 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0301 H06E0003 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 N1_V02S0501 +arc: S1_V02S0601 W3_H06E0303 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 W3_H06E0303 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0003 S3_V06N0003 +arc: W3_H06W0103 E1_H02W0201 +arc: W3_H06W0303 E1_H02W0501 + +.tile CIB_R46C22:CIB_EBR +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0701 V06N0203 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 V01N0101 +arc: H00L0000 H02E0201 +arc: H01W0000 JQ0 +arc: JA0 H01E0001 +arc: JA1 H01E0001 +arc: JA2 H02E0701 +arc: JA3 H02E0701 +arc: JA4 H02W0701 +arc: JA5 H02W0701 +arc: JA6 V00T0100 +arc: JA7 V00T0100 +arc: JC0 H02E0601 +arc: JC1 H02E0601 +arc: JC2 H00L0000 +arc: JC3 H00L0000 +arc: JC4 V00T0000 +arc: JC5 V00T0000 +arc: JC6 H02W0401 +arc: JC7 H02W0401 +arc: JCLK0 G_HPBX0000 +arc: JD0 W1_H02E0001 +arc: JLSR1 V00B0100 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0301 S3_V06N0003 +arc: N3_V06N0003 V01N0001 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0601 E1_H02W0601 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0100 W1_H02E0701 +arc: V00T0000 V02N0401 +arc: V00T0100 H02E0101 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 V01N0001 +arc: W1_H02W0701 V01N0101 +arc: W3_H06W0003 E1_H02W0301 +arc: W3_H06W0203 V01N0001 +arc: W3_H06W0303 V01N0101 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R46C23:CIB_EBR +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 W3_H06E0303 +arc: E1_H02E0701 V01N0101 +arc: E3_H06E0103 V01N0101 +arc: H00L0100 S1_V02N0101 +arc: H00R0000 S1_V02N0601 +arc: JA0 H00L0100 +arc: JA1 H00R0000 +arc: JA4 H02E0701 +arc: JA5 S1_V02N0101 +arc: JA6 S1_V02N0301 +arc: JA7 H02E0701 +arc: JC0 S1_V02N0401 +arc: JC1 H02W0401 +arc: JC4 V00B0100 +arc: JC5 W1_H02E0401 +arc: JC6 W1_H02E0401 +arc: JC7 V00T0000 +arc: JCE1 S1_V02N0201 +arc: JCLK0 G_HPBX0000 +arc: N1_V02N0601 E1_H02W0601 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 H06W0003 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0601 N1_V02S0301 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 H06W0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0100 V02N0301 +arc: V00T0000 S1_V02N0401 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0401 N1_V02S0401 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0701 N1_V01S0100 +arc: W3_H06W0003 N1_V01S0000 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E1_H02W0601 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R46C24:CIB_EBR +arc: E1_H02E0101 V01N0101 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 E1_H01W0100 +arc: H00R0000 V02S0401 +arc: JA0 V02N0701 +arc: JA1 V02N0701 +arc: JA2 H02W0701 +arc: JA3 H02W0701 +arc: JA4 H02E0501 +arc: JA5 H02E0501 +arc: JA6 V02N0101 +arc: JA7 V02N0101 +arc: JC0 H02W0601 +arc: JC1 H02W0601 +arc: JC2 H02W0401 +arc: JC3 H02W0401 +arc: JC4 V00T0000 +arc: JC5 V00T0000 +arc: JC6 V00T0100 +arc: JC7 V00T0100 +arc: JCLK0 G_HPBX0000 +arc: JD2 H00R0000 +arc: JLSR1 E1_H02W0501 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0501 W3_H06E0303 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0203 V01N0001 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0401 W3_H06E0203 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 H06E0303 +arc: V00T0000 H02E0201 +arc: V00T0100 V02N0501 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0601 S3_V06N0303 +arc: W3_H06W0003 V01N0001 +arc: W3_H06W0103 JQ2 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 + +.tile CIB_R46C25:CIB_EBR +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 V01N0001 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0303 V01N0101 +arc: H00L0100 V02N0301 +arc: H00R0000 W1_H02E0401 +arc: H01W0100 W3_H06E0303 +arc: JA0 V01N0101 +arc: JA1 V01N0101 +arc: JA4 H02E0501 +arc: JA5 H02E0501 +arc: JA6 W1_H02E0701 +arc: JA7 W1_H02E0701 +arc: JC0 H00L0100 +arc: JC1 H00L0100 +arc: JC4 H02E0601 +arc: JC5 H02E0601 +arc: JC6 V02N0001 +arc: JC7 V02N0001 +arc: JCE1 H00R0000 +arc: JCLK0 G_HPBX0000 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0401 N3_V06S0203 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 H06E0303 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 S3_V06N0203 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 V02S0501 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R46C26:CIB_EBR +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0601 W1_H02E0601 +arc: E3_H06E0003 W1_H02E0301 +arc: H00R0100 W1_H02E0701 +arc: JD4 H00R0100 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 H02E0701 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0003 N3_V06S0303 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0501 E1_H02W0401 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0203 JQ4 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 + +.tile CIB_R46C27:CIB_EBR +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0401 N3_V06S0203 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 E1_H01W0100 +arc: H01W0000 E3_H06W0103 +arc: JA0 V02S0701 +arc: JA1 V02S0701 +arc: JA2 W1_H02E0701 +arc: JA3 W1_H02E0701 +arc: JA4 E1_H02W0501 +arc: JA5 E1_H02W0501 +arc: JA6 V00T0100 +arc: JA7 V00T0100 +arc: JC0 W1_H02E0401 +arc: JC1 W1_H02E0401 +arc: JC2 W1_H02E0601 +arc: JC3 W1_H02E0601 +arc: JC4 V02N0001 +arc: JC5 V02N0001 +arc: JC6 V00B0100 +arc: JC7 V00B0100 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 H02W0301 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0701 V01N0101 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 W3_H06E0303 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 W3_H06E0203 +arc: V00B0100 W1_H02E0501 +arc: V00T0100 W1_H02E0101 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0601 V02N0601 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R46C28:CIB_EBR +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0101 S3_V06N0103 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0100 V02N0301 +arc: H00R0000 W1_H02E0401 +arc: H01W0100 W3_H06E0303 +arc: JA0 H02E0701 +arc: JA1 H00R0000 +arc: JA2 H00L0100 +arc: JA3 W1_H02E0501 +arc: JA4 V00T0100 +arc: JA5 H02W0701 +arc: JC0 W1_H02E0601 +arc: JC1 W1_H02E0401 +arc: JC2 V02N0601 +arc: JC3 E1_H01W0000 +arc: JC4 H02E0601 +arc: JC5 H02W0601 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 S1_V02N0301 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 W3_H06E0303 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0303 N3_V06S0203 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0401 W3_H06E0203 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 + +.tile CIB_R46C29:CIB_EBR +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 N3_V06S0303 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0301 S3_V06N0003 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 E1_H02W0601 +arc: W1_H02W0701 E1_H02W0601 +arc: W3_H06W0203 E3_H06W0203 + +.tile CIB_R46C2:CIB_EBR +arc: E3_H06E0203 V06S0203 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0601 E1_H02W0601 + +.tile CIB_R46C30:CIB_EBR +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V06N0203 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 V02N0701 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S1_V02N0401 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 H02E0601 +arc: S3_V06S0303 N3_V06S0303 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0501 V06N0303 +arc: W1_H02W0701 N3_V06S0203 + +.tile CIB_R46C31:CIB_EBR +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 V02N0601 +arc: H00L0000 H02E0201 +arc: H00L0100 H02E0301 +arc: H00R0100 S1_V02N0501 +arc: JA0 V02N0701 +arc: JA1 V02N0701 +arc: JA2 H02E0501 +arc: JA3 H02E0501 +arc: JA4 W1_H02E0501 +arc: JA5 W1_H02E0501 +arc: JA6 H00L0000 +arc: JA7 H00L0000 +arc: JC0 H00L0100 +arc: JC1 H00L0100 +arc: JC2 H00R0100 +arc: JC3 H00R0100 +arc: JC4 V00B0100 +arc: JC5 V00B0100 +arc: JC6 V00T0000 +arc: JC7 V00T0000 +arc: JCLK0 G_HPBX0000 +arc: JD0 W1_H02E0201 +arc: JLSR1 V00T0100 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 V01N0101 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 W1_H02E0601 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0100 V02N0301 +arc: V00T0000 V02N0601 +arc: V00T0100 H02E0101 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0601 W3_H06E0303 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0003 JQ0 +arc: W3_H06W0303 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R46C32:CIB_EBR +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0103 W3_H06E0003 +arc: H00L0100 V02N0301 +arc: H00R0000 V02N0601 +arc: JA0 W1_H02E0701 +arc: JA1 H00L0100 +arc: JA4 V02N0101 +arc: JA5 W1_H02E0701 +arc: JA6 V02N0301 +arc: JA7 V02N0101 +arc: JC0 H02W0401 +arc: JC1 E1_H02W0601 +arc: JC4 E1_H02W0601 +arc: JC5 W1_H02E0401 +arc: JC6 W1_H02E0401 +arc: JC7 H02W0401 +arc: JCE1 H00R0000 +arc: JCLK0 G_HPBX0000 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 V01N0001 +arc: S1_V02S0101 N3_V06S0103 +arc: S3_V06S0103 N3_V06S0103 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0201 N3_V06S0103 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R46C33:CIB_EBR +arc: E1_H02E0401 V06N0203 +arc: E1_H02E0701 E1_H01W0100 +arc: H00L0100 V02N0301 +arc: H00R0000 H02W0401 +arc: H00R0100 W1_H02E0501 +arc: JA0 H00R0000 +arc: JA1 H00R0000 +arc: JA2 V02N0501 +arc: JA3 V02N0501 +arc: JA4 H02W0701 +arc: JA5 H02W0701 +arc: JA6 V02N0101 +arc: JA7 V02N0101 +arc: JC0 H00L0100 +arc: JC1 H00L0100 +arc: JC2 H00R0100 +arc: JC3 H00R0100 +arc: JC4 V00T0100 +arc: JC5 V00T0100 +arc: JC6 W1_H02E0601 +arc: JC7 W1_H02E0601 +arc: JCLK0 G_HPBX0000 +arc: JD2 V00B0100 +arc: JLSR1 V00T0000 +arc: V00B0100 V02S0301 +arc: V00T0000 H02W0001 +arc: V00T0100 H02W0301 +arc: W1_H02W0401 H01E0001 +arc: W3_H06W0103 JQ2 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 + +.tile CIB_R46C34:CIB_EBR +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0601 W3_H06E0303 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 W1_H02E0001 +arc: H00L0100 H02W0101 +arc: H00R0000 W1_H02E0601 +arc: H01W0100 W3_H06E0303 +arc: JA0 H00L0100 +arc: JA1 H00L0100 +arc: JA4 W1_H02E0701 +arc: JA5 W1_H02E0701 +arc: JA6 V02N0101 +arc: JA7 V02N0101 +arc: JC0 H00L0000 +arc: JC1 H00L0000 +arc: JC4 V00B0100 +arc: JC5 V00B0100 +arc: JC6 H02E0401 +arc: JC7 H02E0401 +arc: JCE1 H00R0000 +arc: JCLK0 G_HPBX0000 +arc: N3_V06N0303 W3_H06E0303 +arc: V00B0100 H02E0701 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 W3_H06E0303 +arc: W1_H02W0701 V02N0701 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R46C35:CIB_EBR +arc: E1_H02E0301 H01E0101 +arc: JD4 V02N0401 +arc: W1_H02W0101 V02N0101 +arc: W3_H06W0203 JQ4 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 + +.tile CIB_R46C36:CIB_EBR +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 W1_H02E0601 +arc: H00L0000 V02N0001 +arc: JA0 V02N0701 +arc: JA1 V02N0701 +arc: JA2 V00T0000 +arc: JA3 V00T0000 +arc: JA4 W1_H02E0701 +arc: JA5 W1_H02E0701 +arc: JA6 V02N0101 +arc: JA7 V02N0101 +arc: JC0 H00L0000 +arc: JC1 H00L0000 +arc: JC2 S1_V02N0601 +arc: JC3 S1_V02N0601 +arc: JC4 V00T0100 +arc: JC5 V00T0100 +arc: JC6 V00B0100 +arc: JC7 V00B0100 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 H02E0301 +arc: V00B0100 V02N0301 +arc: V00T0000 V02N0601 +arc: V00T0100 W1_H02E0301 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R46C37:CIB_EBR +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 V06N0303 +arc: H00R0100 V02N0501 +arc: JA0 H02E0701 +arc: JA1 V02N0501 +arc: JA2 V02N0701 +arc: JA3 H02W0701 +arc: JA4 E1_H01W0000 +arc: JA5 V02N0301 +arc: JC0 H02W0601 +arc: JC1 H00R0100 +arc: JC2 V02N0401 +arc: JC3 H02W0401 +arc: JC4 H02E0401 +arc: JC5 V02N0001 +arc: JCE1 V02N0201 +arc: JCLK0 G_HPBX0000 +arc: JD6 S1_V02N0601 +arc: N3_V06N0003 H06E0003 +arc: W3_H06W0303 JQ6 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JD7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 + +.tile CIB_R46C38:CIB_EBR +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0701 V02N0701 +arc: H00L0000 W1_H02E0001 +arc: H01W0000 W3_H06E0103 +arc: JA0 V02N0501 +arc: JA1 V02N0501 +arc: JA2 V00T0000 +arc: JA3 V00T0000 +arc: JA4 H02W0701 +arc: JA5 H02W0701 +arc: JA6 V02N0101 +arc: JA7 V02N0101 +arc: JC0 H00L0000 +arc: JC1 H00L0000 +arc: JC2 S1_V02N0601 +arc: JC3 S1_V02N0601 +arc: JC4 W1_H02E0601 +arc: JC5 W1_H02E0601 +arc: JC6 V02N0201 +arc: JC7 V02N0201 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 E1_H02W0501 +arc: V00T0000 V02N0601 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 V02N0701 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R46C39:CIB_EBR +arc: H00L0000 W1_H02E0001 +arc: H00L0100 H02E0101 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA4 W1_H02E0501 +arc: JA5 W1_H02E0501 +arc: JA6 H02E0701 +arc: JA7 H02E0701 +arc: JC0 H00L0100 +arc: JC1 H00L0100 +arc: JC4 V00T0000 +arc: JC5 V00T0000 +arc: JC6 W1_H02E0601 +arc: JC7 W1_H02E0601 +arc: JCE1 V02N0201 +arc: JCLK0 G_HPBX0000 +arc: V00T0000 H02W0001 +arc: W1_H02W0701 V02N0701 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD6MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R46C3:CIB_EBR +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0401 N3_V06S0203 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 S1_V02N0301 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0701 N3_V06S0203 + +.tile CIB_R46C40:CIB_EBR +arc: N3_V06N0003 W3_H06E0003 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0501 W3_H06E0303 + +.tile CIB_R46C4:CIB_EBR +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0101 H01E0101 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0103 V06S0103 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 H01E0101 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0601 H02W0601 +arc: W1_H02W0601 V06N0303 + +.tile CIB_R46C5:CIB_EBR +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0601 S1_V02N0601 +arc: E3_H06E0003 V01N0001 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 E1_H02W0601 +arc: N3_V06N0103 H06W0103 +arc: N3_V06N0203 H06W0203 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 H01E0001 +arc: S1_V02S0501 E3_H06W0303 +arc: S1_V02S0601 H02W0601 +arc: W1_H02W0201 N1_V01S0000 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 V02S0601 +arc: W3_H06W0103 E1_H02W0201 + +.tile CIB_R46C6:CIB_EBR +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0201 H01E0001 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0701 W1_H02E0701 +arc: E3_H06E0303 V06S0303 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0701 E1_H02W0701 +arc: S1_V02S0001 N1_V01S0000 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 H06W0003 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0601 S3_V06N0303 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 E3_H06W0303 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0501 V06S0303 +arc: W1_H02W0601 V02N0601 + +.tile CIB_R46C7:CIB_EBR +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 E3_H06W0303 +arc: E3_H06E0203 H01E0001 +arc: E3_H06E0303 N1_V01S0100 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 E1_H01W0000 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0201 H01E0001 +arc: S1_V02S0401 H01E0001 +arc: S1_V02S0601 W1_H02E0601 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 N1_V01S0100 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 V02S0601 + +.tile CIB_R46C8:CIB_EBR +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0303 W3_H06E0203 +arc: H01W0000 E3_H06W0103 +arc: JD4 V00B0000 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 H02W0601 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 V01N0101 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 JQ4 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 N1_V02S0001 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0101 N3_V06S0103 +arc: W1_H02W0701 E1_H02W0701 +arc: W3_H06W0003 N1_V01S0000 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0203 E3_H06W0103 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 + +.tile CIB_R46C9:CIB_EBR +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0501 E3_H06W0303 +arc: E1_H02E0601 E1_H01W0000 +arc: E1_H02E0701 H01E0101 +arc: E3_H06E0003 V06S0003 +arc: E3_H06E0203 N3_V06S0203 +arc: H00L0000 H02E0201 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA2 H01E0001 +arc: JA3 H01E0001 +arc: JA4 V00B0000 +arc: JA5 V00B0000 +arc: JA6 V02N0101 +arc: JA7 V02N0101 +arc: JC0 E1_H01W0000 +arc: JC1 E1_H01W0000 +arc: JC2 W1_H02E0401 +arc: JC3 W1_H02E0401 +arc: JC4 E1_H01E0101 +arc: JC5 E1_H01E0101 +arc: JC6 V00T0000 +arc: JC7 V00T0000 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 W1_H02E0501 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 H06W0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N1_V02S0601 +arc: V00B0000 W1_H02E0601 +arc: V00T0000 V02N0601 +arc: W1_H02W0101 V06N0103 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0601 V02N0601 +arc: W3_H06W0003 N1_V01S0000 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0203 S3_V06N0203 +arc: W3_H06W0303 E3_H06W0203 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R47C1:CIB_LR +arc: S1_V02S0501 JF5 + +.tile CIB_R48C1:CIB_LR +arc: E1_H02E0601 E3_H06W0303 + +.tile CIB_R49C1:CIB_LR +arc: S1_V02S0501 N1_V02S0501 +arc: S3_V06S0103 E3_H06W0103 + +.tile CIB_R50C1:CIB_LR +arc: E1_H02E0101 V02N0101 +arc: H00R0100 V02S0501 +arc: JD7 H00R0100 + +.tile CIB_R51C1:CIB_LR +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0401 S3_V06N0203 +arc: N1_V02N0101 S3_V06N0103 + +.tile CIB_R52C1:CIB_LR +arc: E1_H02E0101 V06S0103 + +.tile CIB_R53C1:CIB_LR +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 S1_V02N0601 + +.tile CIB_R54C1:CIB_LR +arc: E1_H02E0601 E3_H06W0303 + +.tile CIB_R55C1:CIB_LR +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0601 E3_H06W0303 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0601 E3_H06W0303 +arc: S1_V02S0101 N3_V06S0103 +arc: V01S0000 S3_V06N0103 + +.tile CIB_R56C1:CIB_LR +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 N1_V01S0000 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 N1_V01S0000 + +.tile CIB_R57C1:CIB_LR +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0701 E1_H01W0100 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 + +.tile CIB_R58C10:CIB_DSP +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: W1_H02W0101 N1_V01S0100 + +.tile CIB_R58C11:CIB_DSP +arc: E3_H06E0303 S3_V06N0303 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 H06E0203 +arc: N3_V06N0303 S3_V06N0303 + +.tile CIB_R58C12:CIB_DSP +arc: E1_H02E0001 V01N0001 +arc: E1_H02E0601 V06S0303 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0701 N1_V01S0100 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 N1_V02S0301 +arc: W1_H02W0201 N3_V06S0103 + +.tile CIB_R58C13:CIB_DSP +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0601 V06S0303 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 H02E0601 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N1_V02S0601 +arc: W1_H02W0001 S3_V06N0003 +arc: W3_H06W0203 E1_H02W0401 +arc: W3_H06W0303 E1_H02W0601 + +.tile CIB_R58C14:CIB_DSP +arc: E1_H02E0101 S3_V06N0103 +arc: E3_H06E0103 S3_V06N0103 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0601 H02E0601 +arc: S3_V06S0103 N3_V06S0003 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0501 N1_V01S0100 +arc: W3_H06W0103 S3_V06N0103 + +.tile CIB_R58C15:CIB_DSP +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0203 V06N0203 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0601 W1_H02E0601 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0601 N1_V02S0301 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 S1_V02N0601 +arc: W3_H06W0003 S3_V06N0003 + +.tile CIB_R58C16:CIB_DSP +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0701 N1_V02S0701 +arc: E3_H06E0103 N3_V06S0103 +arc: E3_H06E0203 V06S0203 +arc: E3_H06E0303 V06N0303 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S1_V02N0401 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0501 E1_H01W0100 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N1_V01S0000 + +.tile CIB_R58C17:CIB_DSP +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0301 S1_V02N0301 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0203 JQ4 +arc: E3_H06E0303 JQ5 +arc: H01W0100 JQ7 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 V01N0101 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 V01N0101 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 E1_H01W0100 +arc: V01S0000 JQ6 + +.tile CIB_R58C18:CIB_DSP +arc: E1_H01E0001 JQ4 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 N3_V06S0303 +arc: E1_H02E0701 W1_H02E0701 +arc: H01W0000 JQ0 +arc: H01W0100 JQ1 +arc: N1_V01N0101 JQ6 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 V01N0101 +arc: S1_V02S0001 JQ2 +arc: S1_V02S0101 E1_H01W0100 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 JQ7 +arc: S1_V02S0601 N1_V02S0301 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 E1_H01W0000 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: V01S0000 JQ5 +arc: V01S0100 JQ3 +arc: W1_H02W0401 V06S0203 +enum: CIB.JC1MUX 0 +enum: CIB.JA6MUX 0 +enum: CIB.JC7MUX 0 +enum: CIB.JA4MUX 0 +enum: CIB.JC5MUX 0 +enum: CIB.JA2MUX 0 +enum: CIB.JC3MUX 0 +enum: CIB.JA0MUX 0 + +.tile CIB_R58C19:CIB_DSP +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0601 JQ4 +arc: E3_H06E0003 W1_H02E0301 +arc: E3_H06E0203 N3_V06S0203 +arc: H00L0100 V02S0301 +arc: H01W0000 JQ7 +arc: H01W0100 JQ1 +arc: JB6 V00B0000 +arc: JB7 V00T0000 +arc: JD6 H02E0001 +arc: JD7 H00L0100 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 E1_H02W0601 +arc: S1_V02S0101 JQ3 +arc: S1_V02S0201 H01E0001 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0401 JQ6 +arc: S1_V02S0601 E1_H01W0000 +arc: S1_V02S0701 JQ5 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0103 E1_H01W0100 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 N1_V02S0201 +arc: V00T0000 S1_V02N0401 +arc: V01S0000 JQ0 +arc: V01S0100 JQ2 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0601 N3_V06S0303 +arc: W3_H06W0203 N3_V06S0203 +enum: CIB.JC1MUX 0 +enum: CIB.JA6MUX 0 +enum: CIB.JC7MUX 0 +enum: CIB.JA4MUX 0 +enum: CIB.JC5MUX 0 + +.tile CIB_R58C1:CIB_LR_S +arc: JA0 V02N0701 +enum: CIB.JB0MUX 0 + +.tile CIB_R58C20:CIB_DSP +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0501 N1_V02S0501 +arc: E3_H06E0103 W3_H06E0103 +arc: H00R0100 E1_H02W0701 +arc: H01W0000 JQ3 +arc: H01W0100 JQ7 +arc: JB0 V00T0000 +arc: JB1 H02E0101 +arc: JB2 N1_V02S0301 +arc: JB3 S1_V02N0101 +arc: JB4 V02S0501 +arc: JB5 V02S0701 +arc: JB6 N1_V02S0701 +arc: JB7 W1_H02E0101 +arc: JD0 W1_H02E0201 +arc: JD1 S1_V02N0201 +arc: JD2 V00B0100 +arc: JD3 H02W0201 +arc: JD4 V00B0000 +arc: JD5 N1_V02S0601 +arc: JD6 H00R0100 +arc: JD7 H02W0001 +arc: JLSR1 V00T0100 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 S1_V02N0701 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0501 H06E0303 +arc: S3_V06S0003 JQ0 +arc: S3_V06S0103 JQ2 +arc: S3_V06S0203 JQ4 +arc: S3_V06S0303 E1_H01W0100 +arc: V00B0000 W1_H02E0601 +arc: V00B0100 W1_H02E0501 +arc: V00T0000 V02S0401 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 JQ1 +arc: W1_H02W0401 JQ6 +arc: W1_H02W0701 JQ5 + +.tile CIB_R58C21:CIB_DSP +arc: E1_H01E0001 JQ3 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0501 V02S0501 +arc: E3_H06E0103 V01N0101 +arc: E3_H06E0303 W1_H02E0601 +arc: H00R0000 V02N0601 +arc: H00R0100 H02E0501 +arc: H01W0000 JQ1 +arc: H01W0100 JQ2 +arc: JB0 V02N0301 +arc: JB1 W1_H02E0301 +arc: JB2 H02E0301 +arc: JB3 H02W0101 +arc: JB4 V02N0701 +arc: JB5 V00B0100 +arc: JCE3 H00R0100 +arc: JD0 W1_H02E0201 +arc: JD1 V00T0100 +arc: JD2 V02S0001 +arc: JD3 H00R0000 +arc: JD4 H02E0201 +arc: JD5 V00B0000 +arc: JLSR0 H02E0501 +arc: JLSR1 H02E0501 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 W3_H06E0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 E1_H01W0100 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 H02W0601 +arc: V00B0100 V02N0101 +arc: V00T0100 H02W0301 +arc: V01S0000 JQ0 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0601 S1_V02N0601 +enum: CIB.JA3MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JA1MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R58C22:CIB_DSP +arc: E1_H01E0101 JQ2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 JQ4 +arc: E1_H02E0701 N1_V02S0701 +arc: E3_H06E0003 JQ0 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 JQ7 +arc: E3_H06E0303 N3_V06S0303 +arc: H01W0100 JQ6 +arc: N1_V01N0001 JQ3 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 W3_H06E0303 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0301 JQ1 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0003 E1_H01W0000 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 JQ5 +arc: V01S0000 S3_V06N0103 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0301 N1_V02S0301 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0601 N3_V06S0303 +arc: W1_H02W0701 W3_H06E0203 +enum: CIB.JC1MUX 0 +enum: CIB.JA6MUX 0 +enum: CIB.JC7MUX 0 +enum: CIB.JA4MUX 0 +enum: CIB.JC5MUX 0 +enum: CIB.JA2MUX 0 +enum: CIB.JC3MUX 0 +enum: CIB.JA0MUX 0 + +.tile CIB_R58C23:CIB_DSP +arc: E1_H01E0001 JQ0 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0501 N1_V02S0501 +arc: E3_H06E0103 JQ2 +arc: E3_H06E0203 JQ4 +arc: E3_H06E0303 JQ6 +arc: H01W0000 JQ5 +arc: N1_V01N0001 JQ3 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 JQ7 +arc: N1_V02N0601 H02W0601 +arc: S1_V02S0101 JQ1 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0501 E1_H01W0100 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0303 H01E0101 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0701 V06S0203 +enum: CIB.JC1MUX 0 +enum: CIB.JA6MUX 0 +enum: CIB.JC7MUX 0 +enum: CIB.JA4MUX 0 +enum: CIB.JC5MUX 0 + +.tile CIB_R58C24:CIB_DSP +arc: E1_H01E0001 JQ5 +arc: E1_H01E0101 JQ1 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 V02N0701 +arc: H01W0000 JQ7 +arc: H01W0100 JQ0 +arc: JB2 N1_V02S0301 +arc: JB3 W1_H02E0301 +arc: JB4 N1_V02S0701 +arc: JB5 H02E0301 +arc: JB6 N1_V01S0000 +arc: JB7 V00T0000 +arc: JD2 V02N0001 +arc: JD3 V02N0201 +arc: JD4 V02N0401 +arc: JD5 H02W0001 +arc: JD6 S1_V02N0601 +arc: JD7 V00B0000 +arc: JLSR0 H02E0501 +arc: JLSR1 H02E0501 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0601 JQ4 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 JQ3 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0401 W1_H02E0401 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0203 N1_V02S0701 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 N1_V02S0201 +arc: V00T0000 E1_H02W0201 +arc: V01S0000 N3_V06S0103 +arc: V01S0100 JQ2 +arc: W1_H02W0601 JQ6 + +.tile CIB_R58C25:CIB_DSP +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0003 JQ3 +arc: E3_H06E0103 V01N0101 +arc: E3_H06E0203 JQ4 +arc: E3_H06E0303 JQ5 +arc: H00R0000 H02E0601 +arc: H00R0100 V02S0501 +arc: H01W0000 JQ0 +arc: JB0 H00R0100 +arc: JB1 V00T0000 +arc: JB2 H02W0101 +arc: JB3 E1_H02W0101 +arc: JB4 H00R0000 +arc: JB5 H02E0301 +arc: JB6 H02E0101 +arc: JB7 N1_V02S0701 +arc: JD0 S1_V02N0001 +arc: JD1 N1_V02S0201 +arc: JD2 H02E0001 +arc: JD3 V00T0100 +arc: JD4 N1_V02S0401 +arc: JD5 V01N0001 +arc: JD6 W1_H02E0001 +arc: JD7 N1_V02S0601 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 JQ2 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 H06E0203 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0201 H01E0001 +arc: S1_V02S0301 H06E0003 +arc: S1_V02S0501 S3_V06N0303 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0103 JQ1 +arc: S3_V06S0203 E1_H01W0000 +arc: S3_V06S0303 N1_V01S0100 +arc: V00T0000 V02S0601 +arc: V00T0100 V02N0701 +arc: V01S0000 JQ7 +arc: V01S0100 JQ6 +arc: W1_H02W0001 V02S0001 +arc: W3_H06W0003 E3_H06W0003 +enum: CIB.JA3MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JA1MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JA7MUX 0 + +.tile CIB_R58C26:CIB_DSP +arc: E1_H01E0001 JF4 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 JF5 +arc: E3_H06E0303 JQ6 +arc: H00L0000 V02S0201 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 H02W0401 +arc: H01W0000 JQ5 +arc: H01W0100 JQ7 +arc: JB0 V02S0101 +arc: JB1 V02N0101 +arc: JB4 H00L0000 +arc: JB5 H00R0000 +arc: JB6 V00B0100 +arc: JB7 H02E0101 +arc: JCE0 H00L0100 +arc: JCE1 H00L0100 +arc: JCE2 H00L0100 +arc: JCE3 H00L0100 +arc: JD0 W1_H02E0201 +arc: JD1 V00T0100 +arc: JD4 V02S0601 +arc: JD5 V02N0601 +arc: JD6 H02W0201 +arc: JD7 H02E0001 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 E1_H01W0100 +arc: S1_V02S0601 JF6 +arc: S1_V02S0701 JF7 +arc: S3_V06S0003 E1_H01W0000 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0203 JQ4 +arc: S3_V06S0303 N1_V01S0100 +arc: V00B0100 H02W0701 +arc: V00T0100 V02S0701 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0201 N1_V02S0201 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB3MUX 0 + +.tile CIB_R58C27:CIB_DSP +arc: E1_H01E0001 JF1 +arc: E1_H01E0101 JF0 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0501 N3_V06S0303 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0203 JF7 +arc: E3_H06E0303 JF6 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 V02N0701 +arc: H01W0000 JQ1 +arc: H01W0100 JQ4 +arc: JB0 V02S0101 +arc: JB1 N1_V02S0301 +arc: JB2 V02S0301 +arc: JB3 W1_H02E0301 +arc: JB4 H02W0101 +arc: JB5 V02S0701 +arc: JB6 V00T0000 +arc: JB7 V00B0100 +arc: JD0 V00T0100 +arc: JD1 H00R0000 +arc: JD2 N1_V02S0001 +arc: JD3 V02S0201 +arc: JD4 V00B0000 +arc: JD5 H00R0100 +arc: JD6 H02W0001 +arc: JD7 H02W0201 +arc: N1_V01N0001 JQ3 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0201 E1_H01W0000 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 JF3 +arc: S1_V02S0201 JQ0 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0401 JF4 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 N1_V01S0000 +arc: S1_V02S0701 JF5 +arc: S3_V06S0103 JQ2 +arc: S3_V06S0203 JQ7 +arc: S3_V06S0303 JQ5 +arc: V00B0000 W1_H02E0401 +arc: V00B0100 N1_V02S0101 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 N1_V02S0501 +arc: V01S0100 JQ6 +arc: W1_H02W0001 JF2 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0701 N3_V06S0203 +enum: CIB.JA3MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JA1MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JA5MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC1MUX 0 +enum: CIB.JA6MUX 0 +enum: CIB.JC7MUX 0 +enum: CIB.JA4MUX 0 +enum: CIB.JC5MUX 0 +enum: CIB.JA2MUX 0 +enum: CIB.JC3MUX 0 +enum: CIB.JA0MUX 0 + +.tile CIB_R58C28:CIB_DSP +arc: E1_H01E0001 JQ2 +arc: E1_H01E0101 JF0 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0601 W3_H06E0303 +arc: H00L0000 V02S0201 +arc: H00R0000 V02S0601 +arc: H01W0000 JQ5 +arc: H01W0100 JQ4 +arc: JB0 V02S0101 +arc: JB1 W1_H02E0101 +arc: JB2 H00L0000 +arc: JB3 N1_V02S0301 +arc: JB6 V02N0701 +arc: JB7 V00B0100 +arc: JD0 W1_H02E0001 +arc: JD1 H00R0000 +arc: JD2 W1_H02E0201 +arc: JD3 V00T0100 +arc: JD6 N1_V02S0601 +arc: JD7 V02S0401 +arc: JLSR0 H02W0301 +arc: JLSR1 H02W0301 +arc: N1_V01N0001 JF5 +arc: N1_V02N0001 JF2 +arc: N1_V02N0301 JF1 +arc: N1_V02N0401 JQ6 +arc: N1_V02N0601 JF4 +arc: N1_V02N0701 JF7 +arc: S1_V02S0001 JQ0 +arc: S1_V02S0101 JF3 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0701 JQ7 +arc: S3_V06S0003 JQ3 +arc: S3_V06S0103 JQ1 +arc: S3_V06S0203 N1_V01S0000 +arc: S3_V06S0303 E1_H01W0100 +arc: V00B0100 H02E0701 +arc: V00T0100 V02S0701 +arc: V01S0000 JF6 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 H01E0001 +enum: CIB.JA5MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JA3MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JA1MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JC1MUX 0 +enum: CIB.JA6MUX 0 +enum: CIB.JC7MUX 0 +enum: CIB.JA4MUX 0 +enum: CIB.JC5MUX 0 + +.tile CIB_R58C29:CIB_DSP +arc: E1_H01E0001 JF3 +arc: E1_H01E0101 JF7 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0201 JF2 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 JQ6 +arc: E3_H06E0303 JF6 +arc: H01W0000 JQ4 +arc: H01W0100 JQ5 +arc: JB0 N1_V02S0301 +arc: JB1 W1_H02E0301 +arc: JB2 V02S0301 +arc: JB3 W1_H02E0101 +arc: JB4 V02S0501 +arc: JB5 E1_H02W0101 +arc: JB6 S1_V02N0501 +arc: JB7 H02E0101 +arc: JD0 N1_V02S0201 +arc: JD1 V00T0100 +arc: JD2 V00B0100 +arc: JD3 H02W0001 +arc: JD4 S1_V02N0601 +arc: JD5 V00B0000 +arc: JD6 W1_H02E0201 +arc: JD7 V02S0601 +arc: JLSR1 H02E0301 +arc: N1_V01N0001 JF5 +arc: N1_V01N0101 JQ1 +arc: N1_V02N0001 JQ0 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0201 JF0 +arc: N1_V02N0301 JQ3 +arc: N1_V02N0601 JF4 +arc: S1_V02S0101 JF1 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0301 N1_V01S0100 +arc: S1_V02S0401 W3_H06E0203 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 N1_V01S0000 +arc: S1_V02S0701 H01E0101 +arc: S3_V06S0003 H01E0001 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 H02W0601 +arc: V00B0100 W1_H02E0501 +arc: V00T0100 N1_V02S0701 +arc: V01S0000 JQ7 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 JQ2 +arc: W1_H02W0301 N3_V06S0003 +enum: CIB.JA5MUX 0 +enum: CIB.JC4MUX 0 + +.tile CIB_R58C2:CIB_DSP +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 N3_V06S0203 +arc: S1_V02S0101 V01N0101 + +.tile CIB_R58C30:CIB_DSP +arc: E1_H01E0001 JF3 +arc: H00L0100 H02E0301 +arc: H00R0000 N1_V02S0401 +arc: H00R0100 W1_H02E0501 +arc: H01W0000 JQ2 +arc: H01W0100 JF2 +arc: JB0 N1_V02S0101 +arc: JB1 H02E0101 +arc: JB2 V02S0301 +arc: JB3 H00R0100 +arc: JB4 V02N0501 +arc: JB5 H00R0000 +arc: JCE3 H00L0100 +arc: JD0 H02E0001 +arc: JD1 V00B0100 +arc: JD2 W1_H02E0001 +arc: JD3 N1_V02S0201 +arc: JD4 V02N0401 +arc: JD5 V00B0000 +arc: JLSR0 H02E0301 +arc: JLSR1 H02E0301 +arc: N1_V01N0001 JQ0 +arc: N1_V01N0101 JF0 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0101 JQ3 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0301 JQ1 +arc: S1_V02S0501 N1_V01S0100 +arc: S1_V02S0601 H06E0303 +arc: S1_V02S0701 H01E0101 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 JF1 +arc: V00B0000 W1_H02E0601 +arc: V00B0100 N1_V02S0301 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0601 N1_V02S0601 +enum: CIB.JA3MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JA1MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R58C31:CIB_DSP +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 N3_V06S0103 +arc: S1_V02S0001 H01E0001 +arc: S1_V02S0301 W3_H06E0003 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0601 W3_H06E0303 +arc: S1_V02S0701 W3_H06E0203 +arc: S3_V06S0303 N3_V06S0303 +arc: W1_H02W0101 W3_H06E0103 +arc: W3_H06W0003 S3_V06N0003 + +.tile CIB_R58C32:CIB_DSP +arc: S1_V02S0601 H06E0303 + +.tile CIB_R58C3:CIB_DSP +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0601 S1_V02N0301 + +.tile CIB_R58C4:CIB_DSP +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 E1_H02W0601 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0301 H02W0301 + +.tile CIB_R58C5:CIB_DSP +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 N1_V01S0100 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0701 N3_V06S0203 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0301 N3_V06S0003 + +.tile CIB_R58C6:CIB_DSP +arc: E1_H02E0301 V02S0301 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 V01N0101 +arc: S1_V02S0401 E1_H02W0401 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 N1_V01S0000 + +.tile CIB_R58C7:CIB_DSP +arc: E1_H02E0001 N3_V06S0003 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 S1_V02N0701 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0501 N1_V02S0401 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0601 V01N0001 + +.tile CIB_R58C8:CIB_DSP +arc: E3_H06E0203 S3_V06N0203 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 N3_V06S0303 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 N1_V02S0301 +arc: S1_V02S0701 N3_V06S0203 +arc: W1_H02W0401 E1_H02W0101 + +.tile CIB_R58C9:CIB_DSP +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0401 S3_V06N0203 +arc: V01S0000 N3_V06S0103 + +.tile CIB_R59C1:CIB_LR +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0701 S1_V02N0701 +arc: S1_V02S0701 E1_H01W0100 + +.tile CIB_R5C125:CIB_PLL1 +enum: CIB.JA3MUX 0 +enum: CIB.JB3MUX 0 + +.tile CIB_R5C1:CIB_PLL1 +enum: CIB.JA3MUX 0 +enum: CIB.JB3MUX 0 + +.tile CIB_R60C1:CIB_LR +arc: E1_H02E0001 E1_H01W0000 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 V02S0701 + +.tile CIB_R61C1:CIB_LR +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0401 E3_H06W0203 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 E1_H01W0100 +arc: N3_V06N0103 E3_H06W0103 + +.tile CIB_R62C1:CIB_LR +arc: E1_H02E0101 E1_H01W0100 +arc: N1_V02N0201 H06W0103 + +.tile CIB_R63C1:CIB_LR +arc: E1_H02E0401 E1_H01W0000 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 E3_H06W0103 + +.tile CIB_R65C1:CIB_LR +arc: E1_H02E0201 S3_V06N0103 + +.tile CIB_R70C10:CIB_EBR +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 V01N0001 +arc: E1_H02E0701 V02S0701 +arc: H00R0000 H02E0401 +arc: H00R0100 V02S0501 +arc: JA0 S1_V02N0701 +arc: JA1 H00R0000 +arc: JA2 S1_V02N0501 +arc: JA3 V02S0701 +arc: JA4 V02N0301 +arc: JA5 W1_H02E0501 +arc: JC0 S1_V02N0401 +arc: JC1 H02E0401 +arc: JC2 S1_V02N0601 +arc: JC3 V02S0401 +arc: JC4 V02N0001 +arc: JC5 W1_H02E0601 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: JD6 V00B0000 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 JQ6 +arc: V00B0000 V02S0201 +arc: W1_H02W0501 V06S0303 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JD7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R70C11:CIB_EBR +arc: E1_H02E0501 N1_V02S0501 +arc: H00L0100 W1_H02E0301 +arc: JA0 W1_H02E0501 +arc: JA1 W1_H02E0501 +arc: JA2 S1_V02N0501 +arc: JA3 S1_V02N0501 +arc: JA4 V00T0000 +arc: JA5 V00T0000 +arc: JA6 V00T0100 +arc: JA7 V00T0100 +arc: JC0 H00L0100 +arc: JC1 H00L0100 +arc: JC2 W1_H02E0601 +arc: JC3 W1_H02E0601 +arc: JC4 V00B0100 +arc: JC5 V00B0100 +arc: JC6 N1_V02S0001 +arc: JC7 N1_V02S0001 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 V00B0000 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 W1_H02E0701 +arc: V00T0000 V02S0401 +arc: V00T0100 H02E0301 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R70C12:CIB_EBR +arc: H00R0100 V02S0501 +arc: JA0 H02E0501 +arc: JA1 H02E0501 +arc: JA4 S1_V02N0101 +arc: JA5 S1_V02N0101 +arc: JA6 W1_H02E0701 +arc: JA7 W1_H02E0701 +arc: JC0 W1_H02E0601 +arc: JC1 W1_H02E0601 +arc: JC4 W1_H02E0401 +arc: JC5 W1_H02E0401 +arc: JC6 V00B0100 +arc: JC7 V00B0100 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: V00B0100 W1_H02E0501 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD6MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R70C13:CIB_EBR +arc: H00L0000 V02S0001 +arc: H00R0000 H02W0601 +arc: JA0 V02N0501 +arc: JA1 V02N0501 +arc: JA2 V00B0000 +arc: JA3 V00B0000 +arc: JA4 H02W0701 +arc: JA5 H02W0701 +arc: JA6 H00R0000 +arc: JA7 H00R0000 +arc: JC0 H00L0000 +arc: JC1 H00L0000 +arc: JC2 E1_H02W0601 +arc: JC3 E1_H02W0601 +arc: JC4 E1_H02W0401 +arc: JC5 E1_H02W0401 +arc: JC6 V00B0100 +arc: JC7 V00B0100 +arc: JCLK0 G_HPBX0000 +arc: JD0 S1_V02N0201 +arc: JLSR1 V00T0000 +arc: N3_V06N0003 JQ0 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 V02N0101 +arc: V00T0000 S1_V02N0601 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R70C14:CIB_EBR +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0501 S1_V02N0501 +arc: H00L0000 V02S0201 +arc: H00L0100 V02S0101 +arc: H00R0000 V02S0601 +arc: H00R0100 S1_V02N0501 +arc: JA0 H00L0100 +arc: JA1 S1_V02N0701 +arc: JA4 V00T0000 +arc: JA5 V02S0101 +arc: JA6 V00T0100 +arc: JA7 H00R0000 +arc: JC0 E1_H02W0601 +arc: JC1 H00L0000 +arc: JC4 V02S0201 +arc: JC5 V00B0100 +arc: JC6 V00B0100 +arc: JC7 E1_H02W0601 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: N3_V06N0303 E3_H06W0303 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 V02S0601 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 V02S0701 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R70C15:CIB_EBR +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0003 V06S0003 +arc: E3_H06E0103 V06S0103 +arc: H00L0000 H02W0001 +arc: H00L0100 S1_V02N0301 +arc: JA0 V02N0501 +arc: JA1 V02N0501 +arc: JA2 S1_V02N0501 +arc: JA3 S1_V02N0501 +arc: JA4 V00T0100 +arc: JA5 V00T0100 +arc: JA6 H00L0000 +arc: JA7 H00L0000 +arc: JC0 H00L0100 +arc: JC1 H00L0100 +arc: JC2 V02N0601 +arc: JC3 V02N0601 +arc: JC4 V01N0101 +arc: JC5 V01N0101 +arc: JC6 V02N0001 +arc: JC7 V02N0001 +arc: JCLK0 G_HPBX0000 +arc: JD2 N1_V02S0201 +arc: JLSR1 H02E0301 +arc: N3_V06N0103 JQ2 +arc: N3_V06N0203 S3_V06N0203 +arc: V00T0100 V02S0701 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 V06S0303 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 + +.tile CIB_R70C16:CIB_EBR +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0501 V06S0303 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0103 N1_V01S0100 +arc: E3_H06E0203 V01N0001 +arc: E3_H06E0303 V06S0303 +arc: H00L0100 V02N0301 +arc: H00R0100 W1_H02E0501 +arc: JA0 V02N0501 +arc: JA1 V02N0501 +arc: JA4 H02E0701 +arc: JA5 H02E0701 +arc: JA6 N1_V01S0100 +arc: JA7 N1_V01S0100 +arc: JC0 H00L0100 +arc: JC1 H00L0100 +arc: JC4 S1_V02N0201 +arc: JC5 S1_V02N0201 +arc: JC6 V00T0100 +arc: JC7 V00T0100 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: N3_V06N0003 E3_H06W0003 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0301 V06S0003 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 V01N0001 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R70C17:CIB_EBR +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 W1_H02E0601 +arc: JD4 H02E0001 +arc: N1_V02N0601 JQ4 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 S3_V06N0203 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 + +.tile CIB_R70C18:CIB_EBR +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 S1_V02N0701 +arc: H00R0000 V02N0601 +arc: JA0 H00R0000 +arc: JA1 H00R0000 +arc: JA2 S1_V02N0701 +arc: JA3 S1_V02N0701 +arc: JA4 W1_H02E0701 +arc: JA5 W1_H02E0701 +arc: JA6 W1_H02E0501 +arc: JA7 W1_H02E0501 +arc: JC0 S1_V02N0601 +arc: JC1 S1_V02N0601 +arc: JC2 V02N0401 +arc: JC3 V02N0401 +arc: JC4 V01N0101 +arc: JC5 V01N0101 +arc: JC6 V02N0001 +arc: JC7 V02N0001 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 H02E0501 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0203 S3_V06N0103 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R70C19:CIB_EBR +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0601 V01N0001 +arc: E1_H02E0701 N1_V02S0701 +arc: H00L0000 H02E0201 +arc: H00R0000 H02W0601 +arc: JA0 V02S0701 +arc: JA1 H00L0000 +arc: JA2 S1_V02N0501 +arc: JA3 W1_H02E0701 +arc: JA4 V02N0301 +arc: JA5 V00B0000 +arc: JC0 V02S0401 +arc: JC1 H00L0000 +arc: JC2 S1_V02N0601 +arc: JC3 H02W0401 +arc: JC4 V02N0001 +arc: JC5 W1_H02E0601 +arc: JCE1 H00R0000 +arc: JCLK0 G_HPBX0000 +arc: JD6 V02S0601 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 JQ6 +arc: V00B0000 W1_H02E0601 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JD7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 + +.tile CIB_R70C20:CIB_EBR +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 N1_V02S0601 +arc: JA0 W1_H02E0501 +arc: JA1 W1_H02E0501 +arc: JA2 W1_H02E0701 +arc: JA3 W1_H02E0701 +arc: JA4 N1_V02S0101 +arc: JA5 N1_V02S0101 +arc: JA6 H02E0701 +arc: JA7 H02E0701 +arc: JC0 W1_H02E0601 +arc: JC1 W1_H02E0601 +arc: JC2 W1_H02E0401 +arc: JC3 W1_H02E0401 +arc: JC4 V00T0100 +arc: JC5 V00T0100 +arc: JC6 N1_V02S0001 +arc: JC7 N1_V02S0001 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 V00T0000 +arc: V00T0000 V02N0601 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0601 S1_V02N0601 +arc: W3_H06W0303 E3_H06W0203 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R70C21:CIB_EBR +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 V06S0303 +arc: H00R0000 H02E0601 +arc: H00R0100 V02S0501 +arc: JA0 H00R0000 +arc: JA1 H00R0000 +arc: JA4 S1_V02N0101 +arc: JA5 S1_V02N0101 +arc: JA6 W1_H02E0501 +arc: JA7 W1_H02E0501 +arc: JC0 H02W0401 +arc: JC1 W1_H02E0601 +arc: JC4 W1_H02E0401 +arc: JC5 W1_H02E0401 +arc: JC6 S1_V02N0001 +arc: JC7 S1_V02N0001 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0203 S3_V06N0103 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD6MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R70C22:CIB_EBR +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 N1_V01S0100 +arc: E3_H06E0303 W3_H06E0303 +arc: H00R0000 V02N0601 +arc: JA0 H02E0501 +arc: JA1 H02E0501 +arc: JA2 H02E0701 +arc: JA3 H02E0701 +arc: JA4 H02W0701 +arc: JA5 H02W0701 +arc: JA6 H00R0000 +arc: JA7 H00R0000 +arc: JC0 H02E0601 +arc: JC1 H02E0601 +arc: JC2 H02E0401 +arc: JC3 H02E0401 +arc: JC4 V00T0000 +arc: JC5 V00T0000 +arc: JC6 V02N0201 +arc: JC7 V02N0201 +arc: JCLK0 G_HPBX0000 +arc: JD0 V00T0100 +arc: JLSR1 W1_H02E0501 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 E3_H06W0303 +arc: V00T0000 V02N0401 +arc: V00T0100 V02S0701 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0401 W3_H06E0203 +arc: W3_H06W0003 JQ0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R70C23:CIB_EBR +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0303 W1_H02E0601 +arc: H00L0000 W1_H02E0201 +arc: H00R0000 N1_V02S0601 +arc: H00R0100 V02S0501 +arc: JA0 H00L0000 +arc: JA1 N1_V02S0501 +arc: JA4 H02E0701 +arc: JA5 V02S0101 +arc: JA6 H00R0000 +arc: JA7 H02E0701 +arc: JC0 V02N0401 +arc: JC1 H02E0401 +arc: JC4 H02E0401 +arc: JC5 H02E0601 +arc: JC6 H02E0601 +arc: JC7 V02N0001 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: N3_V06N0003 H06W0003 +arc: W1_H02W0701 V02S0701 +arc: W3_H06W0203 E3_H06W0203 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R70C24:CIB_EBR +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0401 N3_V06S0203 +arc: E1_H02E0501 S1_V02N0501 +arc: H00L0000 H02W0001 +arc: H00R0000 V02S0601 +arc: JA0 H00R0000 +arc: JA1 H00R0000 +arc: JA2 S1_V02N0701 +arc: JA3 S1_V02N0701 +arc: JA4 E1_H02W0701 +arc: JA5 E1_H02W0701 +arc: JA6 N1_V02S0101 +arc: JA7 N1_V02S0101 +arc: JC0 H02W0401 +arc: JC1 H02W0401 +arc: JC2 H00L0000 +arc: JC3 H00L0000 +arc: JC4 V00T0100 +arc: JC5 V00T0100 +arc: JC6 V02S0001 +arc: JC7 V02S0001 +arc: JCLK0 G_HPBX0000 +arc: JD2 N1_V02S0201 +arc: JLSR1 H02E0301 +arc: N3_V06N0303 E3_H06W0303 +arc: S3_V06S0203 N3_V06S0203 +arc: V00T0100 H02W0301 +arc: W3_H06W0103 JQ2 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 + +.tile CIB_R70C25:CIB_EBR +arc: H00L0000 W1_H02E0001 +arc: H00R0000 H02W0401 +arc: JA0 H00R0000 +arc: JA1 H00R0000 +arc: JA4 H02E0501 +arc: JA5 H02E0501 +arc: JA6 V02N0301 +arc: JA7 V02N0301 +arc: JC0 W1_H02E0601 +arc: JC1 W1_H02E0601 +arc: JC4 V02N0201 +arc: JC5 V02N0201 +arc: JC6 H02E0401 +arc: JC7 H02E0401 +arc: JCE1 H00L0000 +arc: JCLK0 G_HPBX0000 +arc: N3_V06N0003 E3_H06W0003 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0301 V06S0003 +arc: W1_H02W0401 V01N0001 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R70C26:CIB_EBR +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 N1_V01S0100 +arc: E1_H02E0701 V02S0701 +arc: JD4 W1_H02E0001 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 JQ4 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 + +.tile CIB_R70C27:CIB_EBR +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 V01N0001 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 V02S0001 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 H02W0601 +arc: JA0 V02N0501 +arc: JA1 V02N0501 +arc: JA2 H00L0100 +arc: JA3 H00L0100 +arc: JA4 H02E0501 +arc: JA5 H02E0501 +arc: JA6 H00R0000 +arc: JA7 H02W0501 +arc: JC0 H00L0000 +arc: JC1 H00L0000 +arc: JC2 V02N0401 +arc: JC3 V02N0401 +arc: JC4 V02N0001 +arc: JC5 V02N0001 +arc: JC6 N1_V02S0201 +arc: JC7 N1_V02S0201 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 V00B0000 +arc: V00B0000 V02S0201 +arc: W3_H06W0103 E3_H06W0103 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R70C28:CIB_EBR +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0401 N1_V02S0401 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0701 V02S0701 +arc: H00L0000 W1_H02E0001 +arc: H00R0000 V02S0401 +arc: JA0 S1_V02N0701 +arc: JA1 H00R0000 +arc: JA2 V00B0000 +arc: JA3 W1_H02E0701 +arc: JA4 V02N0301 +arc: JA5 V00T0100 +arc: JC0 S1_V02N0401 +arc: JC1 V02S0401 +arc: JC2 S1_V02N0601 +arc: JC3 W1_H02E0401 +arc: JC4 V02N0001 +arc: JC5 N1_V02S0001 +arc: JCE1 H00L0000 +arc: JCLK0 G_HPBX0000 +arc: JD6 H02E0201 +arc: V00B0000 V02S0001 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0501 V06S0303 +arc: W1_H02W0601 W3_H06E0303 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 JQ6 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JD7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R70C29:CIB_EBR +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0303 W3_H06E0303 +arc: JA0 W1_H02E0501 +arc: JA1 W1_H02E0501 +arc: JA2 V00T0000 +arc: JA3 V00T0000 +arc: JA4 N1_V01S0100 +arc: JA5 N1_V01S0100 +arc: JA6 V00T0100 +arc: JA7 H02E0501 +arc: JC0 S1_V02N0601 +arc: JC1 S1_V02N0601 +arc: JC2 W1_H02E0401 +arc: JC3 W1_H02E0401 +arc: JC4 W1_H02E0601 +arc: JC5 W1_H02E0601 +arc: JC6 N1_V02S0201 +arc: JC7 N1_V02S0201 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 V00B0000 +arc: V00B0000 V02N0001 +arc: V00T0000 W1_H02E0001 +arc: V00T0100 H02E0301 +arc: W3_H06W0203 E3_H06W0203 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R70C30:CIB_EBR +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0401 V02N0401 +arc: H00L0100 H02E0301 +arc: JA0 H02E0501 +arc: JA1 H00L0100 +arc: JA4 S1_V02N0101 +arc: JA5 S1_V02N0101 +arc: JA6 W1_H02E0701 +arc: JA7 V00T0100 +arc: JC0 H02E0401 +arc: JC1 H02E0401 +arc: JC4 W1_H02E0401 +arc: JC5 W1_H02E0401 +arc: JC6 V02S0001 +arc: JC7 S1_V02N0001 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: V00T0100 V02S0701 +arc: W3_H06W0303 E3_H06W0203 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD6MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R70C31:CIB_EBR +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0701 V06S0203 +arc: H00L0000 N1_V02S0001 +arc: JA0 V02S0501 +arc: JA1 V02S0501 +arc: JA2 V02N0501 +arc: JA3 V02N0501 +arc: JA4 W1_H02E0701 +arc: JA5 W1_H02E0701 +arc: JA6 V02S0301 +arc: JA7 V02S0301 +arc: JC0 H02E0401 +arc: JC1 H02E0401 +arc: JC2 H00L0000 +arc: JC3 H00L0000 +arc: JC4 V01N0101 +arc: JC5 V01N0101 +arc: JC6 V02N0201 +arc: JC7 V02N0201 +arc: JCLK0 G_HPBX0000 +arc: JD0 V02S0001 +arc: JLSR1 V00B0000 +arc: N3_V06N0003 JQ0 +arc: V00B0000 V02N0001 +arc: W3_H06W0003 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R70C32:CIB_EBR +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0501 N1_V02S0501 +arc: H00L0100 W1_H02E0301 +arc: H00R0000 V02N0401 +arc: H00R0100 H02E0701 +arc: JA0 H00L0100 +arc: JA1 V02N0701 +arc: JA4 S1_V02N0301 +arc: JA5 V00T0100 +arc: JA6 H00R0000 +arc: JA7 S1_V02N0301 +arc: JC0 V02S0401 +arc: JC1 H02E0401 +arc: JC4 V02N0001 +arc: JC5 H02W0401 +arc: JC6 H02W0401 +arc: JC7 V00T0000 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: V00T0000 V02S0401 +arc: V00T0100 W1_H02E0301 +arc: W3_H06W0003 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R70C33:CIB_EBR +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0701 N1_V02S0701 +arc: H00L0000 V02N0001 +arc: H00R0100 V02S0701 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA2 V00B0000 +arc: JA3 V00B0000 +arc: JA4 V00T0100 +arc: JA5 V00T0100 +arc: JA6 V02S0101 +arc: JA7 V02S0101 +arc: JC0 E1_H02W0601 +arc: JC1 E1_H02W0601 +arc: JC2 H00R0100 +arc: JC3 H00R0100 +arc: JC4 V02S0001 +arc: JC5 V02S0001 +arc: JC6 V02N0201 +arc: JC7 V02N0201 +arc: JCLK0 G_HPBX0000 +arc: JD2 H02W0201 +arc: JLSR1 V00T0000 +arc: V00B0000 S1_V02N0201 +arc: V00T0000 H02E0201 +arc: V00T0100 H02E0301 +arc: W1_H02W0401 V02N0401 +arc: W3_H06W0103 JQ2 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 + +.tile CIB_R70C34:CIB_EBR +arc: E1_H02E0301 W1_H02E0201 +arc: H00L0000 W1_H02E0001 +arc: H00L0100 V02N0101 +arc: H00R0000 V02S0401 +arc: JA0 W1_H02E0501 +arc: JA1 H00L0000 +arc: JA4 H02E0701 +arc: JA5 H02E0701 +arc: JA6 H00R0000 +arc: JA7 H00R0000 +arc: JC0 H00L0100 +arc: JC1 H00L0100 +arc: JC4 S1_V02N0201 +arc: JC5 S1_V02N0201 +arc: JC6 S1_V02N0001 +arc: JC7 S1_V02N0001 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: W1_H02W0201 H01E0001 +arc: W3_H06W0103 E3_H06W0003 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R70C35:CIB_EBR +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 W3_H06E0303 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0303 W3_H06E0303 +arc: H00R0100 W1_H02E0501 +arc: JD4 H00R0100 +arc: W1_H02W0601 W3_H06E0303 +arc: W3_H06W0203 JQ4 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 + +.tile CIB_R70C36:CIB_EBR +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 V06S0303 +arc: E1_H02E0701 S1_V02N0701 +arc: H00L0100 V02N0101 +arc: JA0 H00L0100 +arc: JA1 H00L0100 +arc: JA2 S1_V02N0701 +arc: JA3 S1_V02N0701 +arc: JA4 V02S0301 +arc: JA5 V02S0301 +arc: JA6 H02W0501 +arc: JA7 H02W0501 +arc: JC0 H02E0601 +arc: JC1 H02E0601 +arc: JC2 V02N0601 +arc: JC3 V02N0601 +arc: JC4 V02N0001 +arc: JC5 V02N0001 +arc: JC6 N1_V02S0001 +arc: JC7 N1_V02S0001 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 W1_H02E0301 +arc: W3_H06W0203 E3_H06W0103 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R70C37:CIB_EBR +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 V02S0701 +arc: H00R0100 H02E0501 +arc: JA0 S1_V02N0701 +arc: JA1 H02E0501 +arc: JA2 S1_V02N0501 +arc: JA3 V00T0000 +arc: JA4 V02N0101 +arc: JA5 W1_H02E0501 +arc: JC0 S1_V02N0401 +arc: JC1 H00R0100 +arc: JC2 S1_V02N0601 +arc: JC3 V02S0401 +arc: JC4 V02N0201 +arc: JC5 V00B0100 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: JD6 H02E0001 +arc: V00B0100 W1_H02E0501 +arc: V00T0000 V02S0401 +arc: W1_H02W0501 V06S0303 +arc: W3_H06W0303 JQ6 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JD7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R70C38:CIB_EBR +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0501 N1_V02S0501 +arc: H00R0000 V02N0401 +arc: JA0 H00R0000 +arc: JA1 H00R0000 +arc: JA2 W1_H02E0701 +arc: JA3 W1_H02E0701 +arc: JA4 V00B0000 +arc: JA5 V00B0000 +arc: JA6 V00T0100 +arc: JA7 V00T0100 +arc: JC0 H02W0601 +arc: JC1 H02W0601 +arc: JC2 W1_H02E0601 +arc: JC3 W1_H02E0601 +arc: JC4 W1_H02E0401 +arc: JC5 W1_H02E0401 +arc: JC6 N1_V02S0001 +arc: JC7 N1_V02S0001 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 V00T0000 +arc: V00B0000 V02S0201 +arc: V00T0000 V02S0401 +arc: V00T0100 H02E0301 +arc: W3_H06W0303 E3_H06W0203 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R70C39:CIB_EBR +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 V06S0303 +arc: E1_H02E0701 S1_V02N0701 +arc: JA0 H02E0501 +arc: JA1 H02E0501 +arc: JA4 W1_H02E0501 +arc: JA5 W1_H02E0501 +arc: JA6 W1_H02E0701 +arc: JA7 V00T0100 +arc: JC0 V02N0401 +arc: JC1 V02N0401 +arc: JC4 S1_V02N0201 +arc: JC5 S1_V02N0201 +arc: JC6 W1_H02E0601 +arc: JC7 W1_H02E0601 +arc: JCE1 H02E0101 +arc: JCLK0 G_HPBX0000 +arc: V00T0100 V02S0701 +arc: W1_H02W0601 E1_H02W0601 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD6MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R70C3:CIB_EBR +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 V06S0303 +arc: E1_H02E0601 V01N0001 + +.tile CIB_R70C40:CIB_EBR +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0701 V02S0701 +arc: H00L0000 V02N0001 +arc: H00R0000 V02S0601 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA2 H02E0701 +arc: JA3 H02E0701 +arc: JA4 V02S0101 +arc: JA5 V02S0101 +arc: JA6 V02N0301 +arc: JA7 V02N0301 +arc: JC0 E1_H02W0401 +arc: JC1 E1_H02W0401 +arc: JC2 H02E0601 +arc: JC3 H02E0601 +arc: JC4 V02N0201 +arc: JC5 V02N0201 +arc: JC6 V00T0100 +arc: JC7 V00T0100 +arc: JCLK0 G_HPBX0000 +arc: JD0 H00R0000 +arc: JLSR1 H02W0501 +arc: V00T0100 H02W0301 +arc: W3_H06W0003 JQ0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R70C41:CIB_EBR +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0601 N1_V02S0601 +arc: E3_H06E0103 W3_H06E0003 +arc: H00R0000 N1_V02S0601 +arc: JA0 W1_H02E0501 +arc: JA1 H00R0000 +arc: JA4 H02E0701 +arc: JA5 W1_H02E0501 +arc: JA6 H00R0000 +arc: JA7 H02E0701 +arc: JC0 W1_H02E0401 +arc: JC1 H02E0401 +arc: JC4 H02E0401 +arc: JC5 V02S0001 +arc: JC6 V02S0001 +arc: JC7 W1_H02E0401 +arc: JCE1 V02S0201 +arc: JCLK0 G_HPBX0000 +arc: W1_H02W0301 N1_V02S0301 +arc: W1_H02W0501 V02S0501 +arc: W1_H02W0601 W3_H06E0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R70C42:CIB_EBR +arc: E1_H02E0501 V06S0303 +arc: H00L0000 V02N0201 +arc: H00L0100 H02E0301 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA2 S1_V02N0501 +arc: JA3 S1_V02N0501 +arc: JA4 V02S0101 +arc: JA5 V02S0101 +arc: JA6 V00T0100 +arc: JA7 V00T0100 +arc: JC0 H00L0100 +arc: JC1 H00L0100 +arc: JC2 V02S0601 +arc: JC3 V02S0601 +arc: JC4 V02N0001 +arc: JC5 V02N0001 +arc: JC6 V00T0000 +arc: JC7 V00T0000 +arc: JCLK0 G_HPBX0000 +arc: JD2 V02S0201 +arc: JLSR1 H02E0501 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 H02W0301 +arc: W1_H02W0401 H01E0001 +arc: W3_H06W0103 JQ2 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 + +.tile CIB_R70C43:CIB_EBR +arc: E1_H02E0301 V06S0003 +arc: H00R0000 W1_H02E0601 +arc: JA0 H00R0000 +arc: JA1 H00R0000 +arc: JA4 H02E0501 +arc: JA5 H02E0501 +arc: JA6 N1_V01S0100 +arc: JA7 N1_V01S0100 +arc: JC0 V02N0401 +arc: JC1 V02N0401 +arc: JC4 V00T0100 +arc: JC5 V00T0100 +arc: JC6 S1_V02N0001 +arc: JC7 S1_V02N0001 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0301 V06S0003 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R70C44:CIB_EBR +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 N1_V02S0601 +arc: JD4 V00B0000 +arc: V00B0000 V02S0001 +arc: W3_H06W0203 JQ4 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 + +.tile CIB_R70C45:CIB_EBR +arc: H00L0000 V02N0201 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA2 S1_V02N0701 +arc: JA3 S1_V02N0701 +arc: JA4 V02S0101 +arc: JA5 V02S0101 +arc: JA6 V00T0100 +arc: JA7 V00T0100 +arc: JC0 H02W0601 +arc: JC1 H02W0601 +arc: JC2 V02S0601 +arc: JC3 V02S0601 +arc: JC4 S1_V02N0001 +arc: JC5 S1_V02N0001 +arc: JC6 N1_V02S0001 +arc: JC7 N1_V02S0001 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 H02E0501 +arc: V00T0100 W1_H02E0301 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R70C46:CIB_EBR +arc: H00R0000 W1_H02E0601 +arc: JA0 S1_V02N0701 +arc: JA1 H00R0000 +arc: JA2 S1_V02N0501 +arc: JA3 V02S0501 +arc: JA4 V02N0101 +arc: JA5 V00B0000 +arc: JC0 S1_V02N0401 +arc: JC1 W1_H02E0601 +arc: JC2 S1_V02N0601 +arc: JC3 V02S0601 +arc: JC4 V02N0201 +arc: JC5 N1_V02S0001 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: V00B0000 N1_V02S0001 +arc: W1_H02W0601 E1_H01W0000 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 + +.tile CIB_R70C47:CIB_EBR +arc: H01W0000 W3_H06E0103 + +.tile CIB_R70C4:CIB_EBR +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 N1_V01S0100 +arc: E3_H06E0003 JQ0 +arc: H00R0000 H02E0601 +arc: H00R0100 H02E0501 +arc: JA0 H00R0000 +arc: JA1 H00R0000 +arc: JA2 N1_V02S0701 +arc: JA3 N1_V02S0701 +arc: JA4 H02W0701 +arc: JA5 H02W0701 +arc: JA6 V02N0301 +arc: JA7 V02N0301 +arc: JC0 V02S0601 +arc: JC1 V02S0601 +arc: JC2 H00R0100 +arc: JC3 H00R0100 +arc: JC4 H02E0401 +arc: JC5 H02E0401 +arc: JC6 V00T0000 +arc: JC7 V00T0000 +arc: JCLK0 G_HPBX0000 +arc: JD0 V00T0100 +arc: JLSR1 V00B0000 +arc: V00B0000 V02N0201 +arc: V00T0000 H02W0201 +arc: V00T0100 V02S0501 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R70C5:CIB_EBR +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0701 V02S0701 +arc: H00L0000 N1_V02S0001 +arc: H00L0100 S1_V02N0101 +arc: H00R0000 V02S0601 +arc: H00R0100 H02E0501 +arc: JA0 H00L0100 +arc: JA1 H00L0000 +arc: JA4 H02E0701 +arc: JA5 S1_V02N0101 +arc: JA6 H00L0000 +arc: JA7 H02E0701 +arc: JC0 H02E0401 +arc: JC1 H00R0100 +arc: JC4 V00B0100 +arc: JC5 H02E0601 +arc: JC6 H02E0601 +arc: JC7 H02E0401 +arc: JCE1 H00R0000 +arc: JCLK0 G_HPBX0000 +arc: V00B0100 H02E0501 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0701 V02S0701 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R70C6:CIB_EBR +arc: E3_H06E0103 JQ2 +arc: H00L0100 V02S0301 +arc: JA0 V02N0501 +arc: JA1 V02N0501 +arc: JA2 V02S0501 +arc: JA3 V02S0501 +arc: JA4 H02E0701 +arc: JA5 H02E0701 +arc: JA6 H02W0501 +arc: JA7 H02W0501 +arc: JC0 H00L0100 +arc: JC1 H00L0100 +arc: JC2 H02W0401 +arc: JC3 H02W0401 +arc: JC4 V00T0000 +arc: JC5 V00T0000 +arc: JC6 V02S0201 +arc: JC7 V02S0201 +arc: JCLK0 G_HPBX0000 +arc: JD2 N1_V02S0201 +arc: JLSR1 V00T0100 +arc: V00T0000 V02N0401 +arc: V00T0100 V02N0701 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 + +.tile CIB_R70C7:CIB_EBR +arc: H00L0000 W1_H02E0001 +arc: H00R0100 H02W0501 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA4 V02S0101 +arc: JA5 V02S0101 +arc: JA6 V02S0301 +arc: JA7 V02S0301 +arc: JC0 S1_V02N0401 +arc: JC1 S1_V02N0401 +arc: JC4 V00T0100 +arc: JC5 V00T0100 +arc: JC6 S1_V02N0201 +arc: JC7 S1_V02N0201 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0501 V06S0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R70C8:CIB_EBR +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 N1_V02S0601 +arc: JD4 V02S0401 +arc: N3_V06N0203 JQ4 +arc: W1_H02W0501 S1_V02N0501 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 + +.tile CIB_R70C9:CIB_EBR +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 N1_V02S0401 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 V06S0303 +arc: E1_H02E0701 V01N0101 +arc: H00L0100 S1_V02N0301 +arc: JA0 V02N0501 +arc: JA1 V02N0501 +arc: JA2 S1_V02N0501 +arc: JA3 S1_V02N0501 +arc: JA4 V00T0000 +arc: JA5 V00T0000 +arc: JA6 H02W0501 +arc: JA7 H02W0501 +arc: JC0 H00L0100 +arc: JC1 H00L0100 +arc: JC2 V02N0401 +arc: JC3 V02N0401 +arc: JC4 V01N0101 +arc: JC5 V01N0101 +arc: JC6 V02N0201 +arc: JC7 V02N0201 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 H02E0301 +arc: N1_V02N0201 H06E0103 +arc: N3_V06N0103 S3_V06N0103 +arc: V00T0000 V02S0401 +arc: W1_H02W0401 V06S0203 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R71C1:CIB_LR +arc: N3_V06N0103 S3_V06N0003 + +.tile CIB_R77C1:CIB_LR +arc: N3_V06N0003 S3_V06N0303 + +.tile CIB_R82C10:CIB_EBR +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 V01N0001 +arc: E1_H02E0701 N1_V01S0100 +arc: H00R0100 H02E0701 +arc: JA0 S1_V02N0701 +arc: JA1 H02E0701 +arc: JA2 S1_V02N0501 +arc: JA3 V02S0501 +arc: JA4 V02N0301 +arc: JA5 V00B0000 +arc: JC0 S1_V02N0401 +arc: JC1 H00R0100 +arc: JC2 S1_V02N0601 +arc: JC3 N1_V01S0100 +arc: JC4 V02N0001 +arc: JC5 N1_V02S0001 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: JD6 V02N0401 +arc: N3_V06N0303 JQ6 +arc: V00B0000 N1_V02S0001 +arc: W1_H02W0501 V06S0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JD7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 + +.tile CIB_R82C11:CIB_EBR +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0701 W1_H02E0701 +arc: H00L0000 V02N0201 +arc: H00L0100 W1_H02E0301 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA2 V00T0000 +arc: JA3 V00T0000 +arc: JA4 N1_V01S0100 +arc: JA5 N1_V01S0100 +arc: JA6 V00T0100 +arc: JA7 V00T0100 +arc: JC0 H00L0100 +arc: JC1 H00L0100 +arc: JC2 W1_H02E0401 +arc: JC3 W1_H02E0401 +arc: JC4 W1_H02E0601 +arc: JC5 W1_H02E0601 +arc: JC6 N1_V02S0201 +arc: JC7 N1_V02S0201 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 V00B0100 +arc: N3_V06N0003 H06W0003 +arc: N3_V06N0203 H06E0203 +arc: V00B0100 V02N0301 +arc: V00T0000 W1_H02E0001 +arc: V00T0100 H02E0301 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R82C12:CIB_EBR +arc: JA0 H02E0501 +arc: JA1 H02E0501 +arc: JA4 H02E0701 +arc: JA5 H02E0701 +arc: JA6 W1_H02E0701 +arc: JA7 W1_H02E0701 +arc: JC0 W1_H02E0601 +arc: JC1 W1_H02E0601 +arc: JC4 W1_H02E0401 +arc: JC5 W1_H02E0401 +arc: JC6 V00B0100 +arc: JC7 V00B0100 +arc: JCE1 H02E0101 +arc: JCLK0 G_HPBX0000 +arc: V00B0100 W1_H02E0501 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD6MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R82C13:CIB_EBR +arc: E1_H02E0601 V01N0001 +arc: E1_H02E0701 N1_V01S0100 +arc: H00L0000 H02W0001 +arc: H00L0100 V02N0101 +arc: H00R0000 V02N0401 +arc: JA0 H00L0100 +arc: JA1 H00L0100 +arc: JA2 N1_V02S0701 +arc: JA3 N1_V02S0701 +arc: JA4 H02W0501 +arc: JA5 H02W0501 +arc: JA6 H00L0000 +arc: JA7 H00L0000 +arc: JC0 E1_H02W0401 +arc: JC1 E1_H02W0401 +arc: JC2 V02S0601 +arc: JC3 V02S0601 +arc: JC4 E1_H02W0601 +arc: JC5 E1_H02W0601 +arc: JC6 V00B0100 +arc: JC7 V00B0100 +arc: JCLK0 G_HPBX0000 +arc: JD0 H00R0000 +arc: JLSR1 V00B0000 +arc: N3_V06N0003 JQ0 +arc: N3_V06N0103 H06W0103 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 H02W0701 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R82C14:CIB_EBR +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0301 V02N0301 +arc: H00L0000 V02S0001 +arc: H00R0000 N1_V02S0601 +arc: H00R0100 S1_V02N0501 +arc: JA0 H02W0501 +arc: JA1 H00R0000 +arc: JA4 H02E0701 +arc: JA5 H02W0501 +arc: JA6 H00R0000 +arc: JA7 H02E0701 +arc: JC0 H02E0601 +arc: JC1 H00L0000 +arc: JC4 V02S0001 +arc: JC5 V02S0201 +arc: JC6 V02S0201 +arc: JC7 H02E0601 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0701 N1_V02S0701 +arc: W3_H06W0003 E3_H06W0303 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R82C15:CIB_EBR +arc: E1_H02E0501 S1_V02N0501 +arc: H00L0000 V02N0201 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA2 N1_V02S0501 +arc: JA3 N1_V02S0501 +arc: JA4 V00T0000 +arc: JA5 V00T0000 +arc: JA6 V00T0100 +arc: JA7 V00T0100 +arc: JC0 S1_V02N0401 +arc: JC1 S1_V02N0401 +arc: JC2 V02N0401 +arc: JC3 V02N0401 +arc: JC4 V02N0001 +arc: JC5 V02N0001 +arc: JC6 N1_V02S0201 +arc: JC7 N1_V02S0201 +arc: JCLK0 G_HPBX0000 +arc: JD2 V00B0100 +arc: JLSR1 H02E0301 +arc: N3_V06N0103 JQ2 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 V02S0401 +arc: V00T0100 H02W0301 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 V02N0601 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 + +.tile CIB_R82C16:CIB_EBR +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0301 V06S0003 +arc: H00L0000 H02W0001 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA4 H02E0501 +arc: JA5 H02E0501 +arc: JA6 N1_V01S0100 +arc: JA7 N1_V01S0100 +arc: JC0 S1_V02N0401 +arc: JC1 S1_V02N0401 +arc: JC4 V00T0100 +arc: JC5 V00T0100 +arc: JC6 S1_V02N0201 +arc: JC7 S1_V02N0201 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0301 V06S0003 +arc: W3_H06W0103 E3_H06W0003 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R82C17:CIB_EBR +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 V02S0701 +arc: JD4 H02E0001 +arc: N3_V06N0203 JQ4 +arc: W1_H02W0001 N1_V02S0001 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 + +.tile CIB_R82C18:CIB_EBR +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 V06S0303 +arc: H00L0100 V02N0101 +arc: JA0 H00L0100 +arc: JA1 H00L0100 +arc: JA2 S1_V02N0501 +arc: JA3 S1_V02N0501 +arc: JA4 H02E0701 +arc: JA5 H02E0701 +arc: JA6 V00T0100 +arc: JA7 V00T0100 +arc: JC0 V02N0401 +arc: JC1 V02N0401 +arc: JC2 V02N0601 +arc: JC3 V02N0601 +arc: JC4 V02N0001 +arc: JC5 V02N0001 +arc: JC6 N1_V02S0201 +arc: JC7 N1_V02S0201 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 H02E0501 +arc: N3_V06N0103 E3_H06W0103 +arc: V00T0100 W1_H02E0301 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R82C19:CIB_EBR +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0701 V06S0203 +arc: H00R0000 V02S0401 +arc: JA0 V02S0701 +arc: JA1 H00R0000 +arc: JA2 V00B0000 +arc: JA3 V02S0501 +arc: JA4 V02N0101 +arc: JA5 V00T0000 +arc: JC0 W1_H02E0401 +arc: JC1 V02S0401 +arc: JC2 W1_H02E0601 +arc: JC3 V02S0601 +arc: JC4 V02N0201 +arc: JC5 V00T0000 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: JD6 N1_V02S0601 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0303 JQ6 +arc: V00B0000 S1_V02N0201 +arc: V00T0000 W1_H02E0001 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JD7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 + +.tile CIB_R82C20:CIB_EBR +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0501 N1_V02S0501 +arc: H00L0000 V02N0201 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA2 W1_H02E0501 +arc: JA3 W1_H02E0501 +arc: JA4 V02S0301 +arc: JA5 V02S0301 +arc: JA6 V00T0100 +arc: JA7 V00T0100 +arc: JC0 W1_H02E0401 +arc: JC1 W1_H02E0401 +arc: JC2 W1_H02E0601 +arc: JC3 W1_H02E0601 +arc: JC4 V02N0001 +arc: JC5 V02N0001 +arc: JC6 N1_V02S0201 +arc: JC7 N1_V02S0201 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 V00B0000 +arc: V00B0000 S1_V02N0001 +arc: V00T0100 H02E0101 +arc: W3_H06W0303 E3_H06W0203 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R82C21:CIB_EBR +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 S1_V02N0701 +arc: H00R0100 W1_H02E0701 +arc: JA0 H02E0501 +arc: JA1 H02E0501 +arc: JA4 S1_V02N0101 +arc: JA5 S1_V02N0101 +arc: JA6 W1_H02E0501 +arc: JA7 W1_H02E0501 +arc: JC0 H00R0100 +arc: JC1 H00R0100 +arc: JC4 W1_H02E0401 +arc: JC5 W1_H02E0401 +arc: JC6 V02S0001 +arc: JC7 V02S0001 +arc: JCE1 H02E0101 +arc: JCLK0 G_HPBX0000 +arc: N3_V06N0103 E3_H06W0103 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD6MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R82C22:CIB_EBR +arc: E1_H02E0601 N1_V02S0601 +arc: H00L0000 V02N0201 +arc: H00L0100 H02E0301 +arc: H00R0000 V02S0401 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA2 H02E0501 +arc: JA3 H02E0501 +arc: JA4 V02S0301 +arc: JA5 V02S0301 +arc: JA6 V02N0101 +arc: JA7 V02N0101 +arc: JC0 H02E0601 +arc: JC1 H02E0601 +arc: JC2 H00L0100 +arc: JC3 H00L0100 +arc: JC4 H02E0401 +arc: JC5 H02E0401 +arc: JC6 H02W0401 +arc: JC7 H02W0401 +arc: JCLK0 G_HPBX0000 +arc: JD0 H00R0000 +arc: JLSR1 V00B0100 +arc: N3_V06N0303 E3_H06W0303 +arc: V00B0100 H02W0701 +arc: W3_H06W0003 JQ0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R82C23:CIB_EBR +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0601 V02N0601 +arc: H00L0000 V02N0001 +arc: H00L0100 N1_V02S0301 +arc: H00R0100 S1_V02N0501 +arc: JA0 W1_H02E0701 +arc: JA1 H00L0100 +arc: JA4 V02S0101 +arc: JA5 W1_H02E0701 +arc: JA6 N1_V02S0301 +arc: JA7 V02S0101 +arc: JC0 H00L0000 +arc: JC1 H02E0601 +arc: JC4 H02E0601 +arc: JC5 N1_V02S0001 +arc: JC6 N1_V02S0001 +arc: JC7 V02N0001 +arc: JCE1 H00R0100 +arc: JCLK0 G_HPBX0000 +arc: W1_H02W0401 N1_V02S0401 +arc: W1_H02W0701 V06S0203 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R82C24:CIB_EBR +arc: E1_H02E0601 N3_V06S0303 +arc: E1_H02E0701 N1_V02S0701 +arc: H00L0000 V02S0001 +arc: JA0 V02S0501 +arc: JA1 V02S0501 +arc: JA2 S1_V02N0501 +arc: JA3 S1_V02N0501 +arc: JA4 E1_H02W0501 +arc: JA5 E1_H02W0501 +arc: JA6 V00T0100 +arc: JA7 V00T0100 +arc: JC0 N1_V02S0601 +arc: JC1 V02N0601 +arc: JC2 H00L0000 +arc: JC3 H00L0000 +arc: JC4 V00T0000 +arc: JC5 V00T0000 +arc: JC6 V02S0201 +arc: JC7 V02S0201 +arc: JCLK0 G_HPBX0000 +arc: JD2 V00B0100 +arc: JLSR1 H02E0301 +arc: V00B0100 H02W0501 +arc: V00T0000 H02W0001 +arc: V00T0100 N1_V02S0501 +arc: W3_H06W0103 JQ2 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 + +.tile CIB_R82C25:CIB_EBR +arc: JA0 H02W0701 +arc: JA1 H02W0701 +arc: JA4 H02E0701 +arc: JA5 H02E0701 +arc: JA6 V02N0301 +arc: JA7 V02N0301 +arc: JC0 W1_H02E0601 +arc: JC1 W1_H02E0601 +arc: JC4 V02N0201 +arc: JC5 V02N0201 +arc: JC6 H02E0601 +arc: JC7 H02E0601 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0501 V06S0303 +arc: W3_H06W0003 E3_H06W0003 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R82C26:CIB_EBR +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 N1_V01S0100 +arc: H00R0100 H02W0701 +arc: JD4 H00R0100 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0203 JQ4 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 + +.tile CIB_R82C27:CIB_EBR +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 V06S0303 +arc: E1_H02E0701 S1_V02N0701 +arc: H00L0100 V02N0301 +arc: JA0 H00L0100 +arc: JA1 H00L0100 +arc: JA2 S1_V02N0701 +arc: JA3 S1_V02N0701 +arc: JA4 H02E0701 +arc: JA5 H02E0701 +arc: JA6 H02W0501 +arc: JA7 H02W0501 +arc: JC0 V02N0601 +arc: JC1 V02N0601 +arc: JC2 V02S0601 +arc: JC3 V02S0601 +arc: JC4 V01N0101 +arc: JC5 V01N0101 +arc: JC6 N1_V02S0201 +arc: JC7 N1_V02S0201 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 H02E0301 +arc: W1_H02W0701 V06S0203 +arc: W3_H06W0103 E3_H06W0103 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R82C28:CIB_EBR +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 V06S0303 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 N1_V02S0701 +arc: H00L0100 N1_V02S0301 +arc: H00R0100 N1_V02S0701 +arc: JA0 S1_V02N0701 +arc: JA1 N1_V02S0701 +arc: JA2 S1_V02N0501 +arc: JA3 V02S0501 +arc: JA4 V00T0100 +arc: JA5 W1_H02E0501 +arc: JC0 S1_V02N0401 +arc: JC1 H00R0100 +arc: JC2 S1_V02N0601 +arc: JC3 V02S0601 +arc: JC4 V01N0101 +arc: JC5 W1_H02E0601 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: JD6 H00L0100 +arc: V00T0100 V02N0701 +arc: W1_H02W0501 V06S0303 +arc: W3_H06W0303 JQ6 +enum: CIB.JB5MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JD7MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R82C29:CIB_EBR +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0701 V02N0701 +arc: H00L0100 V02N0301 +arc: H00R0100 W1_H02E0501 +arc: JA0 H00L0100 +arc: JA1 H00L0100 +arc: JA2 W1_H02E0701 +arc: JA3 W1_H02E0701 +arc: JA4 N1_V01S0100 +arc: JA5 N1_V01S0100 +arc: JA6 H02E0501 +arc: JA7 H02E0501 +arc: JC0 V02N0401 +arc: JC1 V02N0401 +arc: JC2 H00R0100 +arc: JC3 H00R0100 +arc: JC4 W1_H02E0401 +arc: JC5 W1_H02E0401 +arc: JC6 V00B0100 +arc: JC7 V00B0100 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 V00B0000 +arc: V00B0000 V02N0201 +arc: V00B0100 N1_V02S0101 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R82C30:CIB_EBR +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0701 V06S0203 +arc: JA0 H02E0501 +arc: JA1 H02E0501 +arc: JA4 W1_H02E0701 +arc: JA5 W1_H02E0701 +arc: JA6 V02S0301 +arc: JA7 V02S0301 +arc: JC0 W1_H02E0401 +arc: JC1 V02N0401 +arc: JC4 S1_V02N0201 +arc: JC5 S1_V02N0201 +arc: JC6 W1_H02E0601 +arc: JC7 W1_H02E0601 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD6MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JB7MUX 0 + +.tile CIB_R82C31:CIB_EBR +arc: E1_H02E0001 N1_V02S0001 +arc: JA0 V02S0501 +arc: JA1 V02S0501 +arc: JA2 E1_H02W0501 +arc: JA3 E1_H02W0501 +arc: JA4 W1_H02E0701 +arc: JA5 W1_H02E0701 +arc: JA6 V02S0101 +arc: JA7 V02S0101 +arc: JC0 H02E0401 +arc: JC1 H02E0401 +arc: JC2 N1_V02S0401 +arc: JC3 N1_V02S0401 +arc: JC4 V00T0000 +arc: JC5 V00T0000 +arc: JC6 V02S0001 +arc: JC7 H02W0401 +arc: JCLK0 G_HPBX0000 +arc: JD0 H02E0201 +arc: JLSR1 V00B0000 +arc: V00B0000 V02N0001 +arc: V00T0000 V02N0601 +arc: W3_H06W0003 JQ0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD1MUX 0 +enum: CIB.JB0MUX 0 + +.tile CIB_R82C32:CIB_EBR +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 V06S0303 +arc: E1_H02E0601 V02S0601 +arc: H00L0000 H02E0001 +arc: H00L0100 N1_V02S0101 +arc: JA0 W1_H02E0701 +arc: JA1 V02N0501 +arc: JA4 S1_V02N0101 +arc: JA5 W1_H02E0701 +arc: JA6 V00T0100 +arc: JA7 S1_V02N0101 +arc: JC0 N1_V01S0100 +arc: JC1 H00L0000 +arc: JC4 N1_V02S0001 +arc: JC5 H02W0601 +arc: JC6 H02W0601 +arc: JC7 V00T0000 +arc: JCE1 H00L0100 +arc: JCLK0 G_HPBX0000 +arc: V00T0000 V02S0601 +arc: V00T0100 V02N0501 +arc: W1_H02W0401 S1_V02N0401 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R82C33:CIB_EBR +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0601 V06S0303 +arc: JA0 H02W0501 +arc: JA1 H02W0501 +arc: JA2 S1_V02N0501 +arc: JA3 S1_V02N0501 +arc: JA4 N1_V02S0301 +arc: JA5 N1_V02S0301 +arc: JA6 S1_V02N0101 +arc: JA7 S1_V02N0101 +arc: JC0 V02N0601 +arc: JC1 V02N0601 +arc: JC2 N1_V02S0401 +arc: JC3 N1_V02S0401 +arc: JC4 V02N0201 +arc: JC5 V02N0201 +arc: JC6 N1_V02S0201 +arc: JC7 H02E0401 +arc: JCLK0 G_HPBX0000 +arc: JD2 V00B0100 +arc: JLSR1 H02E0301 +arc: V00B0100 H02E0501 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 V06S0303 +arc: W3_H06W0103 JQ2 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 + +.tile CIB_R82C34:CIB_EBR +arc: H00L0000 W1_H02E0001 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA4 V02S0301 +arc: JA5 V02S0301 +arc: JA6 S1_V02N0101 +arc: JA7 S1_V02N0101 +arc: JC0 W1_H02E0601 +arc: JC1 W1_H02E0601 +arc: JC4 H02E0401 +arc: JC5 H02E0401 +arc: JC6 H02E0601 +arc: JC7 H02E0601 +arc: JCE1 W1_H02E0101 +arc: JCLK0 G_HPBX0000 +arc: W1_H02W0501 V02N0501 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R82C35:CIB_EBR +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 + +.tile CIB_R82C5:CIB_EBR +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0601 N1_V02S0601 + +.tile CIB_R82C6:CIB_EBR +arc: E1_H02E0601 N1_V02S0601 +arc: E3_H06E0103 JQ2 +arc: H00L0000 S1_V02N0201 +arc: H00L0100 V02S0301 +arc: H00R0000 H02W0601 +arc: JA0 S1_V02N0701 +arc: JA1 S1_V02N0701 +arc: JA2 H00L0100 +arc: JA3 H00L0100 +arc: JA4 V00T0000 +arc: JA5 V00T0000 +arc: JA6 H00R0000 +arc: JA7 H00R0000 +arc: JC0 H00L0000 +arc: JC1 H00L0000 +arc: JC2 H02W0401 +arc: JC3 H02W0401 +arc: JC4 V02N0001 +arc: JC5 V02N0001 +arc: JC6 H02E0601 +arc: JC7 H02E0601 +arc: JCLK0 G_HPBX0000 +arc: JD2 V00B0100 +arc: JLSR1 E1_H02W0301 +arc: V00B0100 H02W0701 +arc: V00T0000 H02E0001 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JD3MUX 0 +enum: CIB.JB2MUX 0 + +.tile CIB_R82C7:CIB_EBR +arc: H00L0000 W1_H02E0201 +arc: H00R0000 H02E0601 +arc: JA0 H00L0000 +arc: JA1 H00L0000 +arc: JA4 E1_H02W0701 +arc: JA5 E1_H02W0701 +arc: JA6 V02S0101 +arc: JA7 V02S0101 +arc: JC0 V02N0601 +arc: JC1 V02N0601 +arc: JC4 V00T0100 +arc: JC5 V00T0100 +arc: JC6 S1_V02N0201 +arc: JC7 S1_V02N0201 +arc: JCE1 H00R0000 +arc: JCLK0 G_HPBX0000 +arc: S1_V02S0101 S3_V06N0103 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0601 V06S0303 +arc: W1_H02W0701 N1_V01S0100 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JCE0MUX 1 +enum: CIB.JLSR1MUX 0 + +.tile CIB_R82C8:CIB_EBR +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0501 N1_V02S0501 +arc: E3_H06E0203 JQ4 +arc: JD4 V00B0000 +arc: V00B0000 S1_V02N0001 +arc: W1_H02W0301 V02N0301 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCLK0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JCE0MUX 1 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JLSR1MUX 0 +enum: CIB.JCLK1MUX 0 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB4MUX 0 + +.tile CIB_R82C9:CIB_EBR +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0601 V01N0001 +arc: E1_H02E0701 S1_V02N0701 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 S1_V02N0601 +arc: JA0 H00R0000 +arc: JA1 H00R0000 +arc: JA2 V00B0000 +arc: JA3 V00B0000 +arc: JA4 V00T0000 +arc: JA5 V00T0000 +arc: JA6 H02W0501 +arc: JA7 H02W0501 +arc: JC0 H00L0100 +arc: JC1 H00L0100 +arc: JC2 V02N0401 +arc: JC3 V02N0401 +arc: JC4 V02N0001 +arc: JC5 V02N0001 +arc: JC6 N1_V02S0201 +arc: JC7 N1_V02S0201 +arc: JCLK0 G_HPBX0000 +arc: JLSR1 H02E0501 +arc: N3_V06N0103 H06E0103 +arc: V00B0000 S1_V02N0001 +arc: V00T0000 V02N0601 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0701 S1_V02N0701 +enum: CIB.JCE3MUX 1 +enum: CIB.JCE2MUX 1 +enum: CIB.JB6MUX 0 +enum: CIB.JD7MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JD5MUX 0 +enum: CIB.JB2MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JB0MUX 0 +enum: CIB.JD1MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JCE1MUX 1 +enum: CIB.JB7MUX 0 +enum: CIB.JD6MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JLSR0MUX 0 +enum: CIB.JCE0MUX 1 + +.tile CIB_R83C1:CIB_LR +arc: N3_V06N0303 S3_V06N0303 + +.tile CIB_R89C1:CIB_LR +arc: N3_V06N0303 JQ5 + +.tile CIB_R94C123:CIB_PLL3 +enum: CIB.JA3MUX 0 +enum: CIB.JB3MUX 0 + +.tile CIB_R94C3:CIB_PLL3 +enum: CIB.JA3MUX 0 +enum: CIB.JB3MUX 0 + +.tile CIB_R94C46:VCIB_DCU0 +enum: CIB.JA1MUX 0 +enum: CIB.JA3MUX 0 +enum: CIB.JA5MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C47:VCIB_DCUA +enum: CIB.JA1MUX 0 +enum: CIB.JA3MUX 0 +enum: CIB.JA5MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C48:VCIB_DCUB +enum: CIB.JA1MUX 0 +enum: CIB.JA3MUX 0 +enum: CIB.JA5MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C49:VCIB_DCUC +enum: CIB.JA1MUX 0 +enum: CIB.JA3MUX 0 +enum: CIB.JA5MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C4:CIB +arc: E3_H06E0003 JQ0 + +.tile CIB_R94C50:VCIB_DCUD +enum: CIB.JA1MUX 0 +enum: CIB.JA5MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C51:VCIB_DCUF +enum: CIB.JA1MUX 0 +enum: CIB.JA3MUX 0 +enum: CIB.JA5MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C52:VCIB_DCU3 +enum: CIB.JA5MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C53:VCIB_DCU2 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C54:VCIB_DCUG +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C55:VCIB_DCUH +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C56:VCIB_DCUI +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C57:VCIB_DCU1 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 + +.tile CIB_R94C6:CIB_EFB0 +enum: CIB.JB3MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C71:VCIB_DCU0 +enum: CIB.JA1MUX 0 +enum: CIB.JA3MUX 0 +enum: CIB.JA5MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C72:VCIB_DCUA +enum: CIB.JA1MUX 0 +enum: CIB.JA3MUX 0 +enum: CIB.JA5MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C73:VCIB_DCUB +enum: CIB.JA1MUX 0 +enum: CIB.JA3MUX 0 +enum: CIB.JA5MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C74:VCIB_DCUC +enum: CIB.JA1MUX 0 +enum: CIB.JA3MUX 0 +enum: CIB.JA5MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C75:VCIB_DCUD +enum: CIB.JA1MUX 0 +enum: CIB.JA5MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C76:VCIB_DCUF +enum: CIB.JA1MUX 0 +enum: CIB.JA3MUX 0 +enum: CIB.JA5MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JC2MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C77:VCIB_DCU3 +enum: CIB.JA5MUX 0 +enum: CIB.JA7MUX 0 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JC0MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC6MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C78:VCIB_DCU2 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C79:VCIB_DCUG +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C7:CIB_EFB1 +arc: N3_V06N0003 H06E0003 +enum: CIB.JA3MUX 0 +enum: CIB.JA4MUX 0 +enum: CIB.JA5MUX 0 +enum: CIB.JA6MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB4MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB6MUX 0 +enum: CIB.JC3MUX 0 +enum: CIB.JC4MUX 0 +enum: CIB.JC5MUX 0 +enum: CIB.JD3MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD5MUX 0 + +.tile CIB_R94C80:VCIB_DCUH +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C81:VCIB_DCUI +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JB7MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 +enum: CIB.JD4MUX 0 +enum: CIB.JD6MUX 0 + +.tile CIB_R94C82:VCIB_DCU1 +enum: CIB.JB1MUX 0 +enum: CIB.JB3MUX 0 +enum: CIB.JB5MUX 0 +enum: CIB.JD0MUX 0 +enum: CIB.JD2MUX 0 + +.tile MIB_R0C105:PIOT0 +enum: PIOA.BASE_TYPE INPUT_LVCMOS33 +enum: PIOA.HYSTERESIS ON +enum: PIOA.PULLMODE UP +enum: PIOA.DRIVE 4 + +.tile MIB_R0C112:PIOT0 +enum: PIOA.BASE_TYPE INPUT_LVCMOS33 +enum: PIOA.HYSTERESIS ON +enum: PIOA.PULLMODE UP +enum: PIOA.DRIVE 4 + +.tile MIB_R0C114:PIOT0 +enum: PIOA.BASE_TYPE OUTPUT_LVCMOS33 +enum: PIOA.PULLMODE UP +enum: PIOA.DRIVE 4 + +.tile MIB_R0C123:BANKREF1 +enum: BANK.VCCIO 3V3 + +.tile MIB_R0C67:TMID_0 +arc: G_TDCC0CLKI G_JTRQPCLKCIB1 + +.tile MIB_R11C126:PICR0 +arc: JDIA JPADDIA_PIO +enum: PIOA.BASE_TYPE INPUT_LVCMOS33 + +.tile MIB_R12C126:PICR1 +enum: PIOA.BASE_TYPE INPUT_LVCMOS33 +enum: PIOA.HYSTERESIS ON +enum: PIOA.PULLMODE UP +enum: PIOA.DRIVE 4 + +.tile MIB_R1C105:PICT0 +enum: PIOA.BASE_TYPE INPUT_LVCMOS33 + +.tile MIB_R1C112:PICT0 +enum: PIOA.BASE_TYPE INPUT_LVCMOS33 + +.tile MIB_R1C114:PICT0 +enum: PIOA.BASE_TYPE OUTPUT_LVCMOS33 + +.tile MIB_R22C12:EBR_SPINE_UL2 +arc: G_VPTX0000 G_HPRX0000 +arc: G_VPTX0100 G_HPRX0100 + +.tile MIB_R22C30:EBR_SPINE_UL1 +arc: G_VPTX0000 G_HPRX0000 +arc: G_VPTX0100 G_HPRX0100 + +.tile MIB_R22C57:EBR_SPINE_UL0 +arc: G_VPTX0000 G_HPRX0000 + +.tile MIB_R22C67:CMUX_UL_0 +arc: G_DCS0CLK0 G_VPFN0000 +arc: G_ULPCLK0 G_HPFE0000 +arc: G_ULPCLK1 G_VPFS0000 + +.tile MIB_R22C68:CMUX_UR_0 +arc: G_DCS0CLK1 G_VPFN0000 +arc: G_URPCLK0 G_HPFE0000 +arc: G_URPCLK1 G_VPFS0000 + +.tile MIB_R46C3:LMID_0 +arc: G_LDCC0CLKI G_JLLQPCLKCIB0 + +.tile MIB_R47C0:PICL0 +arc: JDIA JPADDIA_PIO +enum: PIOA.BASE_TYPE INPUT_LVCMOS33 + +.tile MIB_R48C0:PICL1 +enum: PIOA.BASE_TYPE INPUT_LVCMOS33 +enum: PIOA.HYSTERESIS ON +enum: PIOA.PULLMODE NONE + +.tile MIB_R57C0:PICL1 +enum: PIOC.BASE_TYPE OUTPUT_LVCMOS33 +enum: PIOC.PULLMODE UP +enum: PIOC.DRIVE 4 + +.tile MIB_R58C0:MIB_CIB_LR +enum: PIOC.BASE_TYPE OUTPUT_LVCMOS33 + +.tile MIB_R70C12:EBR_SPINE_LL2 +arc: G_VPTX0000 G_HPRX0000 + +.tile MIB_R70C30:EBR_SPINE_LL1 +arc: G_VPTX0000 G_HPRX0000 + +.tile MIB_R70C57:EBR_SPINE_LL0 +arc: G_VPTX0000 G_HPRX0000 + +.tile MIB_R70C67:CMUX_LL_0 +arc: G_DCS1CLK0 G_VPFN0000 +arc: G_LLPCLK0 G_HPFE0000 +arc: G_LLPCLK1 G_VPFS0000 + +.tile MIB_R70C68:CMUX_LR_0 +arc: G_DCS1CLK1 G_VPFN0000 +arc: G_LRPCLK0 G_HPFE0000 +arc: G_LRPCLK1 G_VPFS0000 + +.tile MIB_R89C0:PICL0_DQS2 +arc: JDIB JPADDIB_PIO +enum: PIOB.BASE_TYPE INPUT_LVCMOS33 + +.tile MIB_R90C0:PICL1_DQS3 +enum: PIOB.BASE_TYPE INPUT_LVCMOS33 +enum: PIOB.HYSTERESIS ON +enum: PIOB.PULLMODE UP + +.tile MIB_R95C101:PICB0 +unknown: F0B1 + +.tile MIB_R95C102:PICB1 +unknown: F0B1 + +.tile MIB_R95C103:PICB0 +unknown: F0B1 + +.tile MIB_R95C104:PICB1 +unknown: F0B1 + +.tile MIB_R95C105:PICB0 +unknown: F0B1 + +.tile MIB_R95C106:PICB1 +unknown: F0B1 + +.tile MIB_R95C107:PICB0 +unknown: F0B1 + +.tile MIB_R95C108:PICB1 +unknown: F0B1 + +.tile MIB_R95C110:PICB0 +unknown: F0B1 + +.tile MIB_R95C111:PICB1 +unknown: F0B1 + +.tile MIB_R95C112:PICB0 +unknown: F0B1 + +.tile MIB_R95C113:PICB1 +unknown: F0B1 + +.tile MIB_R95C114:PICB0 +unknown: F0B1 + +.tile MIB_R95C115:PICB1 +unknown: F0B1 + +.tile MIB_R95C116:PICB0 +unknown: F0B1 + +.tile MIB_R95C117:PICB1 +unknown: F0B1 + +.tile MIB_R95C119:PICB0 +unknown: F0B1 + +.tile MIB_R95C120:PICB1 +unknown: F0B1 + +.tile MIB_R95C121:PICB0 +unknown: F0B1 + +.tile MIB_R95C122:PICB1 +unknown: F0B1 + +.tile MIB_R95C1:BANKREF6 +enum: BANK.VCCIO 3V3 + +.tile MIB_R95C4:EFB0_PICB0 +arc: JDIA JPADDIA_PIO +enum: PIOA.BASE_TYPE INPUT_LVCMOS33 +enum: PIOA.BASE_TYPE INPUT_LVCMOS33 +enum: PIOA.HYSTERESIS ON +enum: PIOA.PULLMODE DOWN +enum: PIOA.DRIVE 4 +unknown: F54B1 +unknown: F56B1 +unknown: F82B1 +unknown: F94B1 + +.tile MIB_R95C96:PICB0 +unknown: F0B1 + +.tile MIB_R95C97:PICB1 +unknown: F0B1 + +.tile MIB_R95C98:PICB0 +unknown: F0B1 + +.tile MIB_R95C99:PICB1 +unknown: F0B1 + +.tile R11C101:PLC2 +arc: W3_H06W0303 E3_H06W0303 + +.tile R11C107:PLC2 +arc: W3_H06W0303 E3_H06W0303 + +.tile R11C113:PLC2 +arc: W3_H06W0303 E3_H06W0303 + +.tile R11C119:PLC2 +arc: W3_H06W0303 E3_H06W0303 + +.tile R11C29:PLC2 +arc: S3_V06S0003 E3_H06W0003 + +.tile R11C32:PLC2 +arc: S3_V06S0003 H06W0003 + +.tile R11C35:PLC2 +arc: W3_H06W0003 E3_H06W0003 + +.tile R11C41:PLC2 +arc: W3_H06W0003 E3_H06W0003 + +.tile R11C47:PLC2 +arc: W3_H06W0003 E3_H06W0303 + +.tile R11C53:PLC2 +arc: W3_H06W0303 E3_H06W0303 + +.tile R11C59:PLC2 +arc: W3_H06W0303 E3_H06W0203 + +.tile R11C65:PLC2 +arc: W3_H06W0203 E3_H06W0103 + +.tile R11C71:PLC2 +arc: W3_H06W0103 E3_H06W0103 + +.tile R11C77:PLC2 +arc: W3_H06W0103 E3_H06W0003 + +.tile R11C83:PLC2 +arc: W3_H06W0003 E3_H06W0003 + +.tile R11C89:PLC2 +arc: W3_H06W0003 E3_H06W0003 + +.tile R11C95:PLC2 +arc: W3_H06W0003 E3_H06W0303 + +.tile R13C27:PLC2 +arc: S3_V06S0003 N3_V06S0003 + +.tile R13C33:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R14C28:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R17C29:PLC2 +arc: S3_V06S0103 N3_V06S0003 + +.tile R17C32:PLC2 +arc: S3_V06S0103 N3_V06S0003 + +.tile R19C27:PLC2 +arc: S3_V06S0003 N3_V06S0003 + +.tile R19C33:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R20C18:PLC2 +arc: S1_V02S0701 S3_V06N0203 + +.tile R20C28:PLC2 +arc: N3_V06N0003 S3_V06N0003 + +.tile R20C44:PLC2 +arc: S1_V02S0301 S3_V06N0003 + +.tile R21C10:PLC2 +arc: S1_V02S0101 S3_V06N0103 + +.tile R21C11:PLC2 +arc: E3_H06E0003 S3_V06N0003 + +.tile R21C12:PLC2 +arc: E3_H06E0303 S3_V06N0303 + +.tile R21C13:PLC2 +arc: S1_V02S0701 S3_V06N0203 + +.tile R21C16:PLC2 +arc: E3_H06E0303 S3_V06N0303 +arc: V01S0000 S3_V06N0103 + +.tile R21C17:PLC2 +arc: E3_H06E0003 W3_H06E0003 +arc: V01S0100 S3_V06N0303 + +.tile R21C18:PLC2 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0701 S3_V06N0203 +arc: E3_H06E0003 W3_H06E0303 + +.tile R21C19:PLC2 +arc: E3_H06E0303 S3_V06N0303 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0601 H06E0303 +arc: S1_V02S0701 H02E0701 + +.tile R21C20:PLC2 +arc: E3_H06E0203 S3_V06N0203 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0601 S3_V06N0303 +arc: S1_V02S0701 S3_V06N0203 + +.tile R21C22:PLC2 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0401 S3_V06N0203 +arc: V01S0100 S3_V06N0303 + +.tile R21C23:PLC2 +arc: E1_H02E0301 S3_V06N0003 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0303 S3_V06N0303 +arc: S1_V02S0401 H06E0203 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 S3_V06N0003 + +.tile R21C24:PLC2 +arc: E1_H02E0101 S3_V06N0103 +arc: E3_H06E0003 W3_H06E0003 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0501 S3_V06N0303 + +.tile R21C25:PLC2 +arc: E3_H06E0003 W3_H06E0303 +arc: S1_V02S0101 H02E0101 +arc: W1_H02W0401 S3_V06N0203 + +.tile R21C26:PLC2 +arc: S1_V02S0001 S3_V06N0003 +arc: V01S0100 S3_V06N0303 + +.tile R21C27:PLC2 +arc: E1_H02E0701 S3_V06N0203 +arc: E3_H06E0203 S3_V06N0203 +arc: V01S0000 S3_V06N0103 +arc: V01S0100 S3_V06N0303 + +.tile R21C28:PLC2 +arc: E1_H02E0201 S3_V06N0103 +arc: S1_V02S0701 H02E0701 + +.tile R21C29:PLC2 +arc: E1_H02E0401 S3_V06N0203 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0303 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0501 S3_V06N0303 + +.tile R21C30:PLC2 +arc: E3_H06E0103 W3_H06E0003 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0701 H06E0203 +arc: V01S0000 S3_V06N0103 + +.tile R21C31:PLC2 +arc: E1_H02E0001 S3_V06N0003 +arc: S1_V02S0001 W3_H06E0003 + +.tile R21C32:PLC2 +arc: E3_H06E0103 S3_V06N0103 + +.tile R21C33:PLC2 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0601 E1_H02W0601 +arc: V01S0100 S3_V06N0303 + +.tile R21C34:PLC2 +arc: S1_V02S0401 S3_V06N0203 + +.tile R21C35:PLC2 +arc: E1_H02E0601 W3_H06E0303 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0303 W3_H06E0303 +arc: S1_V02S0201 W3_H06E0103 +arc: W1_H02W0601 W3_H06E0303 + +.tile R21C36:PLC2 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0203 W3_H06E0103 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0601 H02E0601 +arc: V01S0100 S3_V06N0303 + +.tile R21C38:PLC2 +arc: E1_H02E0101 W3_H06E0103 +arc: S1_V02S0501 H06E0303 + +.tile R21C3:PLC2 +arc: V01S0000 S3_V06N0103 +arc: V01S0100 S3_V06N0303 + +.tile R21C40:PLC2 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0401 E1_H02W0401 + +.tile R21C41:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0301 W3_H06E0003 +arc: E3_H06E0103 W3_H06E0003 +arc: S1_V02S0101 S3_V06N0103 + +.tile R21C42:PLC2 +arc: E1_H02E0301 W3_H06E0003 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0203 H01E0001 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0401 W3_H06E0203 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0401 H01E0001 + +.tile R21C44:PLC2 +arc: E3_H06E0003 W1_H02E0301 + +.tile R21C45:PLC2 +arc: S1_V02S0301 H06E0003 +arc: S1_V02S0701 H06E0203 + +.tile R21C47:PLC2 +arc: S1_V02S0001 H06E0003 +arc: S1_V02S0101 W3_H06E0103 + +.tile R21C4:PLC2 +arc: V01S0100 S3_V06N0303 + +.tile R21C5:PLC2 +arc: S1_V02S0201 S3_V06N0103 + +.tile R21C6:PLC2 +arc: V01S0100 S3_V06N0303 + +.tile R21C9:PLC2 +arc: S1_V02S0201 V01N0001 + +.tile R23C10:PLC2 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 N1_V01S0100 + +.tile R23C11:PLC2 +arc: E1_H02E0301 S3_V06N0003 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 N1_V01S0100 +arc: W1_H02W0601 S3_V06N0303 + +.tile R23C12:PLC2 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 E1_H02W0401 + +.tile R23C13:PLC2 +arc: E1_H02E0501 S3_V06N0303 +arc: E1_H02E0601 S3_V06N0303 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0701 N1_V01S0100 + +.tile R23C14:PLC2 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0303 S3_V06N0303 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 E1_H02W0701 +arc: W1_H02W0101 V06N0103 +arc: W1_H02W0401 E1_H02W0401 + +.tile R23C15:PLC2 +arc: E1_H02E0101 V06N0103 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0401 S3_V06N0203 +arc: W1_H02W0001 S1_V02N0001 + +.tile R23C16:PLC2 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0701 H02W0701 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0701 S3_V06N0203 + +.tile R23C17:PLC2 +arc: E1_H02E0301 V06N0003 +arc: E3_H06E0103 S3_V06N0103 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0701 V06N0203 + +.tile R23C18:PLC2 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 S1_V02N0101 + +.tile R23C19:PLC2 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0401 S3_V06N0203 +arc: V01S0000 S3_V06N0103 + +.tile R23C20:PLC2 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0303 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0501 S1_V02N0501 + +.tile R23C21:PLC2 +arc: E1_H02E0201 S3_V06N0103 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0401 W1_H02E0401 +arc: W1_H02W0201 S3_V06N0103 + +.tile R23C22:PLC2 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0701 S3_V06N0203 + +.tile R23C23:PLC2 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 S3_V06N0203 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0701 E1_H02W0701 + +.tile R23C24:PLC2 +arc: E1_H02E0501 S3_V06N0303 +arc: E3_H06E0303 S3_V06N0303 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 S3_V06N0303 + +.tile R23C25:PLC2 +arc: E3_H06E0203 S3_V06N0203 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0701 S3_V06N0203 +arc: W1_H02W0701 S3_V06N0203 + +.tile R23C26:PLC2 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0501 V06N0303 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0203 W3_H06E0103 +arc: N1_V02N0401 S3_V06N0203 + +.tile R23C27:PLC2 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0501 H02E0501 + +.tile R23C28:PLC2 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0401 V06N0203 +arc: E1_H02E0501 S1_V02N0501 +arc: E3_H06E0203 S3_V06N0203 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0701 H06E0203 + +.tile R23C29:PLC2 +arc: E1_H02E0101 W3_H06E0103 +arc: E3_H06E0203 W3_H06E0203 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0401 H02E0401 +arc: S3_V06S0103 N3_V06S0103 + +.tile R23C30:PLC2 +arc: E3_H06E0003 W3_H06E0303 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 S1_V02N0301 + +.tile R23C31:PLC2 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V02N0401 H02W0401 + +.tile R23C32:PLC2 +arc: E1_H02E0301 W3_H06E0003 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 H06E0203 +arc: S3_V06S0103 N3_V06S0103 +arc: W1_H02W0401 W3_H06E0203 + +.tile R23C33:PLC2 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0501 S3_V06N0303 + +.tile R23C34:PLC2 +arc: E1_H02E0201 S3_V06N0103 +arc: E3_H06E0203 W3_H06E0203 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0501 H06E0303 +arc: W1_H02W0201 S1_V02N0201 + +.tile R23C35:PLC2 +arc: E1_H02E0701 S3_V06N0203 +arc: E3_H06E0203 S3_V06N0203 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 W3_H06E0203 + +.tile R23C36:PLC2 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0701 S3_V06N0203 +arc: E3_H06E0003 W3_H06E0003 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 W3_H06E0003 +arc: N1_V02N0701 H02E0701 + +.tile R23C37:PLC2 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0701 W3_H06E0203 + +.tile R23C38:PLC2 +arc: E3_H06E0103 S3_V06N0103 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0701 W1_H02E0701 + +.tile R23C39:PLC2 +arc: E1_H02E0301 S3_V06N0003 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0601 S3_V06N0303 + +.tile R23C3:PLC2 +arc: E1_H02E0701 S3_V06N0203 +arc: V01S0100 S3_V06N0303 + +.tile R23C40:PLC2 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 W3_H06E0203 +arc: N1_V02N0701 W3_H06E0203 + +.tile R23C41:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0601 W3_H06E0303 + +.tile R23C42:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0301 S3_V06N0003 +arc: E3_H06E0303 H01E0101 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0301 W3_H06E0003 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 S3_V06N0203 +arc: W1_H02W0301 W3_H06E0003 + +.tile R23C43:PLC2 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0303 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 W3_H06E0303 +arc: N1_V02N0601 H01E0001 + +.tile R23C44:PLC2 +arc: E1_H02E0201 S3_V06N0103 +arc: N1_V02N0101 S1_V02N0001 + +.tile R23C45:PLC2 +arc: N1_V02N0301 S1_V02N0301 + +.tile R23C46:PLC2 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0601 W3_H06E0303 + +.tile R23C47:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: N1_V02N0301 W3_H06E0003 +arc: N1_V02N0601 W3_H06E0303 + +.tile R23C48:PLC2 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0501 W3_H06E0303 +arc: N1_V02N0601 H01E0001 + +.tile R23C49:PLC2 +arc: N1_V02N0501 W3_H06E0303 + +.tile R23C4:PLC2 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 H02E0701 + +.tile R23C5:PLC2 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 S3_V06N0303 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0401 S1_V02N0401 + +.tile R23C6:PLC2 +arc: E1_H02E0301 S3_V06N0003 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 S1_V02N0301 +arc: W1_H02W0101 S1_V02N0101 + +.tile R23C7:PLC2 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0601 S3_V06N0303 +arc: W1_H02W0501 S3_V06N0303 +arc: W3_H06W0303 S3_V06N0303 + +.tile R23C8:PLC2 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0701 V06N0203 +arc: N1_V02N0101 S3_V06N0103 + +.tile R23C9:PLC2 +arc: E3_H06E0103 S3_V06N0103 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0701 H02E0701 +arc: W1_H02W0101 S3_V06N0103 + +.tile R24C11:PLC2 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0601 S1_V02N0601 + +.tile R24C13:PLC2 +arc: E1_H02E0201 S3_V06N0103 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0401 S1_V02N0101 + +.tile R24C14:PLC2 +arc: E1_H02E0701 S3_V06N0203 +arc: E3_H06E0203 S3_V06N0203 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0701 S3_V06N0203 + +.tile R24C15:PLC2 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0701 S1_V02N0601 + +.tile R24C16:PLC2 +arc: E3_H06E0003 S3_V06N0003 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0701 W1_H02E0701 +arc: W1_H02W0001 S3_V06N0003 + +.tile R24C17:PLC2 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0201 V02N0201 +arc: N1_V02N0401 S3_V06N0203 + +.tile R24C18:PLC2 +arc: E3_H06E0103 V01N0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0701 S3_V06N0203 +arc: S3_V06S0003 N1_V02S0001 + +.tile R24C19:PLC2 +arc: E1_H02E0701 V02N0701 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 S3_V06N0203 + +.tile R24C20:PLC2 +arc: E3_H06E0203 W3_H06E0203 +arc: N1_V02N0201 S3_V06N0103 + +.tile R24C21:PLC2 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0701 W1_H02E0701 + +.tile R24C22:PLC2 +arc: E3_H06E0003 W3_H06E0003 + +.tile R24C23:PLC2 +arc: E3_H06E0203 S3_V06N0203 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 S1_V02N0101 + +.tile R24C24:PLC2 +arc: N1_V02N0701 S1_V02N0601 +arc: W1_H02W0101 V06N0103 + +.tile R24C25:PLC2 +arc: E1_H02E0401 V06N0203 +arc: N1_V02N0201 S1_V02N0701 + +.tile R24C26:PLC2 +arc: E1_H02E0401 V06N0203 +arc: E1_H02E0701 V06N0203 +arc: E3_H06E0203 W3_H06E0203 + +.tile R24C27:PLC2 +arc: E1_H02E0601 V01N0001 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 W1_H02E0401 + +.tile R24C28:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0001 W3_H06E0003 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 W1_H02E0701 + +.tile R24C29:PLC2 +arc: E1_H02E0401 W3_H06E0203 +arc: E3_H06E0203 H01E0001 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0701 W3_H06E0203 + +.tile R24C30:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0701 S3_V06N0203 +arc: N1_V02N0401 H02E0401 + +.tile R24C31:PLC2 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0701 H02E0701 + +.tile R24C32:PLC2 +arc: E1_H02E0701 V06N0203 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 W3_H06E0203 + +.tile R24C33:PLC2 +arc: N1_V02N0401 H02W0401 + +.tile R24C34:PLC2 +arc: E1_H02E0401 S3_V06N0203 +arc: N1_V02N0701 W1_H02E0701 +arc: W1_H02W0401 S3_V06N0203 + +.tile R24C36:PLC2 +arc: E1_H02E0601 V06N0303 +arc: E3_H06E0303 V06N0303 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0401 W1_H02E0401 + +.tile R24C37:PLC2 +arc: E1_H02E0401 S3_V06N0203 + +.tile R24C38:PLC2 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0601 W1_H02E0601 + +.tile R24C3:PLC2 +arc: E1_H02E0201 V02N0201 +arc: N1_V02N0701 N1_V01S0100 + +.tile R24C40:PLC2 +arc: N1_V02N0401 S3_V06N0203 + +.tile R24C41:PLC2 +arc: E1_H02E0101 V06N0103 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 S1_V02N0301 + +.tile R24C42:PLC2 +arc: E3_H06E0303 W3_H06E0303 +arc: W1_H02W0201 V02N0201 + +.tile R24C43:PLC2 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 S3_V06N0103 + +.tile R24C45:PLC2 +arc: N1_V02N0601 H06E0303 + +.tile R24C48:PLC2 +arc: N1_V02N0601 W3_H06E0303 + +.tile R24C5:PLC2 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 W1_H02E0201 + +.tile R24C6:PLC2 +arc: E3_H06E0303 V06N0303 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0301 E1_H02W0301 + +.tile R24C7:PLC2 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0201 S1_V02N0201 + +.tile R24C8:PLC2 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0601 S1_V02N0601 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0301 S1_V02N0301 + +.tile R24C9:PLC2 +arc: N1_V02N0501 H06E0303 + +.tile R25C10:PLC2 +arc: N1_V02N0701 W1_H02E0701 + +.tile R25C11:PLC2 +arc: N1_V02N0201 H02W0201 + +.tile R25C12:PLC2 +arc: N1_V02N0001 S3_V06N0003 +arc: W1_H02W0201 S3_V06N0103 + +.tile R25C13:PLC2 +arc: N1_V02N0301 S3_V06N0003 + +.tile R25C15:PLC2 +arc: E3_H06E0003 S3_V06N0003 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S3_V06N0003 + +.tile R25C16:PLC2 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 S3_V06N0303 + +.tile R25C17:PLC2 +arc: N1_V02N0201 S1_V02N0701 +arc: N3_V06N0103 S3_V06N0103 + +.tile R25C18:PLC2 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0203 + +.tile R25C19:PLC2 +arc: N1_V02N0701 S3_V06N0203 + +.tile R25C20:PLC2 +arc: N1_V02N0501 S3_V06N0303 + +.tile R25C21:PLC2 +arc: E3_H06E0003 W3_H06E0003 + +.tile R25C22:PLC2 +arc: E3_H06E0203 S3_V06N0203 +arc: E3_H06E0303 S3_V06N0303 +arc: N1_V02N0001 S3_V06N0003 + +.tile R25C23:PLC2 +arc: N1_V02N0001 S3_V06N0003 + +.tile R25C24:PLC2 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 S3_V06N0203 +arc: N3_V06N0203 S3_V06N0203 + +.tile R25C26:PLC2 +arc: E3_H06E0003 S3_V06N0003 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 + +.tile R25C27:PLC2 +arc: E3_H06E0003 W3_H06E0003 +arc: N1_V01N0001 S3_V06N0003 +arc: N3_V06N0003 S3_V06N0303 +arc: S3_V06S0003 N3_V06S0003 + +.tile R25C28:PLC2 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V02N0501 W3_H06E0303 + +.tile R25C30:PLC2 +arc: E3_H06E0003 S3_V06N0003 +arc: N1_V02N0301 H06E0003 + +.tile R25C31:PLC2 +arc: N3_V06N0303 H06E0303 + +.tile R25C32:PLC2 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0103 W3_H06E0003 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0301 W3_H06E0003 + +.tile R25C33:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile R25C34:PLC2 +arc: N1_V02N0201 S3_V06N0103 + +.tile R25C36:PLC2 +arc: E3_H06E0103 W3_H06E0003 +arc: N3_V06N0103 S3_V06N0003 + +.tile R25C38:PLC2 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0103 +arc: N1_V02N0201 W3_H06E0103 + +.tile R25C39:PLC2 +arc: N1_V02N0201 H06E0103 + +.tile R25C3:PLC2 +arc: N1_V02N0201 S3_V06N0103 + +.tile R25C42:PLC2 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W3_H06E0103 +arc: N1_V02N0201 W3_H06E0103 +arc: N3_V06N0103 W3_H06E0103 + +.tile R25C44:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: N1_V02N0001 W3_H06E0003 + +.tile R25C45:PLC2 +arc: N1_V02N0301 H01E0101 +arc: N3_V06N0203 H06E0203 + +.tile R25C48:PLC2 +arc: N1_V02N0101 W3_H06E0103 + +.tile R25C5:PLC2 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 S3_V06N0303 + +.tile R25C6:PLC2 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 S3_V06N0003 + +.tile R25C8:PLC2 +arc: E1_H02E0701 S3_V06N0203 + +.tile R25C9:PLC2 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0501 S3_V06N0303 + +.tile R26C11:PLC2 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0601 S3_V06N0303 + +.tile R26C12:PLC2 +arc: E3_H06E0303 S3_V06N0303 + +.tile R26C13:PLC2 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0203 S3_V06N0203 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0501 S3_V06N0303 + +.tile R26C14:PLC2 +arc: E3_H06E0003 S3_V06N0003 +arc: N1_V02N0101 H06W0103 +arc: N3_V06N0103 S3_V06N0103 + +.tile R26C15:PLC2 +arc: E3_H06E0103 S3_V06N0103 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: W1_H02W0101 S3_V06N0103 + +.tile R26C17:PLC2 +arc: E3_H06E0103 S3_V06N0103 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 +arc: W3_H06W0103 S3_V06N0103 + +.tile R26C18:PLC2 +arc: E3_H06E0303 W3_H06E0303 +arc: N1_V02N0201 S1_V02N0701 +arc: N3_V06N0203 S3_V06N0103 + +.tile R26C19:PLC2 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0203 + +.tile R26C20:PLC2 +arc: E3_H06E0103 W3_H06E0003 + +.tile R26C21:PLC2 +arc: E3_H06E0203 W3_H06E0103 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0501 S3_V06N0303 + +.tile R26C23:PLC2 +arc: E3_H06E0203 W3_H06E0103 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 H06E0103 + +.tile R26C24:PLC2 +arc: E3_H06E0003 W3_H06E0303 +arc: N1_V02N0601 S1_V02N0301 + +.tile R26C25:PLC2 +arc: E3_H06E0103 W3_H06E0003 +arc: N1_V02N0701 W3_H06E0203 + +.tile R26C26:PLC2 +arc: E3_H06E0203 W3_H06E0103 +arc: N3_V06N0303 S3_V06N0303 + +.tile R26C27:PLC2 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0701 W3_H06E0203 + +.tile R26C28:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 + +.tile R26C29:PLC2 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V02N0401 H06E0203 + +.tile R26C30:PLC2 +arc: E3_H06E0003 W3_H06E0003 + +.tile R26C31:PLC2 +arc: E3_H06E0203 W3_H06E0103 + +.tile R26C32:PLC2 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 S3_V06N0303 + +.tile R26C36:PLC2 +arc: N1_V02N0001 W3_H06E0003 + +.tile R26C37:PLC2 +arc: E3_H06E0303 W3_H06E0203 + +.tile R26C38:PLC2 +arc: E3_H06E0003 W3_H06E0303 + +.tile R26C41:PLC2 +arc: N1_V02N0301 H06E0003 + +.tile R26C43:PLC2 +arc: N1_V02N0501 W3_H06E0303 + +.tile R26C44:PLC2 +arc: N3_V06N0003 W3_H06E0003 + +.tile R26C7:PLC2 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0501 S3_V06N0303 + +.tile R26C8:PLC2 +arc: E3_H06E0003 S3_V06N0003 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 + +.tile R27C10:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R27C11:PLC2 +arc: N3_V06N0003 S3_V06N0003 + +.tile R27C12:PLC2 +arc: N3_V06N0303 S3_V06N0303 + +.tile R27C13:PLC2 +arc: N3_V06N0203 S3_V06N0103 + +.tile R27C16:PLC2 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 + +.tile R27C17:PLC2 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 + +.tile R27C18:PLC2 +arc: N3_V06N0203 S3_V06N0203 + +.tile R27C19:PLC2 +arc: N3_V06N0303 S3_V06N0203 + +.tile R27C20:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R27C22:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R27C23:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R27C24:PLC2 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 + +.tile R27C25:PLC2 +arc: N3_V06N0203 S3_V06N0203 + +.tile R27C26:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R27C27:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 + +.tile R27C28:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R27C29:PLC2 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R27C30:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R27C31:PLC2 +arc: N3_V06N0003 S3_V06N0303 + +.tile R27C32:PLC2 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 + +.tile R27C33:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 + +.tile R27C34:PLC2 +arc: N3_V06N0203 S3_V06N0203 + +.tile R27C36:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 + +.tile R27C3:PLC2 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R27C41:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R27C42:PLC2 +arc: N3_V06N0303 S1_V02N0601 + +.tile R27C4:PLC2 +arc: N3_V06N0303 S3_V06N0303 + +.tile R27C5:PLC2 +arc: E1_H02E0701 S3_V06N0203 +arc: N1_V02N0401 S3_V06N0203 +arc: N3_V06N0103 S3_V06N0003 + +.tile R27C6:PLC2 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0303 S3_V06N0303 + +.tile R28C10:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0303 + +.tile R28C11:PLC2 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 +arc: S3_V06S0003 N3_V06S0303 + +.tile R28C13:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile R28C15:PLC2 +arc: S3_V06S0103 N3_V06S0103 + +.tile R28C16:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile R28C17:PLC2 +arc: S3_V06S0003 N3_V06S0003 + +.tile R28C18:PLC2 +arc: N1_V02N0701 S3_V06N0203 +arc: S3_V06S0103 N3_V06S0003 + +.tile R28C19:PLC2 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 + +.tile R28C20:PLC2 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 + +.tile R28C21:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: S3_V06S0203 N3_V06S0203 + +.tile R28C22:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: S3_V06S0303 N3_V06S0203 + +.tile R28C23:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: S3_V06S0303 N3_V06S0203 + +.tile R28C24:PLC2 +arc: N1_V02N0301 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: S3_V06S0203 N3_V06S0103 + +.tile R28C25:PLC2 +arc: E3_H06E0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R28C28:PLC2 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 S3_V06N0103 + +.tile R28C34:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R28C37:PLC2 +arc: N3_V06N0203 S3_V06N0203 + +.tile R28C3:PLC2 +arc: N3_V06N0203 S3_V06N0103 + +.tile R28C40:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R28C4:PLC2 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 + +.tile R28C5:PLC2 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R28C7:PLC2 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0103 S3_V06N0003 + +.tile R28C8:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: S3_V06S0303 N3_V06S0203 + +.tile R28C9:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R29C11:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 + +.tile R29C13:PLC2 +arc: N3_V06N0303 S3_V06N0303 + +.tile R29C14:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0303 S3_V06N0203 + +.tile R29C15:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 + +.tile R29C16:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 + +.tile R29C17:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R29C18:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R29C19:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 + +.tile R29C21:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R29C22:PLC2 +arc: N3_V06N0203 S3_V06N0103 + +.tile R29C23:PLC2 +arc: N3_V06N0203 S3_V06N0203 + +.tile R29C24:PLC2 +arc: N3_V06N0303 S3_V06N0303 + +.tile R29C25:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: CE0 E1_H02W0101 +arc: CLK0 G_HPBX0100 +arc: LSR1 E1_H02W0501 +arc: M0 H02W0601 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR1 +arc: S1_V02S0201 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R29C26:PLC2 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: V00T0000 H02W0201 +arc: CE0 H02W0101 +arc: CE1 H02W0101 +arc: CE2 H02W0101 +arc: CLK0 G_HPBX0100 +arc: E1_H01E0101 Q2 +arc: LSR0 H02W0501 +arc: M0 V00T0000 +arc: M2 N1_V01N0001 +arc: M4 E1_H01E0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR0 +arc: N1_V01N0001 Q0 +arc: W1_H02W0601 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R29C27:PLC2 +arc: V00B0100 S1_V02N0301 +arc: V00T0100 V02N0501 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0501 V02N0501 +arc: CE0 E1_H02W0101 +arc: CE1 E1_H02W0101 +arc: CE2 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0100 +arc: LSR0 V00T0100 +arc: M0 V00B0000 +arc: M2 V00B0100 +arc: M4 V00T0000 +arc: M6 N1_V01N0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0101 Q4 +arc: V00B0000 Q6 +arc: V00T0000 Q2 +arc: W1_H02W0201 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET SET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R29C28:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 + +.tile R29C29:PLC2 +arc: S3_V06S0103 N3_V06S0103 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 S1_V02N0101 + +.tile R29C32:PLC2 +arc: N3_V06N0203 S3_V06N0103 +arc: S3_V06S0103 N3_V06S0103 + +.tile R29C33:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0303 + +.tile R29C34:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R29C35:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 + +.tile R29C36:PLC2 +arc: N3_V06N0203 S3_V06N0203 + +.tile R29C37:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R29C38:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R29C39:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R29C3:PLC2 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R29C40:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R29C41:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 + +.tile R29C42:PLC2 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 + +.tile R29C43:PLC2 +arc: N3_V06N0203 S3_V06N0103 + +.tile R29C44:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R29C5:PLC2 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R29C6:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 + +.tile R29C7:PLC2 +arc: N3_V06N0303 S3_V06N0203 + +.tile R29C8:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 + +.tile R29C9:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0003 + +.tile R2C100:PLC2 +arc: E3_H06E0203 W3_H06E0203 + +.tile R2C106:PLC2 +arc: E3_H06E0303 W3_H06E0203 + +.tile R2C112:PLC2 +arc: E1_H02E0501 W3_H06E0303 + +.tile R2C114:PLC2 +arc: N1_V02N0501 W1_H02E0501 + +.tile R2C28:PLC2 +arc: E3_H06E0103 S3_V06N0103 + +.tile R2C34:PLC2 +arc: E3_H06E0203 W3_H06E0103 + +.tile R2C40:PLC2 +arc: E3_H06E0203 W3_H06E0203 + +.tile R2C46:PLC2 +arc: E3_H06E0203 W3_H06E0203 + +.tile R2C52:PLC2 +arc: E3_H06E0303 W3_H06E0203 + +.tile R2C58:PLC2 +arc: E3_H06E0303 W3_H06E0303 + +.tile R2C64:PLC2 +arc: E3_H06E0303 W3_H06E0303 + +.tile R2C70:PLC2 +arc: E3_H06E0003 W3_H06E0303 + +.tile R2C76:PLC2 +arc: E3_H06E0003 W3_H06E0003 + +.tile R2C82:PLC2 +arc: E3_H06E0103 W3_H06E0003 + +.tile R2C88:PLC2 +arc: E3_H06E0103 W3_H06E0103 + +.tile R2C94:PLC2 +arc: E3_H06E0203 W3_H06E0103 + +.tile R30C13:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R30C14:PLC2 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 + +.tile R30C15:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R30C16:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 + +.tile R30C17:PLC2 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0103 + +.tile R30C18:PLC2 +arc: N3_V06N0203 S3_V06N0103 +arc: S3_V06S0103 N3_V06S0003 + +.tile R30C19:PLC2 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R30C20:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R30C23:PLC2 +arc: N3_V06N0203 S3_V06N0203 + +.tile R30C25:PLC2 +arc: V00B0000 V02S0201 +arc: CE0 E1_H02W0101 +arc: CLK0 G_HPBX0100 +arc: LSR0 E1_H02W0501 +arc: M0 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR0 +arc: S1_V02S0001 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R30C27:PLC2 +arc: N1_V02N0501 S1_V02N0501 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0501 S1_V02N0501 + +.tile R30C29:PLC2 +arc: W1_H02W0001 V02N0001 + +.tile R30C30:PLC2 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 + +.tile R30C31:PLC2 +arc: N3_V06N0203 S3_V06N0203 + +.tile R30C34:PLC2 +arc: N3_V06N0203 S3_V06N0103 + +.tile R30C37:PLC2 +arc: N3_V06N0203 S3_V06N0103 + +.tile R30C40:PLC2 +arc: N3_V06N0203 S3_V06N0103 + +.tile R30C43:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R30C8:PLC2 +arc: N3_V06N0003 S3_V06N0303 + +.tile R31C12:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 + +.tile R31C13:PLC2 +arc: N3_V06N0003 S3_V06N0303 + +.tile R31C15:PLC2 +arc: N3_V06N0003 S3_V06N0303 + +.tile R31C16:PLC2 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 + +.tile R31C17:PLC2 +arc: N3_V06N0103 S1_V02N0101 + +.tile R31C18:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S1_V02N0701 + +.tile R31C19:PLC2 +arc: N3_V06N0203 S3_V06N0203 + +.tile R31C20:PLC2 +arc: N3_V06N0303 S3_V06N0203 + +.tile R31C22:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R31C23:PLC2 +arc: N3_V06N0003 S3_V06N0003 + +.tile R31C24:PLC2 +arc: E1_H02E0601 E1_H01W0000 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0501 V01N0101 + +.tile R31C25:PLC2 +arc: S1_V02S0301 V01N0101 +arc: V00B0000 V02S0001 +arc: CE0 E1_H02W0101 +arc: CE1 E1_H02W0101 +arc: CE2 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0100 +arc: E1_H01E0001 Q0 +arc: E1_H01E0101 Q6 +arc: H01W0000 Q4 +arc: LSR0 E1_H02W0501 +arc: LSR1 E1_H02W0501 +arc: M0 H02E0601 +arc: M2 V00B0000 +arc: M4 E1_H01E0101 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR0 +arc: V00T0000 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R31C26:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S1_V02N0701 +arc: W1_H02W0201 S3_V06N0103 +arc: CE0 H02W0101 +arc: CE1 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0100 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: M0 H01E0001 +arc: M2 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: MUXLSR3 LSR1 +arc: S1_V02S0001 Q2 +arc: V00B0000 Q6 +arc: V00T0000 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R31C27:PLC2 +arc: N1_V02N0301 N3_V06S0003 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0301 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0003 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0501 V02N0501 + +.tile R31C29:PLC2 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 S1_V02N0001 +arc: W1_H02W0001 S1_V02N0001 + +.tile R31C30:PLC2 +arc: N3_V06N0003 S3_V06N0303 + +.tile R31C32:PLC2 +arc: N3_V06N0003 S3_V06N0303 + +.tile R31C33:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: S3_V06S0303 N3_V06S0303 + +.tile R31C34:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R31C36:PLC2 +arc: N3_V06N0003 S3_V06N0003 + +.tile R31C3:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R31C5:PLC2 +arc: N3_V06N0303 S3_V06N0303 + +.tile R31C6:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 + +.tile R31C8:PLC2 +arc: N3_V06N0203 S3_V06N0203 + +.tile R31C9:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 + +.tile R32C11:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0303 S3_V06N0203 + +.tile R32C12:PLC2 +arc: N3_V06N0303 S3_V06N0203 + +.tile R32C13:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R32C14:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 + +.tile R32C15:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 + +.tile R32C17:PLC2 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R32C18:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R32C21:PLC2 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 + +.tile R32C22:PLC2 +arc: S3_V06S0103 E3_H06W0103 + +.tile R32C23:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R32C24:PLC2 +arc: E1_H02E0401 E1_H01W0000 +arc: V00T0000 V02N0601 +arc: CE0 V02S0201 +arc: CLK0 G_HPBX0000 +arc: M0 V00T0000 +arc: MUXCLK0 CLK0 +arc: N1_V01N0101 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R32C25:PLC2 +arc: E1_H02E0601 E1_H01W0000 +arc: H00R0000 V02N0601 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 H02W0201 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 V02N0601 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0100 +arc: E1_H01E0101 Q0 +arc: H01W0000 Q2 +arc: LSR0 E1_H02W0501 +arc: M0 V00T0000 +arc: M2 V00B0100 +arc: M4 E1_H01E0101 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0101 Q4 +arc: S1_V02S0601 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET SET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET SET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET SET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R32C26:PLC2 +arc: E1_H02E0101 E1_H01W0100 +arc: H00R0100 V02N0501 +arc: N3_V06N0303 S3_V06N0303 +arc: V00B0000 V02S0001 +arc: V00T0000 V02N0601 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0100 +arc: E1_H02E0401 Q4 +arc: H01W0000 Q2 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: M0 H02E0601 +arc: M2 V00B0000 +arc: M4 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: W1_H02W0201 Q0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET SET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R32C27:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0601 V01N0001 +arc: E1_H02E0701 V01N0101 +arc: H00L0000 E1_H02W0201 +arc: H00L0100 V02N0101 +arc: H00R0000 V02N0401 +arc: H00R0100 E1_H02W0701 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0601 E1_H01W0000 +arc: V00B0000 V02N0201 +arc: V00B0100 V02S0301 +arc: V00T0100 V02N0501 +arc: A1 H00R0000 +arc: A7 H00R0000 +arc: B0 H02W0101 +arc: B1 V00B0000 +arc: B3 V02S0301 +arc: B7 V00B0000 +arc: C0 H00L0000 +arc: C1 H00L0100 +arc: C3 H00R0100 +arc: C7 V00T0100 +arc: CE0 H02E0101 +arc: CE1 H02E0101 +arc: CLK0 G_HPBX0100 +arc: D0 H02W0201 +arc: D1 S1_V02N0201 +arc: D3 H02W0201 +arc: D7 V01N0001 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 Q0 +arc: E1_H02E0001 Q0 +arc: E1_H02E0401 Q4 +arc: E1_H02E0501 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F1 +arc: M4 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V02N0501 F7 +arc: S1_V02S0301 Q3 +arc: S3_V06S0203 F7 +arc: V01S0100 F7 +arc: W1_H02W0501 F7 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1100110011110000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000001 +word: SLICEA.K0.INIT 1100110011110000 +word: SLICEA.K1.INIT 0000000101000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 + +.tile R32C28:PLC2 +arc: H00L0100 H02E0101 +arc: H00R0000 H02E0601 +arc: H00R0100 H02E0701 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 H01E0001 +arc: S1_V02S0301 H01E0101 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0601 E1_H01W0000 +arc: V00B0000 W1_H02E0401 +arc: V00B0100 V02N0301 +arc: V00T0000 V02N0401 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 V01N0001 +arc: A1 H00R0000 +arc: A5 H02E0701 +arc: B1 V02N0101 +arc: B5 H02E0101 +arc: B6 V00T0000 +arc: B7 V00B0000 +arc: C0 H00L0100 +arc: C1 H00R0100 +arc: C5 H02E0601 +arc: C6 V02N0201 +arc: C7 V02N0201 +arc: CLK0 G_HPBX0100 +arc: D0 V00T0100 +arc: D1 V02N0001 +arc: D5 V02N0601 +arc: D6 S1_V02N0601 +arc: D7 S1_V02N0401 +arc: E1_H02E0501 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F1 +arc: M6 V00B0100 +arc: MUXCLK3 CLK0 +arc: N3_V06N0303 Q6 +arc: S1_V02S0701 F5 +arc: S3_V06S0003 F0 +arc: S3_V06S0103 F1 +arc: V00T0100 F1 +arc: W3_H06W0103 F1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111111011111111 +word: SLICEA.K0.INIT 0000111100000000 +word: SLICEA.K1.INIT 0100000000000000 +word: SLICED.K0.INIT 1111111100000011 +word: SLICED.K1.INIT 1111111100001100 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX INV +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R32C29:PLC2 +arc: H00R0100 H02E0501 +arc: V00T0000 W1_H02E0001 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0701 V01N0101 +arc: CE1 H00R0100 +arc: CLK0 G_HPBX0100 +arc: H01W0000 Q2 +arc: LSR1 W1_H02E0501 +arc: M2 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXLSR1 LSR1 +arc: S1_V02S0001 Q2 +arc: W1_H02W0201 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R32C32:PLC2 +arc: N3_V06N0303 S3_V06N0203 + +.tile R32C7:PLC2 +arc: N3_V06N0303 S3_V06N0203 + +.tile R32C8:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 + +.tile R33C10:PLC2 +arc: N3_V06N0003 S1_V02N0001 + +.tile R33C11:PLC2 +arc: N3_V06N0003 S3_V06N0303 + +.tile R33C12:PLC2 +arc: N3_V06N0303 S3_V06N0203 + +.tile R33C13:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R33C16:PLC2 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 + +.tile R33C17:PLC2 +arc: N1_V02N0101 S1_V02N0101 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 + +.tile R33C18:PLC2 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0203 S1_V02N0401 + +.tile R33C19:PLC2 +arc: N3_V06N0203 S3_V06N0103 + +.tile R33C20:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R33C21:PLC2 +arc: S3_V06S0203 E3_H06W0203 +arc: V00T0000 H02W0001 +arc: CE1 H02E0101 +arc: CE2 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0601 Q6 +arc: M2 V00B0000 +arc: M4 V00T0000 +arc: M6 N1_V01N0101 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: V00B0000 Q4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R33C22:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: CE0 W1_H02E0101 +arc: CE1 W1_H02E0101 +arc: CE2 W1_H02E0101 +arc: CE3 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0601 Q6 +arc: M0 H02W0601 +arc: M2 H02E0601 +arc: M4 V00T0000 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V00B0000 Q4 +arc: V00T0000 Q2 +arc: W1_H02W0001 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R33C23:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: V00B0100 V02N0101 +arc: CE0 E1_H02W0101 +arc: CE1 E1_H02W0101 +arc: CE2 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: M0 V00B0000 +arc: M2 V00B0100 +arc: M4 V00T0000 +arc: M6 N1_V01N0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: V00B0000 Q4 +arc: V00T0000 Q2 +arc: W1_H02W0601 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R33C24:PLC2 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: V00B0000 W1_H02E0601 +arc: V00T0100 N1_V02S0501 +arc: CE1 E1_H02W0101 +arc: CE2 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: M2 V00T0100 +arc: M4 V00B0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V02N0601 Q4 +arc: S1_V02S0201 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R33C25:PLC2 +arc: H00R0100 H02W0501 +arc: N1_V02N0601 H06W0303 +arc: N3_V06N0203 S3_V06N0203 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 V02S0601 +arc: W1_H02W0101 S1_V02N0101 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0100 +arc: E1_H01E0101 Q2 +arc: E1_H02E0601 Q6 +arc: LSR0 E1_H02W0501 +arc: LSR1 E1_H02W0501 +arc: M2 V00B0100 +arc: M4 E1_H01E0101 +arc: M6 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR0 +arc: V01S0100 Q4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET SET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET SET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET SET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R33C26:PLC2 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 E1_H01W0000 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0501 E1_H02W0501 +arc: V00B0000 S1_V02N0001 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0501 E1_H02W0501 +arc: CE0 E1_H02W0101 +arc: CE1 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0100 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: M0 H02E0601 +arc: M2 V00T0000 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: MUXLSR3 LSR0 +arc: N1_V02N0601 Q6 +arc: S1_V02S0201 Q2 +arc: V00T0000 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET SET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET SET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET SET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R33C27:PLC2 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0401 E1_H01W0000 +arc: H00R0000 H02E0401 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0501 E1_H01W0100 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S3_V06N0203 +arc: V00B0000 H02W0401 +arc: V00B0100 V02S0101 +arc: W1_H02W0501 N1_V01S0100 +arc: A0 H02E0501 +arc: A1 H02E0501 +arc: A2 V00B0000 +arc: A3 V00B0000 +arc: A4 V00T0000 +arc: A5 V00T0000 +arc: A7 H00R0000 +arc: B0 V02N0301 +arc: B1 V02N0301 +arc: B2 V02S0101 +arc: B3 V02S0101 +arc: B4 H02E0101 +arc: B5 H02E0101 +arc: B6 S1_V02N0501 +arc: B7 H02E0101 +arc: C0 H02E0601 +arc: C1 H02E0401 +arc: C2 H00L0000 +arc: C3 H00L0000 +arc: C4 Q4 +arc: C5 E1_H01E0101 +arc: C6 S1_V02N0001 +arc: C7 E1_H01E0101 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0100 +arc: D0 V00B0100 +arc: D1 V00B0100 +arc: D2 V01S0100 +arc: D3 V01S0100 +arc: D4 E1_H02W0201 +arc: D5 E1_H02W0201 +arc: D6 H00R0100 +arc: D7 E1_H01W0100 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 Q4 +arc: E1_H02E0001 Q0 +arc: E1_H02E0201 Q2 +arc: E1_H02E0601 Q4 +arc: E1_H02E0701 F7 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H00R0100 F7 +arc: H01W0000 Q2 +arc: H01W0100 Q0 +arc: M0 V00B0000 +arc: M2 H02E0601 +arc: M4 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 Q0 +arc: N1_V02N0201 Q0 +arc: N1_V02N0401 Q4 +arc: S1_V02S0401 Q6 +arc: S3_V06S0203 F7 +arc: V00T0000 Q2 +arc: V01S0000 Q2 +arc: V01S0100 Q4 +arc: W3_H06W0203 F7 +word: SLICEA.K0.INIT 1110000010101010 +word: SLICEA.K1.INIT 0100010000011100 +word: SLICEB.K0.INIT 1100000010100000 +word: SLICEB.K1.INIT 0100111101110111 +word: SLICEC.K0.INIT 1111000011100000 +word: SLICEC.K1.INIT 1110101101110000 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 0100000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 + +.tile R33C28:PLC2 +arc: E1_H02E0201 H01E0001 +arc: E1_H02E0701 V02S0701 +arc: H00L0000 H02E0001 +arc: H00R0100 H02E0701 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0601 H02E0601 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0201 H01E0001 +arc: S3_V06S0003 H01E0001 +arc: V00B0000 H02E0601 +arc: V00B0100 V02S0101 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0501 E1_H01W0100 +arc: W3_H06W0303 E1_H01W0100 +arc: A0 H00R0000 +arc: A4 W1_H02E0501 +arc: A5 W1_H02E0501 +arc: A7 S1_V02N0101 +arc: B0 V00B0000 +arc: B1 V02N0301 +arc: B2 E1_H02W0101 +arc: B3 V02S0301 +arc: B4 H00R0000 +arc: B5 H00R0000 +arc: B6 V02N0501 +arc: B7 V00T0000 +arc: C0 H00L0000 +arc: C1 H02W0601 +arc: C2 V02S0601 +arc: C3 E1_H01W0000 +arc: C4 V00B0100 +arc: C5 V00B0100 +arc: C6 E1_H01E0101 +arc: C7 F6 +arc: CE0 V02S0201 +arc: CE1 V02S0201 +arc: CLK0 G_HPBX0100 +arc: D0 H02E0201 +arc: D1 F0 +arc: D2 E1_H02W0201 +arc: D3 F0 +arc: D4 V00B0000 +arc: D5 V00B0000 +arc: D6 V02S0401 +arc: D7 H00R0100 +arc: E1_H01E0101 F0 +arc: E1_H02E0301 Q1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q4 +arc: H01W0000 Q3 +arc: H01W0100 Q4 +arc: M4 W1_H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F0 +arc: N1_V01N0101 Q1 +arc: N1_V02N0001 F2 +arc: N1_V02N0201 F2 +arc: N1_V02N0401 F6 +arc: V00T0000 F2 +arc: V01S0000 F7 +arc: V01S0100 Q3 +arc: W1_H02W0401 Q4 +word: SLICED.K0.INIT 0011000000111111 +word: SLICED.K1.INIT 1000100000001100 +word: SLICEA.K0.INIT 0000000100000000 +word: SLICEA.K1.INIT 1100110011110000 +word: SLICEB.K0.INIT 1100000000000000 +word: SLICEB.K1.INIT 1100110011110000 +word: SLICEC.K0.INIT 0001101111000111 +word: SLICEC.K1.INIT 1011111100110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R33C29:PLC2 +arc: H00L0000 V02S0001 +arc: H00R0100 H02E0701 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0701 W1_H02E0701 +arc: V00B0100 V02N0301 +arc: V00T0100 H02E0301 +arc: W1_H02W0201 S1_V02N0201 +arc: A2 V00B0000 +arc: B2 H00L0000 +arc: C2 H00L0100 +arc: C3 N1_V01N0001 +arc: CE0 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0100 +arc: D2 H02E0201 +arc: D3 V01S0100 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 Q4 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: H00L0100 F3 +arc: H01W0000 Q4 +arc: H01W0100 F2 +arc: LSR1 W1_H02E0301 +arc: M0 V00B0100 +arc: M4 W1_H02E0401 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 Q6 +arc: N1_V01N0101 Q0 +arc: N1_V02N0001 F2 +arc: S1_V02S0301 F3 +arc: S1_V02S0601 Q4 +arc: V00B0000 Q4 +arc: V01S0100 Q0 +arc: W1_H02W0601 Q6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0010000000000000 +word: SLICEB.K1.INIT 0000000000001111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET SET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R33C30:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 H01E0001 + +.tile R33C31:PLC2 +arc: N3_V06N0303 S3_V06N0303 + +.tile R33C32:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 + +.tile R33C33:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 + +.tile R33C34:PLC2 +arc: N3_V06N0203 S3_V06N0103 + +.tile R33C36:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 + +.tile R33C3:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 + +.tile R33C41:PLC2 +arc: N3_V06N0003 S3_V06N0303 + +.tile R33C4:PLC2 +arc: N3_V06N0303 S3_V06N0303 + +.tile R33C5:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 + +.tile R33C6:PLC2 +arc: N3_V06N0303 S3_V06N0203 + +.tile R35C10:PLC2 +arc: N1_V02N0001 S3_V06N0003 + +.tile R35C11:PLC2 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 + +.tile R35C12:PLC2 +arc: S1_V02S0301 S3_V06N0003 + +.tile R35C13:PLC2 +arc: N3_V06N0303 S3_V06N0303 + +.tile R35C14:PLC2 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0201 S3_V06N0103 + +.tile R35C15:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 + +.tile R35C16:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0203 +arc: V01S0000 S3_V06N0103 + +.tile R35C17:PLC2 +arc: N1_V02N0101 S3_V06N0103 +arc: N3_V06N0003 S3_V06N0303 + +.tile R35C18:PLC2 +arc: N1_V02N0401 S3_V06N0203 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0201 S3_V06N0103 + +.tile R35C19:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R35C20:PLC2 +arc: N1_V02N0101 S1_V02N0101 + +.tile R35C21:PLC2 +arc: N3_V06N0103 S3_V06N0103 +arc: S1_V02S0201 H06W0103 + +.tile R35C22:PLC2 +arc: N3_V06N0103 S1_V02N0101 +arc: S1_V02S0201 E1_H02W0201 + +.tile R35C23:PLC2 +arc: N3_V06N0203 S3_V06N0203 + +.tile R35C24:PLC2 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0301 N1_V02S0201 +arc: W1_H02W0201 S3_V06N0103 +arc: W3_H06W0103 S3_V06N0103 + +.tile R35C25:PLC2 +arc: N1_V02N0101 H02W0101 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 + +.tile R35C26:PLC2 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0101 S1_V02N0101 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 H02W0701 +arc: W1_H02W0101 S1_V02N0101 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0100 +arc: LSR1 V00B0100 +arc: M2 N1_V01N0001 +arc: M4 V00B0000 +arc: M6 N1_V01N0101 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 Q6 +arc: N1_V01N0101 Q4 +arc: N1_V02N0001 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET SET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET SET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET SET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R35C27:PLC2 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 S1_V02N0501 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0701 V06S0203 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0100 +arc: E1_H02E0401 Q6 +arc: LSR1 H02W0301 +arc: M6 V00T0000 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR1 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R35C28:PLC2 +arc: H00R0000 H02E0401 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0301 V06S0003 +arc: B3 H00R0000 +arc: C3 V02N0401 +arc: CE1 V02N0201 +arc: CLK0 G_HPBX0100 +arc: D3 N1_V02S0201 +arc: F3 F3_SLICE +arc: MUXCLK1 CLK0 +arc: N1_V02N0101 Q3 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1100110011110000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R35C29:PLC2 +arc: H00L0100 V02S0101 +arc: N1_V02N0201 N3_V06S0103 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 N1_V02S0601 +arc: A5 V00T0000 +arc: B5 N1_V02S0701 +arc: C5 V00B0100 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0100 +arc: D4 V00B0000 +arc: D5 H00L0100 +arc: E1_H02E0401 Q4 +arc: E1_H02E0701 F5 +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00R0100 F5 +arc: MUXCLK2 CLK0 +arc: V00B0000 Q4 +word: SLICEC.K0.INIT 0000000011111111 +word: SLICEC.K1.INIT 0100000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 + +.tile R35C30:PLC2 +arc: V01S0000 S3_V06N0103 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0201 Q0 +arc: M0 V00B0000 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: V00B0000 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R35C31:PLC2 +arc: H00R0100 W1_H02E0701 +arc: V00T0000 H02W0201 +arc: CE0 H00R0100 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0100 +arc: M0 E1_H02W0601 +arc: M4 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q0 +arc: S1_V02S0601 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R35C32:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0201 W1_H02E0201 +arc: V00T0000 W1_H02E0201 +arc: W1_H02W0201 N3_V06S0103 +arc: CLK0 G_HPBX0000 +arc: M2 V00T0000 +arc: MUXCLK1 CLK0 +arc: S1_V02S0001 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R35C33:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: W1_H02W0601 S1_V02N0601 + +.tile R35C34:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R35C35:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 + +.tile R35C36:PLC2 +arc: N3_V06N0203 S3_V06N0203 + +.tile R35C37:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R35C38:PLC2 +arc: N3_V06N0003 S3_V06N0303 + +.tile R35C39:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 + +.tile R35C3:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 + +.tile R35C40:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R35C41:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 + +.tile R35C42:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 + +.tile R35C43:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R35C44:PLC2 +arc: N3_V06N0003 S3_V06N0303 + +.tile R35C5:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 + +.tile R35C6:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 + +.tile R35C7:PLC2 +arc: N3_V06N0203 S3_V06N0203 + +.tile R35C8:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 + +.tile R35C9:PLC2 +arc: N3_V06N0003 S3_V06N0303 + +.tile R36C12:PLC2 +arc: S1_V02S0501 S3_V06N0303 + +.tile R36C13:PLC2 +arc: E1_H02E0201 V02N0201 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0701 H06W0203 + +.tile R36C14:PLC2 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 S3_V06N0303 + +.tile R36C15:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0401 H02W0401 + +.tile R36C16:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 +arc: S3_V06S0203 N1_V01S0000 +arc: W1_H02W0401 S3_V06N0203 +arc: W3_H06W0203 S3_V06N0203 + +.tile R36C17:PLC2 +arc: E1_H02E0101 E1_H01W0100 +arc: N3_V06N0103 S3_V06N0103 + +.tile R36C18:PLC2 +arc: H00R0000 H02W0401 +arc: N3_V06N0103 S3_V06N0103 +arc: S3_V06S0103 N3_V06S0103 +arc: V00B0000 H02W0401 +arc: B2 E1_H01W0100 +arc: B3 H00L0000 +arc: B6 H02E0101 +arc: B7 V01S0000 +arc: C2 V02N0401 +arc: C3 V02N0601 +arc: C6 V02N0001 +arc: C7 E1_H02W0601 +arc: CE1 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0100 +arc: D2 H00R0000 +arc: D3 H00R0000 +arc: D6 V00B0000 +arc: D7 V00B0000 +arc: E1_H02E0701 Q7 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H01W0100 Q3 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: V01S0000 Q6 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 1100110011110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R36C19:PLC2 +arc: H00R0100 H02E0701 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 E1_H02W0701 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0401 E1_H02W0401 +arc: B2 H00R0100 +arc: B3 H00L0000 +arc: B6 E1_H02W0101 +arc: B7 V01S0000 +arc: C2 S1_V02N0401 +arc: C3 S1_V02N0601 +arc: C6 S1_V02N0001 +arc: C7 V01N0101 +arc: CE1 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0100 +arc: D2 V00B0100 +arc: D3 V00B0100 +arc: D6 V00B0000 +arc: D7 V00B0000 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H01W0100 Q7 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0301 Q3 +arc: V01S0000 Q6 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 1100110011110000 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1100110011110000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R36C20:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: S1_V02S0401 H02W0401 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0601 E1_H01W0000 + +.tile R36C21:PLC2 +arc: H00L0000 V02S0201 +arc: V00B0100 S1_V02N0101 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0701 V06S0203 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q4 +arc: M4 V00B0100 +arc: MUXCLK2 CLK0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R36C22:PLC2 +arc: W1_H02W0101 S1_V02N0101 + +.tile R36C23:PLC2 +arc: N1_V02N0101 E1_H01W0100 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0101 S3_V06N0103 + +.tile R36C24:PLC2 +arc: CE1 V02N0201 +arc: CE2 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: H01W0100 Q2 +arc: M2 V00B0000 +arc: M4 H02W0401 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: V00B0000 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R36C25:PLC2 +arc: CE1 H02W0101 +arc: CE2 H02W0101 +arc: CLK0 G_HPBX0000 +arc: M2 E1_H02W0601 +arc: M4 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: V00T0000 Q2 +arc: W1_H02W0401 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R36C26:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: W1_H02W0101 V02N0101 + +.tile R36C27:PLC2 +arc: E1_H02E0401 V06S0203 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0301 S3_V06N0003 +arc: W1_H02W0601 E1_H02W0601 + +.tile R36C28:PLC2 +arc: H00R0100 E1_H02W0501 +arc: N1_V02N0201 S1_V02N0201 +arc: S1_V02S0401 H02E0401 +arc: V00B0000 E1_H02W0401 +arc: V00T0000 W1_H02E0001 +arc: W1_H02W0001 V06S0003 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: LSR1 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR1 +arc: N1_V02N0401 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET SET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R36C29:PLC2 +arc: H00R0100 V02N0701 +arc: V00T0000 H02W0001 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: M6 V00T0000 +arc: MUXCLK3 CLK0 +arc: W1_H02W0601 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R36C2:PLC2 +arc: S1_V02S0501 E1_H02W0501 + +.tile R36C30:PLC2 +arc: E1_H02E0301 S1_V02N0301 +arc: H00R0100 V02N0701 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: V00T0000 H02W0001 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0501 E1_H02W0401 +arc: CE1 H00R0100 +arc: CLK0 G_HPBX0000 +arc: M2 V00T0000 +arc: MUXCLK1 CLK0 +arc: W1_H02W0001 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R36C31:PLC2 +arc: H00R0100 V02N0701 +arc: N3_V06N0203 S3_V06N0203 +arc: V00B0000 V02N0001 +arc: V00T0000 V02S0601 +arc: V00T0100 N1_V02S0501 +arc: CE0 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0001 Q2 +arc: M0 V00B0000 +arc: M2 V00T0000 +arc: M4 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: S1_V02S0601 Q4 +arc: S3_V06S0103 Q2 +arc: W1_H02W0001 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R36C32:PLC2 +arc: V00B0000 V02S0201 +arc: A1 E1_H01E0001 +arc: A3 E1_H01E0001 +arc: B0 V00T0000 +arc: B1 V00T0000 +arc: B3 H00L0000 +arc: B7 V01S0000 +arc: C0 H00L0000 +arc: C1 H00L0000 +arc: C2 N1_V01N0001 +arc: C3 N1_V01N0001 +arc: C6 V02S0001 +arc: C7 V01N0101 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0001 +arc: D1 H02E0001 +arc: D2 H02E0001 +arc: D3 H02E0001 +arc: D6 V00B0000 +arc: D7 H02E0001 +arc: E1_H01E0001 Q1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H00R0100 F7 +arc: LSR0 W1_H02E0301 +arc: LSR1 W1_H02E0301 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 Q2 +arc: S1_V02S0301 F3 +arc: S1_V02S0401 Q6 +arc: S3_V06S0303 Q6 +arc: V00T0000 Q2 +arc: V01S0000 Q6 +arc: W1_H02W0401 Q6 +word: SLICEA.K0.INIT 0000000000111100 +word: SLICEA.K1.INIT 0000000001101010 +word: SLICED.K0.INIT 0000111111110000 +word: SLICED.K1.INIT 1100110000001100 +word: SLICEB.K0.INIT 0000000000001111 +word: SLICEB.K1.INIT 0000000001111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R36C34:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R36C37:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R36C40:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R36C43:PLC2 +arc: N3_V06N0003 S3_V06N0003 + +.tile R36C4:PLC2 +arc: W1_H02W0501 S3_V06N0303 + +.tile R36C6:PLC2 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0201 H06W0103 +arc: S1_V02S0401 V01N0001 + +.tile R36C7:PLC2 +arc: S1_V02S0601 E1_H02W0601 + +.tile R36C8:PLC2 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0401 S3_V06N0203 + +.tile R36C9:PLC2 +arc: W1_H02W0601 S3_V06N0303 +arc: W3_H06W0103 S3_V06N0103 + +.tile R37C10:PLC2 +arc: E1_H02E0601 S1_V02N0601 +arc: E3_H06E0103 S3_V06N0103 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0401 V01N0001 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 E1_H02W0701 +arc: W1_H02W0101 V01N0101 + +.tile R37C11:PLC2 +arc: E1_H02E0101 S3_V06N0103 +arc: N1_V02N0301 S1_V02N0301 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0401 V01N0001 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 E3_H06W0103 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0201 S3_V06N0103 + +.tile R37C12:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0601 V06N0303 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0601 E1_H02W0601 +arc: V00B0000 W1_H02E0601 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0103 S3_V06N0103 +arc: C7 V02N0201 +arc: D7 V00B0000 +arc: E1_H01E0101 F7 +arc: F7 F7_SLICE +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000111111110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 + +.tile R37C13:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0301 S1_V02N0301 +arc: N1_V02N0201 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 H01E0101 +arc: S1_V02S0701 V01N0101 +arc: V00B0000 H02W0401 +arc: V00T0000 S1_V02N0401 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 S3_V06N0003 +arc: A5 V00B0000 +arc: A7 H00L0000 +arc: B1 H01W0100 +arc: B4 S1_V02N0501 +arc: B5 E1_H02W0101 +arc: B7 H02E0301 +arc: C0 N1_V01N0001 +arc: C1 H00L0100 +arc: C2 H02E0601 +arc: C3 V02N0601 +arc: C4 H02W0401 +arc: C5 V00T0000 +arc: C7 E1_H01E0101 +arc: CE0 W1_H02E0101 +arc: CE3 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 S1_V02N0001 +arc: D1 V01S0100 +arc: D2 V00T0100 +arc: D3 H02E0001 +arc: D4 V02N0601 +arc: D5 H02W0201 +arc: D6 F2 +arc: D7 H00R0100 +arc: E1_H01E0101 F3 +arc: E1_H02E0401 Q6 +arc: E3_H06E0103 Q1 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 F2 +arc: H00L0100 F3 +arc: H00R0100 F5 +arc: H01W0100 F4 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q1 +arc: S3_V06S0303 Q6 +arc: V01S0000 F0 +arc: V01S0100 F5 +arc: W3_H06W0303 Q6 +word: SLICEA.K0.INIT 0000111111110000 +word: SLICEA.K1.INIT 1111000011111100 +word: SLICEC.K0.INIT 0000000011001111 +word: SLICEC.K1.INIT 0000000100000101 +word: SLICEB.K0.INIT 1111000000000000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1111101011111110 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 + +.tile R37C14:PLC2 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0701 E1_H01W0100 +arc: H00L0000 V02N0001 +arc: H00R0000 V02N0401 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0003 H06W0003 +arc: S3_V06S0103 H06W0103 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 V02N0401 +arc: V00T0100 H02E0301 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0601 V01N0001 +arc: A3 S1_V02N0501 +arc: A7 N1_V01N0101 +arc: B1 H01W0100 +arc: B2 H00L0000 +arc: B3 H02W0101 +arc: B7 V01S0000 +arc: C0 H00L0100 +arc: C1 N1_V01N0001 +arc: C2 S1_V02N0401 +arc: C3 S1_V02N0601 +arc: C4 V02S0201 +arc: C5 V00T0000 +arc: C7 E1_H01E0101 +arc: CE0 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 V01S0100 +arc: D2 H00R0000 +arc: D3 V02N0201 +arc: D4 V02S0401 +arc: D5 H02E0001 +arc: D6 V00B0000 +arc: D7 H02W0001 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 F5 +arc: E3_H06E0103 Q1 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 Q1 +arc: H01W0000 F0 +arc: H01W0100 F2 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F4 +arc: S3_V06S0303 Q6 +arc: V00B0000 F4 +arc: V01S0000 F2 +arc: V01S0100 F3 +arc: W3_H06W0303 Q6 +word: SLICEA.K0.INIT 0000111111110000 +word: SLICEA.K1.INIT 1111000011111100 +word: SLICEB.K0.INIT 0000000011001111 +word: SLICEB.K1.INIT 0000000100000101 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1111101011111110 +word: SLICEC.K0.INIT 1111000000000000 +word: SLICEC.K1.INIT 1111000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R37C15:PLC2 +arc: H00R0000 V02S0401 +arc: H00R0100 H02E0501 +arc: N1_V01N0001 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0401 W1_H02E0401 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 E1_H02W0701 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0701 S3_V06N0203 +arc: A3 H02E0501 +arc: A7 H02E0701 +arc: B2 H00R0100 +arc: B3 V02N0101 +arc: B5 H00L0000 +arc: B7 V00T0000 +arc: C0 S1_V02N0401 +arc: C1 V02N0401 +arc: C2 S1_V02N0601 +arc: C3 N1_V01N0001 +arc: C4 V02N0001 +arc: C5 E1_H01E0101 +arc: C7 E1_H01E0101 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 S1_V02N0201 +arc: D2 V00B0100 +arc: D3 E1_H02W0201 +arc: D4 V00B0000 +arc: D5 H00L0100 +arc: D6 F0 +arc: D7 H00L0100 +arc: E1_H01E0101 F1 +arc: E1_H02E0701 Q5 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 F2 +arc: H00L0100 F3 +arc: H01W0100 F0 +arc: M6 V00T0100 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S3_V06S0303 Q6 +arc: V00T0000 F2 +arc: V01S0000 Q5 +arc: V01S0100 Q6 +arc: W1_H02W0401 F4 +arc: W3_H06W0303 Q6 +word: SLICEC.K0.INIT 0000111111110000 +word: SLICEC.K1.INIT 1111000011111100 +word: SLICEA.K0.INIT 1111000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0000000011110011 +word: SLICEB.K1.INIT 0000000100000101 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1111101011111110 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 + +.tile R37C16:PLC2 +arc: E3_H06E0203 W3_H06E0103 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: W1_H02W0101 S1_V02N0101 + +.tile R37C17:PLC2 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0701 W1_H02E0701 +arc: E3_H06E0003 S3_V06N0003 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0601 S3_V06N0303 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 E3_H06W0103 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 S3_V06N0203 + +.tile R37C18:PLC2 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 V01N0001 +arc: H00L0100 S1_V02N0301 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0201 N1_V02S0201 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0701 H02E0701 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 S1_V02N0501 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: M2 V00T0000 +arc: M4 V00T0100 +arc: M6 H02E0401 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0001 Q2 +arc: N1_V02N0401 Q4 +arc: N1_V02N0601 Q6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R37C19:PLC2 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0301 V02S0301 +arc: E3_H06E0003 W3_H06E0303 +arc: H00L0100 H02E0301 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0101 W3_H06E0103 +arc: S1_V02S0301 N1_V01S0100 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 S1_V02N0001 +arc: V00T0000 S1_V02N0601 +arc: W1_H02W0201 V02N0201 +arc: CE0 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: M0 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: V01S0000 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R37C20:PLC2 +arc: E3_H06E0303 W3_H06E0303 +arc: H00R0000 V02S0401 +arc: N1_V02N0101 E3_H06W0103 +arc: N3_V06N0203 S3_V06N0203 +arc: S3_V06S0003 H06W0003 +arc: S3_V06S0103 H06W0103 +arc: W1_H02W0001 S3_V06N0003 +arc: B0 H00R0100 +arc: B1 V00T0000 +arc: B2 V02N0301 +arc: B3 H00L0000 +arc: B4 H02E0301 +arc: B5 V01S0000 +arc: B6 H02E0101 +arc: B7 V00B0000 +arc: C0 S1_V02N0601 +arc: C1 V02N0601 +arc: C2 V02N0401 +arc: C3 W1_H02E0401 +arc: C4 V02N0001 +arc: C5 V02N0201 +arc: C6 V01N0101 +arc: C7 S1_V02N0201 +arc: CE0 E1_H02W0101 +arc: CE1 E1_H02W0101 +arc: CE2 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0100 +arc: D0 H00R0000 +arc: D1 H00R0000 +arc: D2 H00R0000 +arc: D3 H00R0000 +arc: D4 V02S0401 +arc: D5 V02S0401 +arc: D6 V02S0401 +arc: D7 V02S0401 +arc: E3_H06E0103 Q1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0100 Q7 +arc: H01W0100 Q3 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V00B0000 Q6 +arc: V00T0000 Q0 +arc: V01S0000 Q4 +arc: V01S0100 Q5 +word: SLICEC.K0.INIT 1100110011110000 +word: SLICEC.K1.INIT 1100110011110000 +word: SLICEA.K0.INIT 1100110011110000 +word: SLICEA.K1.INIT 1100110011110000 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 1100110011110000 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1100110011110000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R37C21:PLC2 +arc: E3_H06E0303 W3_H06E0303 +arc: H00R0000 H02W0401 +arc: S1_V02S0601 S3_V06N0303 +arc: S3_V06S0303 W3_H06E0303 +arc: V00T0100 S1_V02N0701 +arc: V01S0000 S3_V06N0103 +arc: B2 H00R0000 +arc: B3 H00L0000 +arc: C2 V02N0601 +arc: C3 V02N0401 +arc: CE1 H02W0101 +arc: CLK0 G_HPBX0100 +arc: D2 V00T0100 +arc: D3 V00T0100 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: H00L0000 Q2 +arc: MUXCLK1 CLK0 +arc: N1_V01N0101 Q3 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 1100110011110000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R37C22:PLC2 +arc: N1_V02N0101 S1_V02N0101 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0201 H06W0103 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 W3_H06E0203 +arc: V00T0000 S1_V02N0401 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0401 E3_H06W0203 +arc: CE0 N1_V02S0201 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0003 Q0 +arc: M0 V00T0000 +arc: MUXCLK0 CLK0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R37C23:PLC2 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 W3_H06E0003 +arc: N3_V06N0003 S3_V06N0003 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0601 E1_H02W0601 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 S1_V02N0001 +arc: V00T0000 S1_V02N0601 +arc: V01S0000 S3_V06N0103 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0601 E1_H02W0601 +arc: CLK0 G_HPBX0000 +arc: LSR0 H02W0301 +arc: LSR1 H02W0301 +arc: M0 V00B0000 +arc: M2 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: S3_V06S0003 Q0 +arc: S3_V06S0103 Q2 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R37C24:PLC2 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0601 S3_V06N0303 +arc: N1_V02N0201 E1_H02W0201 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 H02E0201 +arc: V00B0100 N1_V02S0301 +arc: V01S0000 S3_V06N0103 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0301 S1_V02N0301 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0303 Q6 +arc: M6 V00B0100 +arc: MUXCLK3 CLK0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R37C25:PLC2 +arc: E3_H06E0103 W3_H06E0003 +arc: H00L0000 W1_H02E0201 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0401 S3_V06N0203 +arc: S3_V06S0003 W3_H06E0003 +arc: V00T0100 H02W0301 +arc: W1_H02W0601 S3_V06N0303 +arc: W3_H06W0103 E1_H02W0101 +arc: CE1 H00L0000 +arc: CLK0 G_HPBX0000 +arc: M2 V00T0100 +arc: MUXCLK1 CLK0 +arc: V01S0000 Q2 +arc: V01S0100 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R37C26:PLC2 +arc: E1_H02E0101 W3_H06E0103 +arc: H00R0000 W1_H02E0601 +arc: N1_V02N0101 E3_H06W0103 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 E3_H06W0103 +arc: S1_V02S0101 S3_V06N0103 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0303 W3_H06E0303 +arc: V00T0100 V02N0701 +arc: W1_H02W0101 E3_H06W0103 +arc: W1_H02W0201 E3_H06W0103 +arc: W1_H02W0301 S3_V06N0003 +arc: W3_H06W0103 E3_H06W0103 +arc: C3 V02N0601 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D3 H00R0000 +arc: E1_H02E0401 Q4 +arc: E1_H02E0601 Q6 +arc: F3 F3_SLICE +arc: M4 W1_H02E0401 +arc: M6 V00T0100 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0301 F3 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R37C27:PLC2 +arc: E1_H02E0601 S1_V02N0601 +arc: H00L0000 V02N0201 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0501 E1_H01W0100 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: S3_V06S0303 W3_H06E0303 +arc: V00T0100 H02W0301 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0101 S1_V02N0101 +arc: B0 V02N0101 +arc: B1 V00T0000 +arc: B2 V02S0101 +arc: B3 H01W0100 +arc: B4 V01S0000 +arc: B5 H00R0000 +arc: B6 V00B0100 +arc: B7 V00B0000 +arc: C0 H02E0401 +arc: C1 V02N0601 +arc: C2 H00R0100 +arc: C3 V02N0401 +arc: C4 V02N0001 +arc: C5 H02E0601 +arc: C6 V01N0101 +arc: C7 V00T0100 +arc: CE0 V02N0201 +arc: CE1 V02N0201 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0100 +arc: D0 V02S0001 +arc: D1 V02S0001 +arc: D2 V02S0001 +arc: D3 V02S0001 +arc: D4 S1_V02N0401 +arc: D5 S1_V02N0401 +arc: D6 S1_V02N0401 +arc: D7 S1_V02N0401 +arc: E1_H02E0101 Q3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q4 +arc: H01W0100 Q2 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q1 +arc: V00B0000 Q6 +arc: V00B0100 Q5 +arc: V00T0000 Q0 +arc: V01S0100 Q7 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEA.K0.INIT 1100110011110000 +word: SLICEA.K1.INIT 1100110011110000 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 1100110011110000 +word: SLICEC.K0.INIT 1100110011110000 +word: SLICEC.K1.INIT 1100110011110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R37C28:PLC2 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 V02S0401 +arc: H00R0100 S1_V02N0701 +arc: S1_V02S0101 S3_V06N0103 +arc: W1_H02W0301 W3_H06E0003 +arc: B0 V02N0101 +arc: B1 V00T0000 +arc: B2 W1_H02E0101 +arc: B3 H00L0000 +arc: B7 H02E0101 +arc: C0 H00L0100 +arc: C1 H00R0100 +arc: C2 V02N0401 +arc: C3 H02E0601 +arc: C7 S1_V02N0001 +arc: CE0 V02N0201 +arc: CE1 V02N0201 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0100 +arc: D0 H00R0000 +arc: D1 H00R0000 +arc: D2 H00R0000 +arc: D3 H00R0000 +arc: D7 V02S0401 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H01W0100 Q1 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: V00T0000 Q0 +arc: V01S0100 Q3 +arc: W3_H06W0203 Q7 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEA.K0.INIT 1100110011110000 +word: SLICEA.K1.INIT 1100110011110000 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 1100110011110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R37C29:PLC2 +arc: E1_H02E0501 E1_H01W0100 +arc: N1_V02N0701 E1_H02W0701 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0103 H06W0103 +arc: V01S0000 S3_V06N0103 + +.tile R37C2:PLC2 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 V01N0101 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0601 E1_H01W0000 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 V02N0301 +arc: V00T0000 V02N0601 +arc: A0 V02N0701 +arc: A2 V02S0501 +arc: B2 V01N0001 +arc: B3 E1_H01W0100 +arc: B4 H02W0301 +arc: B5 V00B0100 +arc: B6 V00T0000 +arc: B7 V00B0000 +arc: E1_H01E0001 F3 +arc: E1_H02E0401 F4 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: S1_V02S0401 F6 +arc: S1_V02S0701 F5 +arc: S3_V06S0203 F7 +arc: V01S0100 F2 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R37C30:PLC2 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 V02N0301 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0303 S3_V06N0203 +arc: V00B0100 H02E0501 +arc: CE0 E1_H02W0101 +arc: CE1 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: M0 V00B0100 +arc: M2 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: S1_V02S0001 Q2 +arc: V00T0000 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R37C31:PLC2 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0701 E1_H01W0100 +arc: S1_V02S0101 W3_H06E0103 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0501 E1_H01W0100 +arc: S3_V06S0103 E1_H01W0100 +arc: V00T0000 V02S0601 +arc: W1_H02W0701 E1_H01W0100 +arc: CE0 E1_H02W0101 +arc: CE1 H02W0101 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: M0 V00T0000 +arc: M2 V00T0000 +arc: M4 V00B0000 +arc: M6 N1_V01N0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: S1_V02S0201 Q0 +arc: S1_V02S0601 Q4 +arc: V00B0000 Q6 +arc: V01S0000 Q4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R37C32:PLC2 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0201 H02W0201 +arc: V00B0100 V02S0301 +arc: V00T0000 V02S0401 +arc: B5 V01S0000 +arc: C1 V02N0601 +arc: C4 V02N0001 +arc: C5 V00B0100 +arc: C7 V00T0000 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D1 N1_V01S0000 +arc: D4 V02S0401 +arc: D5 W1_H02E0201 +arc: D7 W1_H02E0201 +arc: E1_H01E0001 F4 +arc: E1_H01E0101 F4 +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: H01W0000 F4 +arc: H01W0100 F1 +arc: LSR0 W1_H02E0301 +arc: MUXCLK2 CLK0 +arc: MUXLSR2 LSR0 +arc: N1_V01N0101 Q5 +arc: S1_V02S0601 F4 +arc: S3_V06S0103 F1 +arc: V01S0000 Q5 +arc: W1_H02W0101 F1 +arc: W3_H06W0103 F1 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111111111110000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0000111100000000 +word: SLICEC.K1.INIT 0000000011001111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R37C33:PLC2 +arc: N1_V02N0601 N3_V06S0303 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0201 H01E0001 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 H01E0001 + +.tile R37C34:PLC2 +arc: N3_V06N0103 S1_V02N0201 + +.tile R37C36:PLC2 +arc: N3_V06N0003 S3_V06N0303 + +.tile R37C3:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: V00B0100 S1_V02N0301 +arc: B3 H02E0301 +arc: B7 H02E0301 +arc: C3 H02E0401 +arc: C7 H01E0001 +arc: CLK0 G_HPBX0000 +arc: D3 H02E0201 +arc: D7 H02E0201 +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q3 +arc: H01W0100 Q7 +arc: LSR0 V00B0100 +arc: LSR1 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR1 LSR0 +arc: MUXLSR3 LSR1 +arc: V01S0000 Q7 +arc: W1_H02W0301 Q3 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000110000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000110000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000001010 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R37C4:PLC2 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0601 E1_H02W0601 + +.tile R37C5:PLC2 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0501 H06W0303 +arc: S1_V02S0601 V01N0001 +arc: V00B0000 H02W0401 +arc: V00B0100 E1_H02W0701 +arc: V00T0100 H02W0101 +arc: B4 E1_H02W0101 +arc: C4 V00B0100 +arc: D4 V00B0000 +arc: D5 E1_H01W0100 +arc: F4 F5C_SLICE +arc: M4 V00T0100 +arc: S3_V06S0203 F4 +word: SLICEC.K0.INIT 1111110000110000 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R37C6:PLC2 +arc: E1_H02E0601 V02N0601 +arc: H00L0000 V02S0201 +arc: H00L0100 S1_V02N0101 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 E1_H01W0000 +arc: S1_V02S0701 E1_H01W0100 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0601 V01N0001 +arc: B2 H02W0301 +arc: B3 V02N0301 +arc: B5 H00L0000 +arc: B6 S1_V02N0701 +arc: B7 H02W0301 +arc: C2 H02W0601 +arc: C3 H00L0100 +arc: C5 E1_H02W0601 +arc: C6 V01N0101 +arc: C7 F6 +arc: D2 H02W0201 +arc: D3 E1_H02W0001 +arc: D5 H00R0100 +arc: D6 V02N0601 +arc: D7 H01W0000 +arc: E1_H01E0001 F3 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: H01W0000 F3 +arc: H01W0100 F2 +arc: N1_V01N0001 F7 +arc: S3_V06S0303 F5 +arc: W1_H02W0201 F2 +arc: W1_H02W0401 F6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111110000110000 +word: SLICED.K0.INIT 1111001111000000 +word: SLICED.K1.INIT 1111110000110000 +word: SLICEB.K0.INIT 1111110000110000 +word: SLICEB.K1.INIT 1111001111000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R37C7:PLC2 +arc: H00L0000 H02W0201 +arc: H00R0000 H02E0601 +arc: H01W0100 E3_H06W0303 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 E1_H02W0601 +arc: V00B0000 V02N0201 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 H02W0201 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0601 H01E0001 +arc: B0 V00T0000 +arc: B2 S1_V02N0101 +arc: B3 H00L0000 +arc: B4 S1_V02N0701 +arc: B6 E1_H02W0301 +arc: B7 V00T0000 +arc: C0 F6 +arc: C2 H00L0100 +arc: C3 V02S0601 +arc: C4 S1_V02N0201 +arc: C6 H02W0401 +arc: C7 F6 +arc: D0 S1_V02N0201 +arc: D1 V01S0100 +arc: D2 V02N0001 +arc: D3 H00R0000 +arc: D4 V00B0000 +arc: D5 H00R0100 +arc: D6 V02N0401 +arc: D7 V02S0601 +arc: E1_H01E0001 F4 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F3 +arc: H00R0100 F7 +arc: H01W0000 F0 +arc: M0 V00B0100 +arc: M4 V00B0100 +arc: S1_V02S0001 F2 +arc: V01S0100 F3 +arc: W1_H02W0701 F7 +word: SLICEB.K0.INIT 1111110000110000 +word: SLICEB.K1.INIT 1111110000110000 +word: SLICED.K0.INIT 0000111100110011 +word: SLICED.K1.INIT 1111110000110000 +word: SLICEA.K0.INIT 1111001111000000 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 1100000011111111 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R37C8:PLC2 +arc: E3_H06E0203 S3_V06N0203 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0501 E3_H06W0303 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0601 H01E0001 +arc: W3_H06W0303 E3_H06W0303 + +.tile R37C9:PLC2 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0601 E3_H06W0303 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0601 V02N0601 + +.tile R38C10:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0301 S3_V06N0003 +arc: H00R0000 V02N0401 +arc: H00R0100 V02N0701 +arc: H01W0100 E3_H06W0303 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 E3_H06W0303 +arc: S1_V02S0601 S3_V06N0303 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 H02E0501 +arc: W1_H02W0201 H01E0001 +arc: W3_H06W0303 E3_H06W0303 +arc: A0 H00L0100 +arc: A1 E1_H02W0701 +arc: A3 E1_H02W0501 +arc: A7 H00R0000 +arc: B0 W1_H02E0301 +arc: B1 V00B0000 +arc: B2 S1_V02N0301 +arc: B3 H02E0101 +arc: B5 H00L0000 +arc: B7 V00T0000 +arc: C0 H02E0601 +arc: C1 S1_V02N0401 +arc: C2 H00R0100 +arc: C3 H02W0401 +arc: C4 E1_H01E0101 +arc: C5 V01N0101 +arc: C7 V01N0101 +arc: CE0 V02S0201 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0001 +arc: D1 H02W0001 +arc: D2 V00B0100 +arc: D3 V02S0001 +arc: D4 V02N0601 +arc: D5 V02S0401 +arc: D6 V01N0001 +arc: D7 V02S0601 +arc: E1_H01E0101 Q5 +arc: E1_H02E0401 F4 +arc: E1_H02E0601 Q6 +arc: E3_H06E0103 Q1 +arc: E3_H06E0303 Q5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 F2 +arc: H00L0100 Q1 +arc: H01W0000 Q1 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F3 +arc: N1_V01N0101 Q6 +arc: V00T0000 F2 +arc: V01S0000 Q6 +arc: W3_H06W0003 F0 +word: SLICEC.K0.INIT 0000111111110000 +word: SLICEC.K1.INIT 1111000011111100 +word: SLICEA.K0.INIT 0001110100001111 +word: SLICEA.K1.INIT 1110110010100000 +word: SLICEB.K0.INIT 0000000011001111 +word: SLICEB.K1.INIT 0000000100000101 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1111101011111110 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 + +.tile R38C11:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 S3_V06N0103 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0303 W3_H06E0203 +arc: H00R0000 V02N0601 +arc: H00R0100 S1_V02N0701 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0701 E1_H01W0100 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 V02S0601 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0401 E1_H02W0401 +arc: A0 S1_V02N0501 +arc: A2 V00T0000 +arc: B2 H00R0000 +arc: B3 H00R0100 +arc: B4 V02N0701 +arc: B5 V02S0701 +arc: B6 V00B0100 +arc: B7 V00B0000 +arc: E1_H01E0001 F4 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: N1_V01N0001 F5 +arc: S1_V02S0501 F7 +arc: S1_V02S0601 F6 +arc: S3_V06S0103 F2 +arc: V01S0000 F3 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R38C12:PLC2 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0701 W1_H02E0601 +arc: E3_H06E0003 V01N0001 +arc: E3_H06E0303 W1_H02E0601 +arc: H00R0100 V02N0501 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0401 S1_V02N0401 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0701 E1_H02W0701 +arc: V00B0000 V02N0201 +arc: V00B0100 H02W0701 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 V06N0203 +arc: B0 V02S0301 +arc: B1 S1_V02N0101 +arc: B2 S1_V02N0301 +arc: B3 H00R0100 +arc: B4 V00B0100 +arc: B5 V02N0701 +arc: B6 H02W0301 +arc: B7 V00B0000 +arc: E1_H01E0001 F2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F0 +arc: H01W0100 F1 +arc: N1_V01N0001 F7 +arc: S1_V02S0401 F6 +arc: S1_V02S0501 F5 +arc: S1_V02S0601 F4 +arc: V01S0000 F3 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R38C13:PLC2 +arc: E3_H06E0103 W1_H02E0101 +arc: H00L0000 V02S0201 +arc: H00R0000 V02S0401 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0601 H06W0303 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0103 H06E0103 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 H02E0501 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0401 V06N0203 +arc: W1_H02W0701 V02N0701 +arc: B0 H00R0100 +arc: B1 V00B0000 +arc: B2 H00L0000 +arc: B3 H00R0000 +arc: B4 V00B0100 +arc: B5 N1_V01S0000 +arc: B6 V02S0501 +arc: B7 S1_V02N0701 +arc: E1_H01E0001 F2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F3 +arc: S1_V02S0001 F0 +arc: S1_V02S0101 F1 +arc: S1_V02S0501 F7 +arc: S1_V02S0601 F4 +arc: V01S0000 F6 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R38C14:PLC2 +arc: E1_H02E0401 V02N0401 +arc: H00R0000 E1_H02W0601 +arc: H00R0100 E1_H02W0501 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0401 E1_H02W0401 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0501 S3_V06N0303 +arc: V00B0100 E1_H02W0701 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 V02N0501 +arc: B0 E1_H02W0301 +arc: B1 H00R0100 +arc: B2 E1_H02W0101 +arc: B3 H00R0000 +arc: B4 V02N0701 +arc: B5 V00B0100 +arc: B6 S1_V02N0501 +arc: B7 N1_V02S0501 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: N1_V01N0001 F7 +arc: S1_V02S0001 F2 +arc: S1_V02S0301 F1 +arc: S1_V02S0601 F6 +arc: V01S0000 F4 +arc: W1_H02W0701 F5 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R38C15:PLC2 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 E1_H01W0100 +arc: H00L0100 V02N0101 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0401 H02W0401 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0201 H01E0001 +arc: S1_V02S0501 H01E0101 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0103 N1_V01S0100 +arc: W1_H02W0201 V01N0001 +arc: B0 E1_H02W0101 +arc: B1 V02N0301 +arc: C4 E1_H02W0601 +arc: C5 V02S0201 +arc: C6 V02N0001 +arc: C7 E1_H02W0401 +arc: D4 H00L0100 +arc: D5 H00L0100 +arc: D6 H00L0100 +arc: D7 H00L0100 +arc: E1_H01E0101 F4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: S1_V02S0001 F0 +arc: S1_V02S0701 F5 +arc: V01S0000 F1 +arc: W1_H02W0601 F6 +arc: W1_H02W0701 F7 +word: SLICEC.K0.INIT 0000111111110000 +word: SLICEC.K1.INIT 0000111111110000 +word: SLICED.K0.INIT 0000111111110000 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001010 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R38C16:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0601 E3_H06W0303 +arc: E3_H06E0103 W3_H06E0103 +arc: H00L0000 H02W0201 +arc: H00R0000 W1_H02E0401 +arc: H00R0100 H02W0501 +arc: H01W0100 W3_H06E0303 +arc: S1_V02S0201 W3_H06E0103 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 V01N0001 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 E3_H06W0203 +arc: V00T0000 H02W0001 +arc: V00T0100 H02E0101 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 E1_H01W0000 +arc: W1_H02W0701 H01E0101 +arc: W3_H06W0303 E3_H06W0203 +arc: C6 V00T0100 +arc: CE1 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 S1_V02N0201 +arc: D1 S1_V02N0201 +arc: D2 S1_V02N0201 +arc: D3 S1_V02N0201 +arc: D4 S1_V02N0401 +arc: D5 S1_V02N0601 +arc: D6 V02N0601 +arc: E1_H01E0001 Q3 +arc: E3_H06E0003 Q3 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: M0 V00T0000 +arc: M1 H00R0000 +arc: M2 V00T0000 +arc: M3 H00R0100 +arc: M4 V00T0000 +arc: M5 H00R0000 +arc: M6 V00T0000 +arc: MUXCLK1 CLK0 +arc: W3_H06W0003 F3 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICED.K0.INIT 1111111100001111 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R38C17:PLC2 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0601 N1_V01S0000 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0303 W3_H06E0303 +arc: H00R0100 V02N0701 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0501 H01E0101 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0303 E1_H01W0100 +arc: V00T0000 H02W0201 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 H01E0101 +arc: W1_H02W0601 E1_H02W0301 +arc: C6 V02S0001 +arc: C7 V02S0201 +arc: CE1 V02N0201 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D6 V02N0401 +arc: D7 V02N0401 +arc: E1_H01E0101 Q2 +arc: E1_H02E0001 Q2 +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: H01W0100 F7 +arc: M2 V00T0100 +arc: M4 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: S1_V02S0401 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000111111110000 +word: SLICED.K1.INIT 0000111111110000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 + +.tile R38C18:PLC2 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0601 W1_H02E0601 +arc: E3_H06E0003 W3_H06E0303 +arc: H00L0100 V02N0301 +arc: H00R0000 E1_H02W0601 +arc: H01W0100 W3_H06E0303 +arc: N3_V06N0103 S3_V06N0103 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 E1_H02W0601 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02S0201 +arc: V00B0100 V02N0101 +arc: V00T0000 H02E0001 +arc: W1_H02W0201 S1_V02N0201 +arc: A5 V00T0000 +arc: A7 H00L0000 +arc: B4 H01E0101 +arc: B5 H02E0301 +arc: B6 V01S0000 +arc: B7 V02S0701 +arc: C4 W1_H02E0601 +arc: C5 V00B0100 +arc: C6 W1_H02E0601 +arc: C7 V00B0100 +arc: CE0 V02N0201 +arc: CE1 H00L0100 +arc: CE2 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D4 V02S0401 +arc: D5 E1_H02W0001 +arc: D6 S1_V02N0401 +arc: D7 E1_H02W0001 +arc: E3_H06E0203 F7 +arc: E3_H06E0303 F5 +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H01W0000 Q6 +arc: M0 H02E0601 +arc: M2 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: V01S0000 Q0 +arc: V01S0100 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1100101010101010 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1100101010101010 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 + +.tile R38C19:PLC2 +arc: E1_H02E0501 V02N0501 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 V02N0201 +arc: H00L0100 H02E0301 +arc: H00R0000 H02W0601 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 S1_V02N0301 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0301 H06E0003 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0601 H06W0303 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0203 H06W0203 +arc: V00B0100 V02N0101 +arc: V00T0000 S1_V02N0401 +arc: W1_H02W0301 V02N0301 +arc: A1 E1_H01E0001 +arc: B0 V00B0000 +arc: B1 V02S0101 +arc: C0 H02E0601 +arc: C1 V02N0401 +arc: CE0 H00R0000 +arc: CE1 H00L0100 +arc: CE2 H00L0000 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0201 +arc: D1 H02W0001 +arc: E1_H01E0001 Q4 +arc: E1_H02E0401 Q6 +arc: E3_H06E0103 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: M2 V00B0100 +arc: M4 E1_H02W0401 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0001 Q2 +arc: V00B0000 Q4 +arc: V01S0000 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 1100101010101010 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 + +.tile R38C20:PLC2 +arc: E1_H02E0101 V01N0101 +arc: H00L0100 W1_H02E0301 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 H02E0401 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0701 S3_V06N0203 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 H02E0501 +arc: V00T0000 S1_V02N0401 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0601 S1_V02N0601 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: M0 V00T0100 +arc: M2 V00B0100 +arc: M4 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: N1_V02N0001 Q0 +arc: N1_V02N0201 Q2 +arc: N1_V02N0601 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R38C21:PLC2 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 S1_V02N0701 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0601 E1_H01W0000 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 S3_V06N0003 +arc: W1_H02W0401 N1_V01S0000 + +.tile R38C22:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0501 E3_H06W0303 +arc: E1_H02E0701 V02S0701 +arc: H00L0100 V02N0301 +arc: H00R0000 V02N0601 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 N3_V06S0103 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 S3_V06N0303 +arc: S3_V06S0103 W3_H06E0103 +arc: V00B0100 S1_V02N0301 +arc: V00T0100 V02N0501 +arc: W3_H06W0303 E3_H06W0303 +arc: A5 V00T0000 +arc: A6 H02E0701 +arc: B5 H02W0101 +arc: B6 V02S0501 +arc: B7 V00B0000 +arc: C5 E1_H01E0101 +arc: C6 V02N0001 +arc: C7 H02W0401 +arc: CE0 H00R0000 +arc: CE1 V02S0201 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D5 E1_H02W0201 +arc: D6 H00L0100 +arc: D7 V02S0601 +arc: E1_H01E0101 F7 +arc: E1_H02E0601 Q6 +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q2 +arc: M0 V00T0100 +arc: M2 V00B0100 +arc: M4 E1_H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: S3_V06S0203 F4 +arc: V00B0000 Q6 +arc: V00T0000 Q0 +arc: V01S0000 Q0 +arc: V01S0100 Q0 +arc: W3_H06W0203 F4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1010101011101111 +word: SLICED.K1.INIT 1100000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1001000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R38C23:PLC2 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 N1_V01S0000 +arc: E3_H06E0003 W3_H06E0003 +arc: H00L0000 V02N0201 +arc: H00L0100 N1_V02S0101 +arc: H00R0100 V02N0501 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0301 E1_H01W0100 +arc: S3_V06S0303 H01E0101 +arc: V00B0100 H02E0701 +arc: V00T0000 H02W0001 +arc: V00T0100 E1_H02W0101 +arc: W1_H02W0101 V02S0101 +arc: A1 E1_H02W0501 +arc: A3 V00T0000 +arc: A6 H02W0501 +arc: B1 H00R0100 +arc: B3 E1_H02W0101 +arc: B6 H02E0101 +arc: B7 V00B0000 +arc: C1 H00L0100 +arc: C3 H02E0401 +arc: C6 V00T0100 +arc: C7 H02E0601 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D1 V02N0201 +arc: D2 H02W0001 +arc: D3 V00B0100 +arc: D6 F2 +arc: D7 V02S0601 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 F1 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: LSR0 H02W0301 +arc: M2 W1_H02E0601 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR0 +arc: S1_V02S0601 Q6 +arc: S3_V06S0203 F7 +arc: V00B0000 Q6 +arc: W1_H02W0401 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000010000 +word: SLICED.K0.INIT 0000101111111111 +word: SLICED.K1.INIT 0000110000000000 +word: SLICEB.K0.INIT 0000000011111111 +word: SLICEB.K1.INIT 0001000100010011 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 + +.tile R38C24:PLC2 +arc: E1_H02E0001 H01E0001 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0501 N1_V01S0100 +arc: E1_H02E0601 V01N0001 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0103 W3_H06E0003 +arc: H00L0000 H02E0201 +arc: H00R0100 W1_H02E0501 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 W3_H06E0303 +arc: S1_V02S0701 V01N0101 +arc: V00B0100 H02W0501 +arc: V00T0000 V02N0401 +arc: W1_H02W0001 N1_V01S0000 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0501 S3_V06N0303 +arc: A3 V00B0000 +arc: B2 V02S0101 +arc: B3 V02N0101 +arc: C2 H00R0100 +arc: C3 V02N0601 +arc: CE0 V02S0201 +arc: CE1 H02E0101 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D2 H02E0001 +arc: D3 V02N0201 +arc: E1_H01E0001 Q4 +arc: E1_H01E0101 Q0 +arc: E3_H06E0003 F3 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: H01W0000 Q0 +arc: H01W0100 Q0 +arc: M0 V00B0100 +arc: M4 H02W0401 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 Q6 +arc: V00B0000 Q6 +arc: V01S0000 Q0 +arc: V01S0100 Q4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 1110101000101010 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 + +.tile R38C25:PLC2 +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0401 W1_H02E0401 +arc: H00L0100 V02S0301 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 S1_V02N0701 +arc: S1_V02S0501 H01E0101 +arc: S1_V02S0601 H01E0001 +arc: V00B0100 H02E0501 +arc: V00T0000 V02N0401 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 S3_V06N0303 +arc: A0 H01E0001 +arc: A1 H01E0001 +arc: A5 V02N0101 +arc: A7 N1_V01S0100 +arc: B0 H00R0100 +arc: B1 H00R0100 +arc: B5 H00R0000 +arc: B6 N1_V01S0000 +arc: B7 H02E0101 +arc: C0 H02E0601 +arc: C1 H02E0601 +arc: C3 S1_V02N0401 +arc: C5 E1_H01E0101 +arc: C6 H02W0601 +arc: C7 V02N0001 +arc: CE3 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V01S0100 +arc: D3 V00B0100 +arc: D5 H02E0001 +arc: D6 H02E0201 +arc: D7 H00L0100 +arc: E1_H01E0101 F0 +arc: E1_H02E0601 Q6 +arc: E1_H02E0701 F7 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F0 +arc: M0 V00T0000 +arc: M4 H02W0401 +arc: MUXCLK3 CLK0 +arc: S3_V06S0203 F4 +arc: V01S0100 F3 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000011110000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1110101000101010 +word: SLICEA.K0.INIT 0000000000110001 +word: SLICEA.K1.INIT 0000000011000100 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1001000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R38C26:PLC2 +arc: H00L0000 V02N0201 +arc: H00L0100 V02N0301 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 S3_V06N0303 +arc: S1_V02S0701 W1_H02E0701 +arc: V00B0000 V02N0001 +arc: V00B0100 V02S0301 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0601 E1_H02W0601 +arc: A4 V00B0000 +arc: A5 V00B0000 +arc: B1 H01W0100 +arc: B4 V02N0701 +arc: B5 V02N0701 +arc: C1 H00L0100 +arc: C4 V00B0100 +arc: C5 V00B0100 +arc: CE1 V02N0201 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D1 S1_V02N0001 +arc: D4 V02N0601 +arc: D5 V02N0601 +arc: F1 F1_SLICE +arc: F4 F5C_SLICE +arc: H01W0100 Q6 +arc: M2 V00T0100 +arc: M4 H02E0401 +arc: M6 W1_H02E0401 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: V01S0100 Q2 +arc: W1_H02W0101 F1 +arc: W1_H02W0401 F4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1100111111000000 +word: SLICEC.K0.INIT 0000000000001101 +word: SLICEC.K1.INIT 0000110100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R38C27:PLC2 +arc: H00L0100 V02N0301 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 H02W0201 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0301 H06E0003 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0103 H06E0103 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 N1_V02S0301 +arc: V00T0100 S1_V02N0701 +arc: CE0 V02N0201 +arc: CE1 V02N0201 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: M0 V00B0100 +arc: M2 W1_H02E0601 +arc: M4 V00B0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: N1_V02N0401 Q4 +arc: N1_V02N0601 Q6 +arc: V01S0100 Q2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R38C28:PLC2 +arc: H00L0100 V02N0101 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0401 V01N0001 +arc: N3_V06N0003 S3_V06N0003 +arc: S1_V02S0701 S3_V06N0203 +arc: V00B0100 V02S0101 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0601 S3_V06N0303 +arc: W3_H06W0303 S3_V06N0303 +arc: CE0 H00L0100 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q0 +arc: M0 V00B0100 +arc: MUXCLK0 CLK0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R38C29:PLC2 +arc: E1_H02E0401 N1_V01S0000 +arc: V01S0100 S3_V06N0303 + +.tile R38C2:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0201 E3_H06W0103 +arc: H00R0100 V02S0701 +arc: N1_V02N0701 S3_V06N0203 +arc: V00B0000 V02N0001 +arc: V00T0000 V02S0401 +arc: V01S0000 S3_V06N0103 +arc: A7 V00T0100 +arc: B1 V02S0301 +arc: B2 V02S0101 +arc: B4 V00B0100 +arc: B5 H00L0000 +arc: B7 H02E0101 +arc: C1 H00R0100 +arc: C2 N1_V01S0100 +arc: C3 H00L0000 +arc: C4 V00T0000 +arc: C5 F6 +arc: C7 H02W0601 +arc: CLK0 G_HPBX0000 +arc: D1 V02N0201 +arc: D2 V02N0201 +arc: D3 V01S0100 +arc: D4 V02N0401 +arc: D5 V02N0601 +arc: D7 V02S0601 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 Q2 +arc: H01W0100 Q4 +arc: LSR0 H02W0301 +arc: LSR1 H02W0301 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 F5 +arc: N1_V02N0301 Q1 +arc: N1_V02N0601 Q4 +arc: S3_V06S0003 F3 +arc: S3_V06S0303 F5 +arc: V00B0100 F5 +arc: V00T0100 Q1 +arc: V01S0100 F6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000110000 +word: SLICEC.K0.INIT 0000000000110000 +word: SLICEC.K1.INIT 1100000000000000 +word: SLICEB.K0.INIT 0000000000110000 +word: SLICEB.K1.INIT 1111000011111111 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000001 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 + +.tile R38C30:PLC2 +arc: E1_H02E0601 E1_H01W0000 +arc: H00L0000 S1_V02N0201 +arc: N1_V02N0301 S1_V02N0301 +arc: S3_V06S0103 W3_H06E0103 +arc: A3 V00B0000 +arc: B3 V01N0001 +arc: C3 V02N0401 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D3 V02N0001 +arc: F3 F3_SLICE +arc: M6 H02E0401 +arc: MUXCLK3 CLK0 +arc: S3_V06S0003 F3 +arc: V00B0000 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1000010000100001 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R38C31:PLC2 +arc: H00L0000 E1_H02W0001 +arc: H00R0000 V02S0401 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0501 V01N0101 +arc: V00B0000 V02S0201 +arc: V00T0000 E1_H02W0001 +arc: A5 N1_V01N0101 +arc: B4 V01S0000 +arc: B5 H00L0000 +arc: C4 V02S0201 +arc: C5 F4 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D4 V02N0601 +arc: D5 E1_H01W0100 +arc: E1_H01E0001 Q0 +arc: E1_H02E0201 Q0 +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 Q2 +arc: M0 H02E0601 +arc: M2 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: S1_V02S0701 F5 +arc: S3_V06S0303 F5 +arc: V01S0000 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000001100000000 +word: SLICEC.K1.INIT 0001000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 + +.tile R38C32:PLC2 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0601 N1_V01S0000 +arc: N3_V06N0203 S3_V06N0203 +arc: A3 V00B0000 +arc: B3 H00R0000 +arc: C3 H00L0000 +arc: CE0 V02S0201 +arc: CE2 V02S0601 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D3 H02E0201 +arc: E1_H01E0101 Q6 +arc: E1_H02E0601 Q4 +arc: F3 F3_SLICE +arc: H00L0000 Q0 +arc: H00R0000 Q6 +arc: H01W0100 F3 +arc: M0 H01E0001 +arc: M4 E1_H01E0101 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V00B0000 Q4 +arc: V00T0000 Q0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000001 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R38C33:PLC2 +arc: CE0 V02S0201 +arc: CLK0 G_HPBX0000 +arc: M0 H02E0601 +arc: MUXCLK0 CLK0 +arc: W1_H02W0001 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R38C3:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0301 S1_V02N0301 +arc: H00L0000 E1_H02W0201 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 E1_H02W0601 +arc: S3_V06S0203 E1_H01W0000 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 E1_H02W0501 +arc: V00T0100 H02E0101 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0601 N1_V01S0000 +arc: B2 S1_V02N0101 +arc: B3 W1_H02E0301 +arc: B6 H02W0101 +arc: C2 H00L0100 +arc: C3 H00L0000 +arc: C6 E1_H02W0401 +arc: D2 V00B0100 +arc: D3 H02E0001 +arc: D6 V00B0000 +arc: D7 F2 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F3 +arc: M6 V00T0100 +arc: S1_V02S0301 F3 +arc: S3_V06S0103 F2 +arc: S3_V06S0303 F6 +arc: V01S0100 F3 +word: SLICEB.K0.INIT 1111110000110000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 1111110000110000 +word: SLICED.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R38C4:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0601 V02N0601 +arc: H00L0000 S1_V02N0001 +arc: H00R0000 E1_H02W0601 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0301 E1_H02W0301 +arc: S3_V06S0203 H06W0203 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 V02S0601 +arc: V00T0100 W1_H02E0101 +arc: W1_H02W0101 S1_V02N0101 +arc: B0 H02E0101 +arc: B1 S1_V02N0301 +arc: B2 H02E0101 +arc: B4 H00L0000 +arc: B5 H02E0101 +arc: B6 H02E0301 +arc: B7 V00B0100 +arc: C0 H00L0100 +arc: C1 E1_H01W0000 +arc: C2 E1_H02W0401 +arc: C4 F6 +arc: C5 F4 +arc: C6 V00T0000 +arc: C7 V02N0001 +arc: D0 V01S0100 +arc: D1 H00R0000 +arc: D2 V02S0201 +arc: D3 F0 +arc: D4 E1_H01W0100 +arc: D5 W1_H02E0201 +arc: D6 V02N0601 +arc: D7 V00B0000 +arc: E1_H01E0101 F7 +arc: E1_H02E0701 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 F1 +arc: M2 V00T0100 +arc: S1_V02S0201 F0 +arc: S1_V02S0501 F5 +arc: S1_V02S0601 F4 +arc: S3_V06S0103 F2 +arc: V00B0000 F6 +arc: V01S0100 F7 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 1111001111000000 +word: SLICED.K1.INIT 1111001111000000 +word: SLICEA.K0.INIT 1111110000110000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICEB.K0.INIT 1111001111000000 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R38C5:PLC2 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 E1_H01W0000 +arc: E3_H06E0203 S3_V06N0203 +arc: H00L0000 V02S0001 +arc: H00R0000 V02N0601 +arc: H00R0100 V02S0501 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0501 E1_H02W0501 +arc: S3_V06S0103 H01E0101 +arc: V00B0000 H02E0601 +arc: V00B0100 V02N0301 +arc: V00T0000 V02N0601 +arc: V00T0100 V02N0701 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0601 E1_H02W0301 +arc: A2 S1_V02N0701 +arc: B0 V00T0000 +arc: B1 S1_V02N0301 +arc: B2 H00R0100 +arc: B3 H00R0000 +arc: B4 V02S0501 +arc: B6 S1_V02N0701 +arc: B7 V00T0000 +arc: C0 H00L0100 +arc: C1 E1_H02W0601 +arc: C2 H00L0000 +arc: C3 F6 +arc: C4 V02S0001 +arc: C6 H01E0001 +arc: C7 E1_H01E0101 +arc: D0 V00B0100 +arc: D1 V02N0001 +arc: D2 W1_H02E0001 +arc: D3 F2 +arc: D4 W1_H02E0001 +arc: D5 H02E0001 +arc: D6 V00B0000 +arc: D7 V02S0601 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 F4 +arc: H01W0100 F4 +arc: M4 V00T0100 +arc: N1_V01N0001 F6 +arc: S1_V02S0301 F3 +arc: S3_V06S0003 F0 +arc: W1_H02W0201 F2 +arc: W1_H02W0501 F7 +word: SLICEA.K0.INIT 1111110000110000 +word: SLICEA.K1.INIT 1111110000110000 +word: SLICED.K0.INIT 1111110000110000 +word: SLICED.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 0000111100110011 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 0001101100100111 +word: SLICEB.K1.INIT 1111001111000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 + +.tile R38C6:PLC2 +arc: H00L0000 N1_V02S0201 +arc: H00R0000 V02N0601 +arc: H00R0100 W1_H02E0701 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0601 S1_V02N0601 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 H02W0201 +arc: S3_V06S0203 H01E0001 +arc: V00B0000 V02N0201 +arc: V00B0100 N1_V02S0101 +arc: V00T0000 H02W0201 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0601 E1_H02W0601 +arc: A1 H00L0000 +arc: A7 V02N0301 +arc: B0 S1_V02N0301 +arc: B1 H02W0101 +arc: B2 H02E0301 +arc: B3 S1_V02N0101 +arc: B4 V00B0100 +arc: B7 V02S0701 +arc: C0 S1_V02N0401 +arc: C1 H02E0401 +arc: C2 H00L0100 +arc: C3 V02N0401 +arc: C4 V00T0000 +arc: C7 V00T0100 +arc: D0 F2 +arc: D1 V02S0001 +arc: D2 E1_H02W0001 +arc: D3 H00R0000 +arc: D4 N1_V02S0401 +arc: D5 H00R0100 +arc: D7 H02W0001 +arc: E1_H01E0001 F7 +arc: E1_H02E0001 F2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F3 +arc: H01W0000 F0 +arc: M4 V00B0000 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 F3 +arc: V01S0100 F4 +arc: W1_H02W0301 F1 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0010011100110011 +word: SLICEB.K0.INIT 1111110000110000 +word: SLICEB.K1.INIT 0000111100110011 +word: SLICEA.K0.INIT 1111001111000000 +word: SLICEA.K1.INIT 0000001000010011 +word: SLICEC.K0.INIT 0000110000111111 +word: SLICEC.K1.INIT 0000000011111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R38C7:PLC2 +arc: E1_H02E0001 V01N0001 +arc: E1_H02E0401 E1_H01W0000 +arc: H00L0000 V02N0001 +arc: H00R0100 V02N0501 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0401 V01N0001 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0501 E1_H02W0501 +arc: V00B0100 V02N0101 +arc: V00T0100 E1_H02W0101 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0601 H01E0001 +arc: B0 V02N0101 +arc: B1 S1_V02N0301 +arc: B2 W1_H02E0301 +arc: B3 V02N0301 +arc: B4 S1_V02N0701 +arc: B5 V00B0100 +arc: B6 S1_V02N0501 +arc: B7 V00B0100 +arc: C0 F6 +arc: C1 H00R0100 +arc: C2 H00L0000 +arc: C3 F4 +arc: C4 E1_H02W0601 +arc: C5 V00T0100 +arc: C6 V02N0001 +arc: C7 E1_H02W0401 +arc: D0 V01S0100 +arc: D1 H02W0201 +arc: D2 H02W0201 +arc: D3 F2 +arc: D4 V02S0601 +arc: D5 V00B0000 +arc: D6 V02S0601 +arc: D7 V02N0401 +arc: E1_H01E0001 F2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: N1_V01N0001 F1 +arc: S1_V02S0701 F5 +arc: S3_V06S0303 F5 +arc: V00B0000 F4 +arc: V01S0000 F6 +arc: V01S0100 F1 +arc: W1_H02W0201 F0 +arc: W1_H02W0301 F3 +arc: W1_H02W0501 F5 +arc: W3_H06W0203 F7 +word: SLICEA.K0.INIT 1111001111000000 +word: SLICEA.K1.INIT 1111110000110000 +word: SLICED.K0.INIT 1111110000110000 +word: SLICED.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 1111001111000000 +word: SLICEB.K0.INIT 1111001111000000 +word: SLICEB.K1.INIT 1111001111000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R38C8:PLC2 +arc: E1_H02E0301 S1_V02N0301 +arc: H00L0000 V02N0201 +arc: H00L0100 S1_V02N0101 +arc: H00R0000 H02W0601 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 H02W0001 +arc: V00B0000 V02N0201 +arc: V00T0100 H02W0301 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 H01E0001 +arc: A1 H00L0100 +arc: B0 V00B0000 +arc: B1 V02S0101 +arc: B2 V02N0101 +arc: B3 H00L0000 +arc: B5 V02S0501 +arc: C0 H02E0401 +arc: C1 V02N0601 +arc: C2 N1_V01N0001 +arc: C3 H02E0401 +arc: C5 V00T0100 +arc: D0 E1_H02W0201 +arc: D1 H02W0001 +arc: D2 V01S0100 +arc: D3 H00R0000 +arc: D4 E1_H01W0100 +arc: D5 H02E0001 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: H01W0000 F1 +arc: M4 V00B0000 +arc: N1_V01N0001 F3 +arc: V01S0000 F2 +arc: V01S0100 F4 +arc: W1_H02W0601 F4 +arc: W3_H06W0003 F0 +arc: W3_H06W0103 F2 +word: SLICEA.K0.INIT 1111110000110000 +word: SLICEA.K1.INIT 0001101100001111 +word: SLICEB.K0.INIT 1111110000110000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 0011001100001111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R38C9:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 V02S0601 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0601 S3_V06N0303 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0501 E1_H01W0100 +arc: V00B0000 S1_V02N0001 +arc: V00T0000 V02S0601 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0301 N1_V01S0100 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 E1_H01W0100 +arc: W1_H02W0601 V02N0601 +arc: A5 E1_H01W0000 +arc: B5 H02E0301 +arc: C5 V00T0000 +arc: D5 V00B0000 +arc: E1_H01E0001 F5 +arc: F5 F5_SLICE +arc: H01W0100 F5 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0100011101010101 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R39C10:PLC2 +arc: H00R0100 N1_V02S0701 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 S1_V02N0601 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0601 S3_V06N0303 +arc: S3_V06S0003 E3_H06W0003 +arc: V00B0000 V02N0201 +arc: V00B0100 H02E0501 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 H02W0301 +arc: A3 V00T0000 +arc: A7 H00L0000 +arc: B0 H00R0100 +arc: B2 H02W0101 +arc: B3 V02N0101 +arc: B7 V02N0501 +arc: C0 H02W0601 +arc: C1 W1_H02E0401 +arc: C2 H02W0401 +arc: C3 V02S0401 +arc: C4 E1_H02W0401 +arc: C5 V00B0100 +arc: C7 E1_H01E0101 +arc: CE1 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 V02N0201 +arc: D2 V01S0100 +arc: D3 N1_V02S0001 +arc: D4 E1_H02W0201 +arc: D5 V00B0000 +arc: D6 F0 +arc: D7 H00L0100 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 F1 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 F0 +arc: H00L0100 F3 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F4 +arc: N1_V01N0101 F5 +arc: N1_V02N0401 F4 +arc: S3_V06S0103 Q2 +arc: V01S0000 Q6 +arc: V01S0100 F3 +word: SLICEB.K0.INIT 1111000011111100 +word: SLICEB.K1.INIT 0000000100000101 +word: SLICEA.K0.INIT 0000000000111111 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1111000000000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 0000000011111111 +word: SLICED.K1.INIT 1111010111111101 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 + +.tile R39C11:PLC2 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0103 S3_V06N0103 +arc: H00R0100 V02S0501 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0303 S3_V06N0203 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0303 E3_H06W0303 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0301 V06N0003 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0601 V06N0303 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0303 E1_H02W0601 +arc: B0 V02S0101 +arc: B1 V00B0000 +arc: B2 H01W0100 +arc: B3 S1_V02N0101 +arc: B4 H02W0301 +arc: B5 H00R0000 +arc: B6 V00B0100 +arc: B7 V00T0000 +arc: C0 V02N0401 +arc: C1 V02N0401 +arc: C2 V02N0601 +arc: C3 V02N0401 +arc: C4 V02N0001 +arc: C5 V02N0001 +arc: C6 V02N0001 +arc: C7 V02N0001 +arc: CE0 H02W0101 +arc: CE1 H02W0101 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0201 +arc: D1 V00T0100 +arc: D2 V02S0001 +arc: D3 N1_V01S0000 +arc: D4 V02S0401 +arc: D5 H00R0100 +arc: D6 V02S0601 +arc: D7 N1_V02S0401 +arc: E1_H01E0101 Q5 +arc: E1_H02E0201 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q6 +arc: H01W0100 Q3 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q1 +arc: S1_V02S0001 Q2 +arc: S1_V02S0201 Q0 +arc: S1_V02S0401 Q6 +arc: S1_V02S0601 Q4 +arc: S3_V06S0003 Q3 +arc: S3_V06S0203 Q7 +arc: V00B0000 Q4 +arc: V00B0100 Q7 +arc: V00T0000 Q2 +arc: V01S0000 Q1 +arc: V01S0100 Q5 +word: SLICEB.K0.INIT 1111110000001100 +word: SLICEB.K1.INIT 1111110000001100 +word: SLICEC.K0.INIT 1111110000001100 +word: SLICEC.K1.INIT 1111110000001100 +word: SLICED.K0.INIT 1111110000001100 +word: SLICED.K1.INIT 1111110000001100 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 1111110000001100 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R39C12:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0203 +arc: H00R0000 V02S0601 +arc: H00R0100 V02S0501 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0601 E1_H01W0000 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0203 H06W0203 +arc: V00B0000 E1_H02W0601 +arc: V00T0000 H02E0201 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0601 S3_V06N0303 +arc: W3_H06W0003 S3_V06N0003 +arc: B0 H01W0100 +arc: B1 V00T0000 +arc: B2 V02S0101 +arc: B3 E1_H02W0301 +arc: B4 V00B0100 +arc: B5 H00L0000 +arc: B6 V02N0501 +arc: B7 V01S0000 +arc: C0 H02E0401 +arc: C1 H02E0401 +arc: C2 H02E0601 +arc: C3 H02E0601 +arc: C4 S1_V02N0001 +arc: C5 S1_V02N0001 +arc: C6 S1_V02N0001 +arc: C7 S1_V02N0001 +arc: CE0 E1_H02W0101 +arc: CE1 E1_H02W0101 +arc: CE2 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 N1_V01S0000 +arc: D2 V00T0100 +arc: D3 E1_H02W0001 +arc: D4 V02S0401 +arc: D5 H00R0100 +arc: D6 N1_V02S0601 +arc: D7 V00B0000 +arc: E1_H01E0001 Q2 +arc: E1_H02E0401 Q4 +arc: E1_H02E0601 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H01W0100 Q1 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q4 +arc: N1_V01N0101 Q3 +arc: S1_V02S0201 Q0 +arc: S1_V02S0301 Q3 +arc: S1_V02S0401 Q6 +arc: S1_V02S0501 Q5 +arc: S3_V06S0103 Q1 +arc: V00B0100 Q5 +arc: V01S0000 Q2 +arc: V01S0100 Q7 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 1111110000001100 +word: SLICEB.K0.INIT 1111110000001100 +word: SLICEB.K1.INIT 1111110000001100 +word: SLICEC.K0.INIT 1111110000001100 +word: SLICEC.K1.INIT 1111110000001100 +word: SLICED.K0.INIT 1111110000001100 +word: SLICED.K1.INIT 1111110000001100 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R39C13:PLC2 +arc: E1_H02E0001 V06N0003 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0601 W1_H02E0601 +arc: H00L0100 V02S0101 +arc: H00R0000 H02E0401 +arc: H00R0100 N1_V02S0701 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0401 H06W0203 +arc: S3_V06S0003 H01E0001 +arc: S3_V06S0203 H06W0203 +arc: S3_V06S0303 H06E0303 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 W3_H06E0203 +arc: W3_H06W0103 E3_H06W0103 +arc: B0 H01W0100 +arc: B1 V02S0301 +arc: B2 V02N0101 +arc: B3 H00R0000 +arc: B4 V01S0000 +arc: B5 H00L0000 +arc: B6 V00B0100 +arc: B7 V00B0000 +arc: C0 V02N0601 +arc: C1 V02N0601 +arc: C2 W1_H02E0601 +arc: C3 W1_H02E0401 +arc: C4 W1_H02E0401 +arc: C5 W1_H02E0601 +arc: C6 W1_H02E0401 +arc: C7 W1_H02E0601 +arc: CE0 H02W0101 +arc: CE1 H02W0101 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V01S0000 +arc: D1 N1_V02S0001 +arc: D2 V02S0001 +arc: D3 H02E0201 +arc: D4 V02S0401 +arc: D5 H00L0100 +arc: D6 V02S0601 +arc: D7 H00R0100 +arc: E1_H01E0001 Q7 +arc: E1_H01E0101 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H01W0100 Q1 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q4 +arc: N1_V01N0101 Q6 +arc: S1_V02S0201 Q2 +arc: S1_V02S0601 Q6 +arc: V00B0000 Q4 +arc: V00B0100 Q7 +arc: V01S0000 Q5 +arc: V01S0100 Q3 +word: SLICEC.K0.INIT 1111110000001100 +word: SLICEC.K1.INIT 1111110000001100 +word: SLICED.K0.INIT 1111110000001100 +word: SLICED.K1.INIT 1111110000001100 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 1111110000001100 +word: SLICEB.K0.INIT 1111110000001100 +word: SLICEB.K1.INIT 1111110000001100 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R39C14:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 W1_H02E0601 +arc: H00L0000 S1_V02N0201 +arc: H00L0100 V02N0101 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 E1_H02W0501 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 H02W0701 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0003 H01E0001 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 W1_H02E0001 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0001 N1_V01S0000 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0601 V02S0601 +arc: W3_H06W0203 E1_H02W0401 +arc: B2 H02W0101 +arc: B3 H01W0100 +arc: B4 H00L0000 +arc: B5 V01S0000 +arc: B6 H02W0301 +arc: B7 V00B0000 +arc: C1 E1_H02W0601 +arc: C2 H00R0100 +arc: C3 H02E0401 +arc: C4 V02N0001 +arc: C5 V00T0000 +arc: C6 V02N0001 +arc: C7 V00T0000 +arc: CLK0 G_HPBX0000 +arc: D1 V00B0100 +arc: D2 H02E0201 +arc: D3 H00R0000 +arc: D4 H00L0100 +arc: D5 V02N0401 +arc: D6 V02N0601 +arc: D7 V02N0401 +arc: E1_H01E0001 F4 +arc: E1_H01E0101 F3 +arc: E1_H02E0501 F5 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q4 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F2 +arc: LSR0 V00T0100 +arc: LSR1 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 F6 +arc: N1_V01N0101 F7 +arc: S1_V02S0501 F7 +arc: S3_V06S0303 Q6 +arc: V00B0000 F6 +arc: V01S0000 F4 +arc: V01S0100 F1 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 1100000011001111 +word: SLICEC.K1.INIT 0000000011001111 +word: SLICEB.K0.INIT 1100000011001111 +word: SLICEB.K1.INIT 0000000011001111 +word: SLICED.K0.INIT 1100000011001111 +word: SLICED.K1.INIT 0000000011001111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R39C15:PLC2 +arc: E1_H02E0201 V02N0201 +arc: H00L0000 E1_H02W0201 +arc: H00L0100 H02W0301 +arc: H00R0100 H02E0701 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0601 H01E0001 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0501 H01E0101 +arc: S1_V02S0601 S3_V06N0303 +arc: V00B0000 E1_H02W0401 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 H02W0101 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0701 V02S0701 +arc: A6 N1_V01N0101 +arc: A7 H02W0701 +arc: B0 H00R0100 +arc: B1 H01W0100 +arc: B6 V00T0000 +arc: B7 V02N0701 +arc: C0 V02N0401 +arc: C1 W1_H02E0601 +arc: C3 H00L0000 +arc: C4 V02N0001 +arc: C5 E1_H02W0601 +arc: C6 V00T0100 +arc: C7 H02E0601 +arc: CE0 H02E0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0001 +arc: D1 N1_V01S0000 +arc: D3 S1_V02N0001 +arc: D4 V00B0000 +arc: D5 V00B0000 +arc: D6 V02N0601 +arc: D7 H00L0100 +arc: E1_H01E0101 F3 +arc: E3_H06E0203 Q7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F4 +arc: H01W0100 Q0 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 Q7 +arc: S3_V06S0103 Q1 +arc: S3_V06S0203 Q7 +arc: V01S0100 Q0 +arc: W3_H06W0103 Q1 +arc: W3_H06W0203 Q7 +arc: W3_H06W0303 F6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 0000111111110000 +word: SLICEC.K1.INIT 0000111111110000 +word: SLICED.K0.INIT 0101001101010101 +word: SLICED.K1.INIT 1111100010001000 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 1111110000001100 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R39C16:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 S3_V06N0303 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0303 W3_H06E0303 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 E1_H02W0501 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0301 H01E0101 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0601 E1_H02W0601 +arc: V00B0100 H02W0701 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 E1_H01W0000 +arc: W1_H02W0701 V06S0203 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0203 E1_H02W0401 +arc: C6 V02N0001 +arc: CE1 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 V02N0201 +arc: D2 V02N0201 +arc: D3 V02N0201 +arc: D4 V02N0401 +arc: D5 V02N0601 +arc: D6 S1_V02N0601 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: M0 V00B0100 +arc: M1 H00R0100 +arc: M2 V00B0100 +arc: M3 H00R0000 +arc: M4 V00B0100 +arc: M5 H00R0100 +arc: M6 V00B0100 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 F3 +arc: V01S0100 Q3 +arc: W3_H06W0003 Q3 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICED.K0.INIT 1111111100001111 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R39C17:PLC2 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0401 V06N0203 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0100 E1_H02W0301 +arc: H00R0000 V02N0401 +arc: H00R0100 V02S0501 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0301 H02W0301 +arc: S3_V06S0203 H06E0203 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 V02N0301 +arc: V00T0000 W1_H02E0201 +arc: V00T0100 V02S0701 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0203 +arc: B6 V00T0000 +arc: B7 V00T0000 +arc: C6 V00B0100 +arc: C7 V00B0100 +arc: CE1 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D4 N1_V02S0601 +arc: D5 N1_V02S0601 +arc: D6 V00B0000 +arc: D7 V00B0000 +arc: E1_H02E0301 Q3 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 F3 +arc: M0 V00T0100 +arc: M1 H00L0100 +arc: M2 V00T0100 +arc: M3 H00R0100 +arc: M4 V00T0100 +arc: M5 H00L0100 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 Q3 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICED.K0.INIT 1111110011110000 +word: SLICED.K1.INIT 1111110011110000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111111111111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R39C18:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 V06N0203 +arc: E1_H02E0601 V02S0601 +arc: E3_H06E0003 S3_V06N0003 +arc: H00L0000 H02E0201 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0501 N1_V01S0100 +arc: N3_V06N0203 S1_V02N0701 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 W1_H02E0601 +arc: S3_V06S0203 H06E0203 +arc: V00B0000 H02E0401 +arc: V00B0100 W1_H02E0701 +arc: V00T0000 W1_H02E0001 +arc: V00T0100 E1_H02W0101 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0601 W3_H06E0303 +arc: A1 V01N0101 +arc: A5 H02W0701 +arc: A7 H02E0701 +arc: B0 V00T0000 +arc: B1 W1_H02E0301 +arc: B2 V02S0301 +arc: B4 V02N0701 +arc: B5 H02E0101 +arc: B7 V00T0000 +arc: C0 H00L0100 +arc: C1 V02S0401 +arc: C2 S1_V02N0601 +arc: C3 W1_H02E0401 +arc: C4 H02W0601 +arc: C5 V00T0100 +arc: C7 E1_H01E0101 +arc: CE0 H00L0000 +arc: CE2 V02S0601 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V00B0100 +arc: D2 N1_V02S0001 +arc: D3 V02S0201 +arc: D4 V00B0000 +arc: D5 E1_H02W0001 +arc: D6 F2 +arc: D7 H00L0100 +arc: E1_H01E0101 F3 +arc: E3_H06E0303 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F1 +arc: H01W0100 F2 +arc: M6 E1_H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0601 Q4 +arc: S3_V06S0303 Q6 +arc: V01S0100 F3 +arc: W1_H02W0401 Q6 +arc: W3_H06W0003 Q0 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1100101010101010 +word: SLICEA.K0.INIT 1111111100001100 +word: SLICEA.K1.INIT 0000000100000101 +word: SLICEB.K0.INIT 0000000000111111 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 0000000011111111 +word: SLICED.K1.INIT 1111010111111101 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 + +.tile R39C19:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 E1_H01W0000 +arc: H00L0000 W1_H02E0201 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 H02E0601 +arc: H00R0100 V02N0501 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 N1_V01S0000 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0001 E1_H01W0000 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0601 S3_V06N0303 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0303 H06E0303 +arc: V00B0100 H02W0501 +arc: V00T0100 H02E0301 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 V02N0701 +arc: A1 E1_H01E0001 +arc: A2 H02W0701 +arc: A3 V02S0701 +arc: B0 H01W0100 +arc: B1 V02S0301 +arc: B2 V02N0101 +arc: B3 H00R0100 +arc: C0 V02S0601 +arc: C1 E1_H01W0000 +arc: C2 V02S0401 +arc: C3 H00L0100 +arc: CE0 H00R0000 +arc: CE2 H00L0000 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0001 +arc: D1 H02W0001 +arc: D2 V02N0001 +arc: D3 F2 +arc: E1_H01E0001 Q4 +arc: E3_H06E0003 F3 +arc: E3_H06E0103 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: H01W0100 Q4 +arc: M4 V00T0100 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0001 Q0 +arc: V01S0100 Q6 +arc: W3_H06W0103 F2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1111010111000101 +word: SLICEB.K1.INIT 1111110100000000 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 1100101010101010 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 + +.tile R39C20:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0701 S3_V06N0203 +arc: E3_H06E0203 S3_V06N0203 +arc: H00L0000 H02E0201 +arc: H00R0100 S1_V02N0501 +arc: H01W0000 E3_H06W0103 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 E3_H06W0003 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 H06W0303 +arc: S1_V02S0001 E3_H06W0003 +arc: S1_V02S0101 E3_H06W0103 +arc: S1_V02S0201 W3_H06E0103 +arc: S1_V02S0301 E3_H06W0003 +arc: S1_V02S0401 S3_V06N0203 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 E3_H06W0103 +arc: V00B0000 S1_V02N0201 +arc: V00T0000 S1_V02N0601 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0101 E3_H06W0103 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0701 V02S0701 +arc: W3_H06W0203 S3_V06N0203 +arc: A3 H02E0501 +arc: B2 H00R0000 +arc: B3 H02E0301 +arc: C2 H00R0100 +arc: C3 H02E0601 +arc: CE0 W1_H02E0101 +arc: CE1 V02N0201 +arc: CE2 H00L0000 +arc: CE3 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D2 S1_V02N0001 +arc: D3 H02W0001 +arc: E3_H06E0003 F3 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: H00R0000 Q4 +arc: H01W0100 Q4 +arc: M0 V00B0000 +arc: M4 H02W0401 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0201 Q0 +arc: N1_V02N0601 Q6 +arc: V01S0100 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 1100101010101010 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 + +.tile R39C21:PLC2 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 S3_V06N0303 +arc: H00L0100 S1_V02N0101 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 N3_V06S0203 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 H01E0001 +arc: S3_V06S0203 W3_H06E0203 +arc: V00T0000 N1_V02S0601 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0401 E1_H02W0401 +arc: CE1 H00L0100 +arc: CLK0 G_HPBX0000 +arc: M2 V00T0000 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R39C22:PLC2 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0501 N1_V01S0100 +arc: E1_H02E0601 N1_V01S0000 +arc: E1_H02E0701 W1_H02E0701 +arc: E3_H06E0103 N1_V01S0100 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 H02W0201 +arc: H00L0100 S1_V02N0101 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 E1_H01W0000 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0301 E1_H01W0100 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0701 E1_H01W0100 +arc: V00B0000 V02S0001 +arc: V00B0100 S1_V02N0301 +arc: V00T0100 V02S0501 +arc: A1 E1_H01E0001 +arc: A7 H00R0000 +arc: B0 V00T0000 +arc: B1 W1_H02E0101 +arc: B6 V01S0000 +arc: B7 W1_H02E0101 +arc: C0 V02S0601 +arc: C1 H02E0401 +arc: C6 V00T0100 +arc: C7 H02E0401 +arc: CE0 H02W0101 +arc: CE1 H00L0000 +arc: CE2 H00L0000 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V02S0001 +arc: D1 H02E0201 +arc: D6 H00L0100 +arc: D7 H00R0100 +arc: E1_H01E0001 Q2 +arc: E1_H02E0101 F1 +arc: E3_H06E0203 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q4 +arc: M2 V00B0100 +arc: M4 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0401 Q6 +arc: V00T0000 Q2 +arc: V01S0000 Q4 +arc: V01S0100 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 1110101000101010 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1110101000101010 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 + +.tile R39C23:PLC2 +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0401 V02N0401 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 S3_V06N0203 +arc: H00L0000 H02E0201 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0501 H02W0501 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0101 W3_H06E0103 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0100 V02S0301 +arc: V00T0100 H02W0101 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0601 V06N0303 +arc: W1_H02W0701 W3_H06E0203 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 S3_V06N0303 +arc: A5 V02S0301 +arc: B5 H02E0301 +arc: C5 V02N0201 +arc: CE0 H00L0000 +arc: CE1 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D5 H02W0201 +arc: E1_H01E0101 Q0 +arc: F5 F5_SLICE +arc: H01W0100 Q0 +arc: M0 H02E0601 +arc: M2 V00B0100 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0001 Q2 +arc: N1_V02N0601 Q6 +arc: S1_V02S0001 Q2 +arc: S1_V02S0401 Q6 +arc: S1_V02S0501 F5 +arc: V01S0100 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1100010011110101 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R39C24:PLC2 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0201 N1_V01S0000 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0601 W3_H06E0303 +arc: E1_H02E0701 N1_V01S0100 +arc: E3_H06E0103 W1_H02E0101 +arc: E3_H06E0203 W1_H02E0701 +arc: H00R0000 H02W0401 +arc: H00R0100 W1_H02E0501 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0601 H02W0601 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0601 H06E0303 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0103 H01E0101 +arc: S3_V06S0203 H06E0203 +arc: V00B0000 V02N0001 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0101 N1_V01S0100 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0501 S1_V02N0501 +arc: A5 W1_H02E0501 +arc: B2 E1_H02W0101 +arc: B3 E1_H02W0101 +arc: B5 H00R0000 +arc: B6 V00T0000 +arc: B7 E1_H02W0101 +arc: C2 H02W0601 +arc: C3 H02W0601 +arc: C5 V02N0001 +arc: C6 W1_H02E0401 +arc: C7 H02W0601 +arc: CE0 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D2 E1_H02W0001 +arc: D3 H02E0201 +arc: D5 V02S0401 +arc: D6 H00R0100 +arc: D7 V02N0601 +arc: E1_H01E0101 F6 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: M0 V00B0000 +arc: MUXCLK0 CLK0 +arc: N1_V01N0101 F7 +arc: S1_V02S0101 F3 +arc: S1_V02S0201 F2 +arc: S1_V02S0501 F5 +arc: V00T0000 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1000010010100101 +word: SLICEB.K0.INIT 1100000000000000 +word: SLICEB.K1.INIT 1100000000000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1100000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R39C25:PLC2 +arc: E1_H02E0001 E1_H01W0000 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0201 N1_V01S0000 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 V02S0501 +arc: H00L0100 H02E0101 +arc: H00R0000 W1_H02E0401 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0401 E1_H02W0401 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0201 W3_H06E0103 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0501 E1_H02W0501 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0303 H06E0303 +arc: V00B0100 H02W0701 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 H02E0101 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 E1_H01W0000 +arc: W1_H02W0701 E1_H01W0100 +arc: A3 H02E0701 +arc: B3 H00R0100 +arc: B6 V01S0000 +arc: B7 V00B0000 +arc: C3 H00L0100 +arc: C6 E1_H02W0601 +arc: C7 E1_H02W0601 +arc: CE0 H00R0000 +arc: CE2 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D3 V01S0100 +arc: D6 H00R0100 +arc: D7 V02S0601 +arc: E1_H01E0101 F7 +arc: F2 F5B_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: M0 V00T0100 +arc: M2 V00B0100 +arc: M4 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: S1_V02S0001 F2 +arc: V00B0000 Q4 +arc: V01S0000 Q0 +arc: W1_H02W0201 F2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1100111111000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1010001001010001 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R39C26:PLC2 +arc: E1_H02E0701 E1_H01W0100 +arc: H00L0000 H02E0001 +arc: H00L0100 H02W0101 +arc: H00R0000 V02N0401 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 H06E0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0601 W1_H02E0601 +arc: S1_V02S0701 W3_H06E0203 +arc: V00B0000 H02E0401 +arc: V00B0100 N1_V02S0101 +arc: V00T0000 H02W0001 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0401 S3_V06N0203 +arc: A0 H00L0000 +arc: A1 H00L0100 +arc: A2 V00B0000 +arc: A5 H02E0501 +arc: B0 H01W0100 +arc: B1 S1_V02N0101 +arc: B2 H01W0100 +arc: B3 H02W0101 +arc: B5 V00B0100 +arc: B7 V00B0000 +arc: C0 S1_V02N0601 +arc: C1 V02S0601 +arc: C2 N1_V01S0100 +arc: C3 V02S0601 +arc: C5 W1_H02E0401 +arc: C7 V00T0000 +arc: CE0 H02E0101 +arc: CE1 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 H02E0201 +arc: D2 H00R0000 +arc: D3 H02E0201 +arc: D5 S1_V02N0401 +arc: D6 W1_H02E0201 +arc: D7 V02N0401 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 F6 +arc: E1_H02E0101 Q3 +arc: E1_H02E0301 Q3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q3 +arc: H01W0100 Q1 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N1_V01N0101 F2 +arc: N1_V02N0301 Q1 +arc: S1_V02S0301 Q1 +arc: S3_V06S0003 Q3 +arc: S3_V06S0103 Q1 +arc: V00T0100 Q1 +arc: V01S0000 F0 +arc: W1_H02W0101 Q1 +arc: W1_H02W0701 F5 +arc: W3_H06W0003 Q3 +arc: W3_H06W0103 Q1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1011000010111011 +word: SLICEA.K0.INIT 1111101101000000 +word: SLICEA.K1.INIT 1111000001000100 +word: SLICEB.K0.INIT 1111101101000000 +word: SLICEB.K1.INIT 0000000000110000 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1111110000110000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R39C27:PLC2 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0701 H06E0203 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 V02S0101 +arc: V00T0100 H02W0301 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 H01E0101 +arc: W1_H02W0601 H01E0001 +arc: A4 V00T0000 +arc: A5 H02E0701 +arc: B4 H02E0101 +arc: B5 H02E0301 +arc: C4 H01E0001 +arc: C5 H01E0001 +arc: CE0 E1_H02W0101 +arc: CE1 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D4 V02N0601 +arc: D5 V02N0601 +arc: E1_H01E0101 F4 +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 Q0 +arc: H01W0100 Q6 +arc: M0 V00T0100 +arc: M2 V00B0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: V00T0000 Q2 +arc: V01S0000 F5 +arc: W1_H02W0001 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1110111100100000 +word: SLICEC.K1.INIT 1110111100100000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R39C28:PLC2 +arc: H00L0000 S1_V02N0001 +arc: H00R0000 H02W0601 +arc: H00R0100 V02N0501 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0601 E1_H01W0000 +arc: S1_V02S0701 W3_H06E0203 +arc: V00B0000 H02W0601 +arc: V00B0100 H02W0701 +arc: V00T0000 V02N0601 +arc: V00T0100 V02S0701 +arc: W1_H02W0301 S1_V02N0301 +arc: B1 E1_H01W0100 +arc: B5 H00R0000 +arc: B7 V00B0000 +arc: C1 H00R0100 +arc: C5 S1_V02N0201 +arc: C7 V00T0000 +arc: CE0 H00L0000 +arc: CE1 H00L0000 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D1 V00B0100 +arc: D5 V02N0401 +arc: D7 E1_H02W0001 +arc: F1 F1_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q5 +arc: M2 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q7 +arc: N1_V02N0001 Q2 +arc: N1_V02N0301 Q1 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111110000110000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111001111000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R39C29:PLC2 +arc: E1_H02E0001 S3_V06N0003 +arc: H00R0100 N1_V02S0701 +arc: H01W0000 W3_H06E0103 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 W3_H06E0203 +arc: S1_V02S0501 V01N0101 +arc: V00B0000 V02N0201 +arc: V00B0100 V02N0101 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0701 N1_V01S0100 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0201 Q0 +arc: H01W0100 Q4 +arc: M0 V00T0000 +arc: M2 V00B0000 +arc: M4 E1_H02W0401 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0201 Q0 +arc: S1_V02S0401 Q4 +arc: S1_V02S0601 Q6 +arc: S3_V06S0003 Q0 +arc: S3_V06S0103 Q2 +arc: S3_V06S0303 Q6 +arc: V00T0000 Q2 +arc: V01S0000 Q0 +arc: W1_H02W0601 Q4 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q2 +arc: W3_H06W0303 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R39C2:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0601 N1_V01S0000 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0601 E1_H02W0601 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0401 S3_V06N0203 + +.tile R39C30:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0401 E1_H01W0000 +arc: H00L0000 V02N0001 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0401 S3_V06N0203 +arc: N3_V06N0003 S3_V06N0003 +arc: S1_V02S0601 E1_H02W0601 +arc: S3_V06S0103 E1_H01W0100 +arc: V00B0100 V02N0101 +arc: V00T0000 H02E0201 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 S1_V02N0001 +arc: CE0 V02N0201 +arc: CE1 V02N0201 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: M0 V00T0100 +arc: M2 V00T0000 +arc: M4 V00B0100 +arc: M6 E1_H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q4 +arc: S1_V02S0001 Q2 +arc: V01S0000 Q6 +arc: V01S0100 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R39C31:PLC2 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0601 V01N0001 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0601 N1_V02S0601 +arc: S3_V06S0103 N1_V02S0101 +arc: V00B0000 V02S0201 +arc: V00B0100 V02N0301 +arc: V00T0000 H02E0001 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q2 +arc: H01W0000 Q0 +arc: H01W0100 Q2 +arc: M0 V00T0000 +arc: M2 V00B0000 +arc: M4 V00B0100 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: S1_V02S0201 Q0 +arc: V01S0000 Q6 +arc: V01S0100 Q4 +arc: W1_H02W0401 Q4 +arc: W3_H06W0103 Q2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R39C32:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0701 V01N0101 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0601 V06S0303 + +.tile R39C33:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 + +.tile R39C34:PLC2 +arc: N1_V02N0201 S3_V06N0103 +arc: N3_V06N0103 S3_V06N0003 + +.tile R39C36:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0303 + +.tile R39C3:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0501 E1_H01W0100 +arc: H00L0000 E1_H02W0001 +arc: H00R0000 V02S0601 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0301 S1_V02N0301 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S1_V02N0401 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0601 E1_H01W0000 +arc: S3_V06S0103 H06W0103 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0100 V02S0301 +arc: V00T0100 V02S0501 +arc: A7 V02N0101 +arc: B0 V02N0101 +arc: B2 V02N0101 +arc: B3 H02E0101 +arc: B7 H02E0101 +arc: C0 N1_V01S0100 +arc: C2 H00L0000 +arc: C3 V02N0401 +arc: C7 V00B0100 +arc: D0 H00R0000 +arc: D1 F2 +arc: D2 V00T0100 +arc: D3 F2 +arc: D7 V02S0601 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 F3 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F3 +arc: M0 H02E0601 +arc: S3_V06S0003 F0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000100001001100 +word: SLICEB.K0.INIT 1111001111000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEA.K0.INIT 1111001111000000 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R39C41:PLC2 +arc: N3_V06N0303 S3_V06N0203 + +.tile R39C4:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 S1_V02N0101 +arc: H00L0000 V02N0201 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0601 S1_V02N0601 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0401 V01N0001 +arc: S1_V02S0501 H01E0101 +arc: S1_V02S0601 H01E0001 +arc: V00B0000 V02N0001 +arc: V00B0100 E1_H02W0501 +arc: W1_H02W0601 V06N0303 +arc: B0 V02N0101 +arc: B1 V02N0301 +arc: B2 W1_H02E0101 +arc: B3 V02N0101 +arc: B4 E1_H02W0301 +arc: B5 H02E0101 +arc: B7 V00B0000 +arc: C0 V02N0601 +arc: C1 H00L0000 +arc: C2 N1_V01N0001 +arc: C3 E1_H02W0601 +arc: C4 V00B0100 +arc: C5 F4 +arc: C7 V00B0100 +arc: D0 V00T0100 +arc: D1 H02E0201 +arc: D2 H02W0201 +arc: D3 V01S0100 +arc: D4 H00R0100 +arc: D5 V02S0601 +arc: D7 E1_H01W0100 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: H01W0100 F1 +arc: N1_V01N0001 F0 +arc: S1_V02S0001 F0 +arc: S1_V02S0201 F2 +arc: S3_V06S0103 F2 +arc: V00T0100 F1 +arc: V01S0000 F3 +arc: V01S0100 F4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111110000110000 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 1111001111000000 +word: SLICEB.K0.INIT 1111001111000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEA.K0.INIT 0011111100001100 +word: SLICEA.K1.INIT 1111001111000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R39C5:PLC2 +arc: E1_H02E0101 H01E0101 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0701 S1_V02N0701 +arc: H00R0100 W1_H02E0501 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 E3_H06W0103 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0601 V01N0001 +arc: V00B0100 V02N0101 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 V02N0501 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0201 H01E0001 +arc: A4 V00T0100 +arc: B0 S1_V02N0301 +arc: B1 V02N0301 +arc: B2 E1_H02W0101 +arc: B3 V02N0301 +arc: B4 H02E0101 +arc: B5 S1_V02N0701 +arc: B6 W1_H02E0101 +arc: B7 V00T0000 +arc: C0 N1_V01N0001 +arc: C1 H02W0401 +arc: C2 H00L0100 +arc: C3 F4 +arc: C4 V02S0201 +arc: C5 F4 +arc: C6 E1_H01E0101 +arc: C7 V02N0201 +arc: D0 V00B0100 +arc: D1 V02N0001 +arc: D2 V02S0001 +arc: D3 E1_H02W0001 +arc: D4 W1_H02E0001 +arc: D5 S1_V02N0401 +arc: D6 H00R0100 +arc: D7 V00B0000 +arc: E1_H01E0001 F0 +arc: E1_H01E0101 F0 +arc: E1_H02E0601 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F3 +arc: H01W0000 F5 +arc: H01W0100 F1 +arc: N1_V01N0001 F1 +arc: N1_V02N0301 F3 +arc: S1_V02S0001 F2 +arc: S1_V02S0501 F7 +arc: V00B0000 F6 +arc: V01S0000 F7 +arc: W1_H02W0001 F2 +arc: W1_H02W0701 F5 +word: SLICED.K0.INIT 1111110000110000 +word: SLICED.K1.INIT 0011111100001100 +word: SLICEA.K0.INIT 1111110000110000 +word: SLICEA.K1.INIT 1111110000110000 +word: SLICEC.K0.INIT 0001101100001111 +word: SLICEC.K1.INIT 1111001111000000 +word: SLICEB.K0.INIT 1111110000110000 +word: SLICEB.K1.INIT 1111110000110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R39C6:PLC2 +arc: E1_H02E0501 S1_V02N0501 +arc: E3_H06E0203 S3_V06N0203 +arc: H00R0000 H02E0401 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 H06W0303 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0501 E1_H01W0100 +arc: V00B0000 V02S0001 +arc: V00B0100 H02W0501 +arc: V00T0100 H02E0101 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0601 H01E0001 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 N1_V01S0100 +arc: A4 H02E0501 +arc: A6 H02E0501 +arc: B0 H02W0301 +arc: B1 E1_H02W0101 +arc: B2 V02S0101 +arc: B3 H00R0000 +arc: B4 E1_H02W0101 +arc: B5 V02N0501 +arc: B6 S1_V02N0701 +arc: B7 V02N0501 +arc: C0 H00L0100 +arc: C1 H02W0601 +arc: C2 H02E0601 +arc: C3 E1_H02W0401 +arc: C4 H02W0601 +arc: C5 F4 +arc: C6 S1_V02N0001 +arc: C7 F6 +arc: D0 V00B0100 +arc: D1 W1_H02E0001 +arc: D2 V00T0100 +arc: D3 V02S0201 +arc: D4 E1_H02W0001 +arc: D5 H01W0000 +arc: D6 V00B0000 +arc: D7 H00R0100 +arc: E1_H01E0001 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 F6 +arc: N1_V01N0001 F5 +arc: N1_V02N0101 F1 +arc: S1_V02S0001 F2 +arc: S1_V02S0101 F3 +arc: S1_V02S0701 F5 +arc: S3_V06S0003 F3 +arc: V01S0000 F0 +arc: W1_H02W0401 F4 +arc: W1_H02W0501 F7 +word: SLICEA.K0.INIT 1111110000110000 +word: SLICEA.K1.INIT 0000111100110011 +word: SLICEB.K0.INIT 1111001111000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 0001101100001111 +word: SLICED.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 0001101100001111 +word: SLICEC.K1.INIT 1111001111000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 + +.tile R39C7:PLC2 +arc: E1_H02E0101 V01N0101 +arc: E1_H02E0201 E3_H06W0103 +arc: E3_H06E0203 S3_V06N0203 +arc: H00L0000 V02S0201 +arc: H00L0100 W1_H02E0301 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0201 V01N0001 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0701 W1_H02E0701 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 W1_H02E0201 +arc: V00B0000 N1_V02S0001 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 V02S0701 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0601 V02N0601 +arc: A3 V00T0000 +arc: A5 V00T0000 +arc: B0 S1_V02N0101 +arc: B3 H00L0000 +arc: B4 S1_V02N0701 +arc: B5 H00L0000 +arc: B7 N1_V02S0501 +arc: C0 E1_H02W0601 +arc: C1 V02N0401 +arc: C3 H00L0100 +arc: C4 H01E0001 +arc: C5 F4 +arc: C7 S1_V02N0201 +arc: D0 V01S0100 +arc: D1 V02N0001 +arc: D3 V00T0100 +arc: D4 V02S0401 +arc: D5 V00B0000 +arc: D7 H01W0000 +arc: E1_H01E0001 F3 +arc: E3_H06E0103 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: H01W0100 F4 +arc: N1_V01N0001 F1 +arc: N1_V02N0001 F0 +arc: N1_V02N0501 F7 +arc: S1_V02S0501 F5 +arc: S3_V06S0103 F1 +arc: V01S0100 F1 +arc: W1_H02W0501 F7 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000111100110011 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000100001001100 +word: SLICEC.K0.INIT 1111110000110000 +word: SLICEC.K1.INIT 0000001000010011 +word: SLICEA.K0.INIT 0000111100110011 +word: SLICEA.K1.INIT 0000111100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R39C8:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0401 E3_H06W0203 +arc: H00L0000 S1_V02N0001 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0601 H06W0303 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0501 W1_H02E0501 +arc: S1_V02S0601 H01E0001 +arc: S1_V02S0701 V01N0101 +arc: V00T0100 V02N0501 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 N1_V01S0000 +arc: A5 V02N0101 +arc: B5 H00L0000 +arc: C5 V00T0100 +arc: D5 H02W0001 +arc: F5 F5_SLICE +arc: H01W0000 F5 +arc: W1_H02W0701 F5 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0001101100001111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R39C9:PLC2 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0701 V01N0101 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0601 E3_H06W0303 +arc: S1_V02S0301 H06W0003 +arc: S1_V02S0601 S3_V06N0303 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0103 E3_H06W0103 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 V06N0103 +arc: W1_H02W0601 V01N0001 +arc: W3_H06W0303 E3_H06W0203 + +.tile R40C10:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0003 H01E0001 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 H01E0001 +arc: H00L0000 V02N0201 +arc: H00L0100 H02E0301 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 V02N0501 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 H02W0501 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 E3_H06W0203 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0201 H06W0103 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0601 N1_V02S0601 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 V02S0001 +arc: V00B0100 H02E0501 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 V02N0501 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 N1_V02S0501 +arc: W3_H06W0203 E3_H06W0203 +arc: A1 F7 +arc: A3 S1_V02N0701 +arc: A5 H02W0701 +arc: A7 H00R0000 +arc: B0 N1_V02S0101 +arc: B1 E1_H02W0101 +arc: B3 H00R0100 +arc: B5 V02N0501 +arc: B7 N1_V01S0000 +arc: C0 V02N0401 +arc: C1 H00L0100 +arc: C2 H00R0100 +arc: C3 H00L0000 +arc: C4 V00T0100 +arc: C5 V02N0201 +arc: C7 V02S0201 +arc: CE0 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V02N0001 +arc: D2 V00B0100 +arc: D3 V00B0100 +arc: D4 H02E0001 +arc: D5 H02E0001 +arc: D7 V00B0000 +arc: E1_H01E0101 Q0 +arc: E1_H02E0201 F2 +arc: E1_H02E0601 F4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: M2 V00T0000 +arc: M4 E1_H01E0101 +arc: MUXCLK0 CLK0 +arc: N1_V02N0201 F0 +arc: V01S0100 F1 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1000001101101011 +word: SLICEC.K0.INIT 1111111100001111 +word: SLICEC.K1.INIT 1100100000000000 +word: SLICEB.K0.INIT 1111111100001111 +word: SLICEB.K1.INIT 1100100000000000 +word: SLICEA.K0.INIT 0000110000111111 +word: SLICEA.K1.INIT 0011100011111011 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 + +.tile R40C11:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 V01N0001 +arc: E1_H02E0701 W1_H02E0601 +arc: H00L0000 V02N0201 +arc: H00L0100 W1_H02E0101 +arc: H00R0000 V02N0601 +arc: H00R0100 H02E0701 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 E3_H06W0003 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 N1_V01S0100 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N1_V01S0000 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 H02E0601 +arc: V00B0100 V02N0301 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 H02W0301 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0303 E3_H06W0303 +arc: A3 H02E0701 +arc: A5 H02E0701 +arc: B3 H00L0000 +arc: B5 V02N0701 +arc: B6 S1_V02N0701 +arc: B7 V01S0000 +arc: C0 E1_H02W0401 +arc: C1 W1_H02E0401 +arc: C2 H00R0100 +arc: C3 H00L0100 +arc: C4 H02W0601 +arc: C5 V02N0001 +arc: C6 V00T0000 +arc: C7 V00T0100 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 H00R0000 +arc: D2 H02E0001 +arc: D3 H02E0001 +arc: D4 W1_H02E0201 +arc: D5 W1_H02E0201 +arc: D6 V00B0000 +arc: D7 V02N0401 +arc: E1_H01E0001 F4 +arc: E3_H06E0103 F2 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F6 +arc: LSR0 H02E0301 +arc: M2 V00B0100 +arc: M4 H02W0401 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0101 F7 +arc: N1_V02N0201 F0 +arc: N1_V02N0301 F1 +arc: V01S0000 F6 +arc: W1_H02W0501 F7 +word: SLICED.K0.INIT 1100000011001111 +word: SLICED.K1.INIT 0000000011001111 +word: SLICEA.K0.INIT 0000111111110000 +word: SLICEA.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 1111111100001111 +word: SLICEC.K1.INIT 1010100000000000 +word: SLICEB.K0.INIT 1111111100001111 +word: SLICEB.K1.INIT 1010100000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R40C12:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0601 H01E0001 +arc: E1_H02E0701 S1_V02N0701 +arc: H00L0000 H02E0001 +arc: H00L0100 H02W0101 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 E1_H02W0501 +arc: H01W0000 E3_H06W0103 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 V01N0001 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 H06W0303 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 H06E0103 +arc: V00B0000 W1_H02E0401 +arc: V00B0100 V02N0301 +arc: V00T0000 E1_H02W0201 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 E3_H06W0003 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0601 V02N0601 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 E3_H06W0003 +arc: A1 F5 +arc: A3 V02N0701 +arc: A5 H02E0701 +arc: A7 V02N0101 +arc: B0 W1_H02E0101 +arc: B1 V02S0101 +arc: B3 N1_V02S0301 +arc: B5 H00R0000 +arc: B6 H02E0301 +arc: B7 V00B0100 +arc: C0 S1_V02N0601 +arc: C1 H00L0100 +arc: C3 V02N0401 +arc: C5 H02W0601 +arc: C6 N1_V02S0001 +arc: C7 V00T0000 +arc: CE0 N1_V02S0201 +arc: CE1 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V02S0001 +arc: D3 H02E0201 +arc: D5 H00R0100 +arc: D6 V02S0601 +arc: D7 H01W0000 +arc: E1_H02E0001 F0 +arc: E1_H02E0501 Q7 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q7 +arc: M2 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F0 +arc: V01S0100 F1 +arc: W1_H02W0001 Q0 +arc: W3_H06W0203 Q7 +arc: W3_H06W0303 F6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1000001101101011 +word: SLICED.K0.INIT 0011001100001111 +word: SLICED.K1.INIT 1110110010100000 +word: SLICEA.K0.INIT 0000110000111111 +word: SLICEA.K1.INIT 0011100011111011 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R40C13:PLC2 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0601 W1_H02E0601 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 H02W0001 +arc: H00L0100 H02W0301 +arc: H00R0100 S1_V02N0501 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0401 N1_V01S0000 +arc: S1_V02S0501 N1_V01S0100 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N1_V02S0701 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 E1_H02W0501 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 V06S0303 +arc: W3_H06W0103 S3_V06N0103 +arc: A1 F7 +arc: A7 E1_H01W0000 +arc: B0 V02S0101 +arc: B1 V00B0000 +arc: B2 V02N0101 +arc: B3 H01W0100 +arc: B5 V01S0000 +arc: B7 E1_H02W0301 +arc: C0 S1_V02N0401 +arc: C1 N1_V01N0001 +arc: C2 W1_H02E0601 +arc: C3 W1_H02E0401 +arc: C5 W1_H02E0601 +arc: C7 H02W0601 +arc: CE0 H00L0000 +arc: CE1 H02W0101 +arc: CE2 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V02S0001 +arc: D2 V00T0100 +arc: D3 V00B0100 +arc: D5 H00L0100 +arc: D7 H00R0100 +arc: E1_H01E0001 Q2 +arc: E1_H01E0101 Q5 +arc: E1_H02E0201 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q0 +arc: H01W0100 Q2 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V02N0001 F0 +arc: S1_V02S0101 Q3 +arc: V01S0000 Q3 +arc: V01S0100 F1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111110000001100 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1000010101101101 +word: SLICEA.K0.INIT 0000110000111111 +word: SLICEA.K1.INIT 0011100011111011 +word: SLICEB.K0.INIT 1111110000001100 +word: SLICEB.K1.INIT 1111110000001100 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R40C14:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0201 V01N0001 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W1_H02E0701 +arc: E3_H06E0303 V06S0303 +arc: H00R0000 W1_H02E0401 +arc: H00R0100 V02N0701 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 W1_H02E0601 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0301 H01E0101 +arc: S1_V02S0501 W1_H02E0501 +arc: S1_V02S0601 H01E0001 +arc: S3_V06S0303 H06W0303 +arc: V00B0000 N1_V02S0001 +arc: V00T0000 V02S0601 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0301 N1_V02S0301 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 V06S0303 +arc: W1_H02W0701 S1_V02N0701 +arc: A1 E1_H01E0001 +arc: A7 H02E0701 +arc: B1 N1_V02S0101 +arc: B2 H00R0000 +arc: B4 V00B0100 +arc: B5 H01E0101 +arc: B6 V02S0501 +arc: B7 E1_H02W0101 +arc: C1 H00L0100 +arc: C2 V02S0401 +arc: C3 E1_H02W0401 +arc: C4 H02E0601 +arc: C5 H02E0601 +arc: C6 E1_H01E0101 +arc: C7 V00T0100 +arc: CE0 H02W0101 +arc: CE2 H00R0100 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 F2 +arc: D1 E1_H02W0001 +arc: D2 V02N0001 +arc: D3 H02E0201 +arc: D4 H02W0201 +arc: D5 V00B0000 +arc: D6 E1_H02W0001 +arc: D7 V02N0601 +arc: E1_H01E0001 F2 +arc: E1_H01E0101 F3 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F3 +arc: H01W0000 Q0 +arc: H01W0100 Q6 +arc: M0 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: S1_V02S0401 Q4 +arc: S1_V02S0701 Q5 +arc: S3_V06S0003 Q0 +arc: V00B0100 Q5 +arc: V01S0000 Q6 +arc: W3_H06W0203 F7 +word: SLICEB.K0.INIT 0000000000111111 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1111000011111100 +word: SLICED.K1.INIT 0000000000000001 +word: SLICEA.K0.INIT 0000000011111111 +word: SLICEA.K1.INIT 1111010111111101 +word: SLICEC.K0.INIT 1111110000001100 +word: SLICEC.K1.INIT 1111110000001100 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R40C15:PLC2 +arc: E1_H02E0001 V01N0001 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0201 V06N0103 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0601 V01N0001 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W3_H06E0203 +arc: H00R0000 E1_H02W0401 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 H02W0701 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0100 V02N0101 +arc: V00T0100 H02E0301 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0303 E1_H02W0501 +arc: A1 E1_H02W0701 +arc: A5 V00T0000 +arc: B0 V02S0101 +arc: B1 H02W0301 +arc: B2 S1_V02N0301 +arc: B5 V02S0501 +arc: C0 N1_V01N0001 +arc: C1 H02W0601 +arc: C2 V02N0601 +arc: C3 H02W0401 +arc: C5 E1_H01E0101 +arc: CE0 H00R0000 +arc: CE2 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 H02E0201 +arc: D2 V02S0001 +arc: D3 H02E0001 +arc: D4 F2 +arc: D5 H00L0100 +arc: E1_H01E0101 F3 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: H00L0100 F1 +arc: H01W0000 Q4 +arc: M4 V00T0100 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F3 +arc: S3_V06S0003 Q0 +arc: S3_V06S0203 Q4 +arc: V00T0000 F2 +arc: V01S0100 F1 +arc: W3_H06W0003 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1111000011111100 +word: SLICEA.K1.INIT 0000000100000101 +word: SLICEB.K0.INIT 0000000000111111 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0000000011111111 +word: SLICEC.K1.INIT 1111010111111101 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 + +.tile R40C16:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0601 S1_V02N0601 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0303 V01N0101 +arc: H00L0000 S1_V02N0001 +arc: H00R0100 W1_H02E0501 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0701 H06W0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 H02E0401 +arc: V00B0100 W1_H02E0701 +arc: V00T0000 H02W0201 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 N1_V01S0100 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 V01N0001 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0203 S3_V06N0203 +arc: W3_H06W0303 E3_H06W0303 +arc: A1 N1_V02S0501 +arc: A3 V00B0000 +arc: A7 N1_V01N0101 +arc: B0 V02S0101 +arc: B1 V02N0101 +arc: B3 V02N0301 +arc: B4 H02E0101 +arc: B5 H02E0101 +arc: B7 V00T0000 +arc: C0 S1_V02N0401 +arc: C1 V02S0601 +arc: C2 H02E0401 +arc: C3 H00L0000 +arc: C4 V02N0001 +arc: C5 H02E0601 +arc: C7 N1_V02S0201 +arc: CE0 V02S0201 +arc: CE2 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 H02E0201 +arc: D2 V00B0100 +arc: D3 V00B0100 +arc: D4 H02E0001 +arc: D5 N1_V02S0601 +arc: D7 H00R0100 +arc: E1_H02E0001 F2 +arc: E3_H06E0203 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: M2 N1_V01N0001 +arc: M4 E1_H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 Q4 +arc: N1_V02N0201 F0 +arc: S3_V06S0203 Q4 +arc: V00T0100 F1 +arc: V01S0100 F7 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1000010101101101 +word: SLICEA.K0.INIT 0000110000111111 +word: SLICEA.K1.INIT 0100101011101111 +word: SLICEC.K0.INIT 1111110000110000 +word: SLICEC.K1.INIT 1111111111000000 +word: SLICEB.K0.INIT 1111111100001111 +word: SLICEB.K1.INIT 1010100000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R40C17:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 V06N0203 +arc: E3_H06E0203 N1_V01S0000 +arc: H00L0000 S1_V02N0201 +arc: H00L0100 V02S0301 +arc: H00R0000 V02N0401 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0701 H02W0701 +arc: S1_V02S0601 W3_H06E0303 +arc: S3_V06S0003 N3_V06S0003 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0003 E3_H06W0303 +arc: B4 H00L0000 +arc: C1 H00L0100 +arc: C4 V00B0100 +arc: C5 V00T0000 +arc: CLK0 G_HPBX0000 +arc: D1 H00R0000 +arc: D4 H02E0001 +arc: D5 H00R0100 +arc: E3_H06E0303 F5 +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 F4 +arc: LSR0 H02W0501 +arc: MUXCLK2 CLK0 +arc: MUXLSR2 LSR0 +arc: N1_V02N0101 F1 +arc: S1_V02S0501 F5 +arc: S3_V06S0203 Q4 +arc: S3_V06S0303 F5 +arc: V00B0100 F5 +arc: W1_H02W0501 F5 +arc: W3_H06W0303 F5 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 1100000011001111 +word: SLICEC.K1.INIT 1111000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R40C18:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 S1_V02N0601 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0000 H02E0001 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 N1_V02S0501 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0401 H02E0401 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0100 H02E0701 +arc: V00T0100 H02W0101 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0501 V02S0501 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0103 E1_H02W0201 +arc: A2 E1_H01E0001 +arc: A3 E1_H02W0501 +arc: A5 V00B0000 +arc: B2 W1_H02E0301 +arc: B3 H02W0301 +arc: B4 V01S0000 +arc: B5 V02N0501 +arc: C2 V02N0401 +arc: C3 H02W0601 +arc: C4 W1_H02E0601 +arc: C5 V00T0100 +arc: CE0 H02E0101 +arc: CE2 H00L0000 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D2 H00R0000 +arc: D3 V02N0001 +arc: D4 H00R0100 +arc: D5 E1_H02W0001 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 Q0 +arc: E1_H02E0401 Q4 +arc: E3_H06E0003 F3 +arc: E3_H06E0303 F5 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0100 F3 +arc: M0 V00B0100 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 F3 +arc: S1_V02S0301 F3 +arc: S3_V06S0003 F3 +arc: V00B0000 Q6 +arc: V01S0000 Q6 +arc: W1_H02W0001 F2 +arc: W3_H06W0003 F3 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1100101010101010 +word: SLICEB.K0.INIT 0000000100000101 +word: SLICEB.K1.INIT 1000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R40C19:PLC2 +arc: E1_H02E0101 V02S0101 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 V02S0201 +arc: H00L0100 H02W0301 +arc: H00R0100 E1_H02W0501 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0701 H01E0101 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 W3_H06E0103 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0601 W1_H02E0601 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 H02E0601 +arc: V00B0100 E1_H02W0501 +arc: V00T0100 V02S0701 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0601 E1_H02W0601 +arc: W1_H02W0701 H01E0101 +arc: W3_H06W0203 E1_H01W0000 +arc: A3 V00T0000 +arc: A7 H02E0501 +arc: B2 V02N0101 +arc: B3 V02S0101 +arc: B6 V01S0000 +arc: B7 W1_H02E0301 +arc: C2 H00R0100 +arc: C3 H00L0100 +arc: C6 V00B0100 +arc: C7 V02S0001 +arc: CE0 V02S0201 +arc: CE1 S1_V02N0201 +arc: CE2 H00L0000 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D2 N1_V02S0001 +arc: D3 V02N0201 +arc: D6 V00B0000 +arc: D7 H02W0001 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 Q6 +arc: E3_H06E0003 F3 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q4 +arc: M0 V00T0100 +arc: M4 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: V00T0000 Q0 +arc: V01S0000 Q4 +arc: V01S0100 Q0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 1110101000101010 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1100101010101010 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 + +.tile R40C20:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0601 H01E0001 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0003 W3_H06E0303 +arc: H00L0000 S1_V02N0201 +arc: H00L0100 V02S0301 +arc: H00R0100 V02N0501 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 N1_V01S0100 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0601 N1_V02S0301 +arc: S1_V02S0701 E1_H01W0100 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 H02W0401 +arc: V00B0100 S1_V02N0101 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 E1_H01W0100 +arc: W3_H06W0103 E1_H02W0201 +arc: A3 E1_H01E0001 +arc: A7 N1_V01N0101 +arc: B2 H01W0100 +arc: B3 V02S0101 +arc: B6 V00T0000 +arc: B7 H02E0101 +arc: C2 H00R0100 +arc: C3 H00L0100 +arc: C6 E1_H02W0601 +arc: C7 V02S0001 +arc: CE0 S1_V02N0201 +arc: CE1 H02W0101 +arc: CE2 H00L0000 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D2 S1_V02N0001 +arc: D3 W1_H02E0201 +arc: D6 W1_H02E0001 +arc: D7 V00B0000 +arc: E1_H01E0001 Q4 +arc: E1_H01E0101 F3 +arc: E3_H06E0203 F7 +arc: E3_H06E0303 Q6 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q4 +arc: M0 V00B0100 +arc: M4 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: N1_V02N0001 Q2 +arc: V00T0000 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1110101000101010 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 1110101000101010 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 + +.tile R40C21:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0601 V02S0601 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0303 H01E0101 +arc: H00L0000 N1_V02S0001 +arc: H00L0100 H02W0101 +arc: H00R0000 H02E0401 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0301 W3_H06E0003 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 V02N0201 +arc: V00B0100 H02W0501 +arc: V00T0100 H02W0301 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 E1_H01W0000 +arc: W3_H06W0103 S3_V06N0103 +arc: A1 V02N0501 +arc: A4 V00B0000 +arc: A5 V02S0301 +arc: B0 V02N0301 +arc: B1 H02E0101 +arc: B4 H02W0101 +arc: B5 H00L0000 +arc: C0 H02W0601 +arc: C1 V02S0601 +arc: C4 V02S0001 +arc: C5 S1_V02N0201 +arc: CE0 H00R0100 +arc: CE1 H00R0000 +arc: CE2 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 V00T0100 +arc: D4 H01W0000 +arc: D5 H00L0100 +arc: E1_H01E0001 Q2 +arc: E3_H06E0103 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 F5 +arc: H01W0100 Q2 +arc: M2 N1_V01N0001 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q4 +arc: N1_V01N0101 Q2 +arc: N1_V02N0001 Q0 +arc: V01S0100 Q6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 1110101000101010 +word: SLICEC.K0.INIT 1000110011111111 +word: SLICEC.K1.INIT 0000001100000001 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R40C22:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 W1_H02E0601 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: H00L0100 N1_V02S0101 +arc: H00R0000 V02S0401 +arc: H00R0100 W1_H02E0701 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0301 N1_V01S0100 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 H01E0001 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 H02W0701 +arc: V00T0000 N1_V02S0601 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 N1_V02S0601 +arc: W3_H06W0303 E3_H06W0303 +arc: A7 H00L0000 +arc: B6 H02E0301 +arc: B7 W1_H02E0101 +arc: C6 V00T0000 +arc: C7 H02E0601 +arc: CE0 H00R0000 +arc: CE1 H00L0100 +arc: CE2 H00R0000 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D6 H02E0001 +arc: D7 H00R0100 +arc: E1_H01E0001 Q2 +arc: E1_H02E0501 F7 +arc: E3_H06E0203 Q4 +arc: E3_H06E0303 Q6 +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H01W0000 Q2 +arc: H01W0100 Q0 +arc: M0 V00B0000 +arc: M2 H02W0601 +arc: M4 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: S1_V02S0401 Q4 +arc: V01S0100 Q2 +arc: W1_H02W0001 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1110101000101010 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 + +.tile R40C23:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0501 E1_H01W0100 +arc: H00L0000 S1_V02N0001 +arc: H00L0100 V02S0101 +arc: H00R0100 V02N0501 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0401 W3_H06E0203 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 N1_V01S0100 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0601 S3_V06N0303 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 H01E0001 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0100 N1_V02S0101 +arc: V00T0000 V02S0601 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0601 N1_V02S0601 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0303 E3_H06W0303 +arc: A7 N1_V01N0101 +arc: B6 V00B0000 +arc: B7 V02S0701 +arc: C6 H02E0601 +arc: C7 V00T0000 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D6 H00R0100 +arc: D7 H02W0001 +arc: E1_H02E0201 Q0 +arc: E3_H06E0203 F7 +arc: E3_H06E0303 Q6 +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: M0 H02W0601 +arc: M2 V00B0100 +arc: M4 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: V00B0000 Q4 +arc: V01S0100 Q2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1110101000101010 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 + +.tile R40C24:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 W1_H02E0701 +arc: E3_H06E0103 V06N0103 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 V02S0201 +arc: H00L0100 V02S0101 +arc: H00R0000 V02S0401 +arc: H00R0100 V02S0701 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0601 H02W0601 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0201 N1_V02S0201 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 N1_V02S0101 +arc: V00T0000 H02E0201 +arc: V00T0100 S1_V02N0501 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0201 N1_V01S0000 +arc: W1_H02W0601 V02N0601 +arc: A0 N1_V02S0501 +arc: A2 H00L0100 +arc: A3 N1_V02S0701 +arc: A4 V00B0000 +arc: A5 V00T0100 +arc: A6 W1_H02E0501 +arc: A7 H02E0501 +arc: B2 H00L0000 +arc: B3 V02S0301 +arc: B4 H00R0000 +arc: B5 E1_H02W0101 +arc: B6 V00B0100 +arc: B7 V00T0000 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0203 Q4 +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0601 Q6 +arc: S3_V06S0303 Q5 +arc: V01S0000 Q7 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R40C25:PLC2 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0601 S1_V02N0601 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 V02N0201 +arc: H00L0100 S1_V02N0101 +arc: H00R0000 H02W0601 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0101 H06E0103 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 E1_H02W0701 +arc: V00T0000 H02E0001 +arc: W1_H02W0601 W3_H06E0303 +arc: A0 H00L0000 +arc: A1 V02N0701 +arc: A2 E1_H02W0501 +arc: A3 V00T0000 +arc: A4 V02N0301 +arc: A5 H02W0701 +arc: A6 V02S0301 +arc: A7 H02E0701 +arc: B0 V00B0000 +arc: B1 E1_H02W0301 +arc: B2 H02E0101 +arc: B3 H00R0000 +arc: B4 W1_H02E0101 +arc: B5 V02S0501 +arc: B6 V00B0100 +arc: B7 V02N0501 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0301 Q3 +arc: S3_V06S0003 Q0 +arc: S3_V06S0103 Q1 +arc: S3_V06S0203 Q4 +arc: S3_V06S0303 Q5 +arc: V01S0000 Q2 +arc: V01S0100 Q7 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 0110011010101010 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R40C26:PLC2 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 W3_H06E0303 +arc: H00L0000 H02E0201 +arc: H00L0100 H02W0101 +arc: H00R0000 H02W0601 +arc: H00R0100 V02S0701 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0601 H06E0303 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0601 H02E0601 +arc: V00B0000 W1_H02E0601 +arc: V00T0000 V02S0601 +arc: V00T0100 H02E0301 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 S1_V02N0701 +arc: A0 H00L0000 +arc: A1 H00L0100 +arc: A2 V00T0000 +arc: A3 N1_V02S0701 +arc: A4 V00B0000 +arc: A5 N1_V02S0101 +arc: A6 V00T0100 +arc: A7 S1_V02N0301 +arc: B0 V01N0001 +arc: B1 S1_V02N0101 +arc: B2 H00R0000 +arc: B3 E1_H02W0101 +arc: B4 N1_V01S0000 +arc: B5 N1_V02S0501 +arc: B6 V02N0501 +arc: B7 V02N0501 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0101 Q1 +arc: S3_V06S0003 Q3 +arc: S3_V06S0103 Q2 +arc: S3_V06S0203 Q7 +arc: S3_V06S0303 Q6 +arc: V01S0000 Q0 +arc: V01S0100 Q5 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R40C27:PLC2 +arc: H00L0000 V02S0201 +arc: H00R0000 H02W0601 +arc: H00R0100 V02S0701 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 V01N0101 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0401 W1_H02E0401 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 H02W0401 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 W3_H06E0303 +arc: W1_H02W0601 N1_V01S0000 +arc: W1_H02W0701 V02N0701 +arc: A0 H00L0000 +arc: A1 H00R0000 +arc: A2 V02N0501 +arc: A3 N1_V02S0701 +arc: A4 V02N0301 +arc: A5 N1_V02S0301 +arc: A6 H02W0701 +arc: A7 S1_V02N0301 +arc: B0 E1_H01W0100 +arc: B1 E1_H01W0100 +arc: B2 E1_H01W0100 +arc: B3 E1_H01W0100 +arc: B4 E1_H02W0301 +arc: B5 E1_H02W0301 +arc: B6 V00B0000 +arc: B7 V00B0000 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0201 Q0 +arc: S1_V02S0301 Q1 +arc: S1_V02S0701 Q5 +arc: S3_V06S0003 Q3 +arc: S3_V06S0103 Q2 +arc: S3_V06S0203 Q4 +arc: V01S0000 Q6 +arc: V01S0100 Q7 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 0110011010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R40C28:PLC2 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0201 S3_V06N0103 +arc: H00R0000 V02N0401 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 W3_H06E0303 +arc: N3_V06N0003 S3_V06N0303 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 H06E0303 +arc: V00T0000 V02N0601 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 V02S0701 +arc: A0 H02W0701 +arc: A1 S1_V02N0701 +arc: B0 V00B0000 +arc: B1 V00B0000 +arc: CE0 H00R0000 +arc: CE2 V02S0601 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q4 +arc: E1_H02E0401 Q6 +arc: E1_H02E0601 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: H01W0000 Q4 +arc: H01W0100 Q4 +arc: M4 V00T0000 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0001 Q0 +arc: S3_V06S0103 Q1 +arc: V00B0000 Q4 +arc: V01S0100 Q4 +arc: W1_H02W0401 Q4 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R40C29:PLC2 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 S1_V02N0701 +arc: H00L0000 E1_H02W0201 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 S1_V02N0201 +arc: S1_V02S0701 E1_H02W0701 +arc: V00B0000 V02N0001 +arc: V00T0100 V02N0501 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0701 W3_H06E0203 +arc: A3 V00T0000 +arc: B3 H00R0000 +arc: C3 N1_V01S0100 +arc: CE0 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D3 H02E0201 +arc: E1_H01E0101 F3 +arc: F3 F3_SLICE +arc: H00R0000 Q4 +arc: M0 V00T0100 +arc: M4 V00B0000 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: S3_V06S0303 Q6 +arc: V00T0000 Q0 +arc: V01S0100 Q6 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1000001001000001 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R40C2:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0401 V02S0401 +arc: E3_H06E0203 V06S0203 +arc: H00L0000 S1_V02N0001 +arc: H00R0000 V02S0401 +arc: N1_V02N0101 S1_V02N0101 +arc: A1 E1_H01E0001 +arc: A3 V00T0000 +arc: B1 E1_H01W0100 +arc: B2 H02W0301 +arc: B3 E1_H01W0100 +arc: C1 V02N0601 +arc: C2 H00L0000 +arc: C3 V02N0401 +arc: CE1 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D1 S1_V02N0201 +arc: D2 V02S0201 +arc: D3 S1_V02N0201 +arc: E1_H01E0001 Q2 +arc: E3_H06E0003 F3 +arc: E3_H06E0103 F1 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: MUXCLK1 CLK0 +arc: V00T0000 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0011001101011111 +word: SLICEB.K0.INIT 0000110000001111 +word: SLICEB.K1.INIT 0101010100111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 + +.tile R40C30:PLC2 +arc: E1_H02E0301 S3_V06N0003 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0501 S1_V02N0401 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0601 W1_H02E0601 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02S0001 +arc: V00B0100 H02E0701 +arc: V00T0000 H02E0001 +arc: A5 N1_V01S0100 +arc: A7 H00L0000 +arc: B5 N1_V01S0000 +arc: B7 V00B0000 +arc: C5 V00T0000 +arc: C7 H02E0601 +arc: CE0 E1_H02W0101 +arc: CE1 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D5 W1_H02E0001 +arc: D7 S1_V02N0601 +arc: E1_H01E0101 F7 +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: M0 V00B0100 +arc: M2 W1_H02E0601 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: S1_V02S0001 Q0 +arc: S1_V02S0501 F5 +arc: V01S0000 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1000010000100001 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1000001011000011 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 + +.tile R40C31:PLC2 +arc: E1_H02E0601 N1_V01S0000 +arc: E1_H02E0701 N1_V01S0100 +arc: H00L0000 V02S0201 +arc: H00R0000 V02N0401 +arc: H00R0100 N1_V02S0701 +arc: N1_V02N0301 E1_H02W0301 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0301 E1_H01W0100 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0701 H01E0101 +arc: V00T0000 V02S0601 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0201 V06S0103 +arc: A5 E1_H01W0000 +arc: A6 H00L0000 +arc: A7 E1_H01W0000 +arc: B1 E1_H02W0301 +arc: B4 N1_V01S0000 +arc: B5 N1_V02S0501 +arc: B6 N1_V01S0000 +arc: B7 N1_V02S0501 +arc: C0 H00L0100 +arc: C1 N1_V01S0100 +arc: C4 V02S0201 +arc: C5 E1_H02W0401 +arc: C6 V00T0000 +arc: C7 E1_H02W0401 +arc: CE1 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 E1_H02W0201 +arc: D3 V02N0201 +arc: D4 V02S0601 +arc: D5 V02N0601 +arc: D6 H00R0100 +arc: D7 V00B0000 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 F7 +arc: E1_H02E0401 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 F1 +arc: LSR1 H02E0301 +arc: MUXCLK1 CLK0 +arc: MUXLSR1 LSR1 +arc: N1_V01N0001 Q3 +arc: S1_V02S0501 F5 +arc: S3_V06S0003 F0 +arc: S3_V06S0203 F7 +arc: S3_V06S0303 F5 +arc: V00B0000 F6 +arc: V01S0000 F4 +arc: V01S0100 F6 +arc: W1_H02W0701 F7 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000011111111 +word: SLICED.K0.INIT 0100000000000000 +word: SLICED.K1.INIT 0100000000000000 +word: SLICEA.K0.INIT 1111000000000000 +word: SLICEA.K1.INIT 0000001100000000 +word: SLICEC.K0.INIT 0000001100000000 +word: SLICEC.K1.INIT 0100000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 + +.tile R40C32:PLC2 +arc: H00R0000 H02E0401 +arc: H00R0100 H02E0701 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0601 H01E0001 +arc: W1_H02W0101 H01E0101 +arc: A2 V02S0701 +arc: B2 F3 +arc: C2 H00R0100 +arc: C3 N1_V01N0001 +arc: CE0 V02N0201 +arc: CE2 S1_V02N0601 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D2 H00R0000 +arc: D3 V01S0100 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 Q4 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: H01W0000 Q4 +arc: H01W0100 F2 +arc: M0 H02E0601 +arc: M4 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V01N0101 Q4 +arc: S1_V02S0201 F2 +arc: S3_V06S0103 F2 +arc: V00B0000 Q6 +arc: V00T0000 Q0 +arc: V01S0100 Q0 +arc: W1_H02W0001 F2 +arc: W1_H02W0201 F2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000100000000000 +word: SLICEB.K1.INIT 0000000000001111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R40C33:PLC2 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 H01E0001 + +.tile R40C34:PLC2 +arc: N3_V06N0003 S3_V06N0303 + +.tile R40C37:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R40C3:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0301 W1_H02E0301 +arc: H00R0000 H02E0401 +arc: H00R0100 E1_H02W0501 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 V01N0001 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0701 H06W0203 +arc: S3_V06S0203 E3_H06W0203 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 S1_V02N0301 +arc: W1_H02W0301 S1_V02N0301 +arc: B0 W1_H02E0301 +arc: B1 S1_V02N0101 +arc: B3 W1_H02E0301 +arc: B4 H02E0101 +arc: B5 H02E0101 +arc: B6 H02E0101 +arc: C0 H00R0100 +arc: C1 H00L0000 +arc: C3 V02N0601 +arc: C4 H02W0401 +arc: C5 E1_H02W0401 +arc: C6 V00T0100 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 V02S0201 +arc: D2 F0 +arc: D3 H02W0001 +arc: D4 H00L0100 +arc: D5 E1_H01W0100 +arc: D6 H02W0201 +arc: D7 H01W0000 +arc: E1_H01E0101 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 F0 +arc: H00L0100 F1 +arc: H01W0000 F5 +arc: H01W0100 Q6 +arc: M2 V00B0000 +arc: M6 V00B0100 +arc: MUXCLK3 CLK0 +arc: S1_V02S0201 F2 +arc: S1_V02S0601 F4 +arc: V00T0100 F1 +arc: V01S0100 F2 +word: SLICEA.K0.INIT 1111110000110000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 0011111100001100 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 0011111100001100 +word: SLICED.K0.INIT 0000110000111111 +word: SLICED.K1.INIT 0000000011111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R40C40:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R40C4:PLC2 +arc: H00L0100 H02E0301 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 S1_V02N0501 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0601 H02W0601 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 N1_V01S0000 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0501 N1_V02S0501 +arc: S1_V02S0601 E1_H01W0000 +arc: S1_V02S0701 H02W0701 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 N1_V02S0301 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0401 V02S0401 +arc: A1 H00L0100 +arc: A3 E1_H01E0001 +arc: A6 V00T0100 +arc: A7 H00L0000 +arc: B0 H02W0301 +arc: B1 H02E0101 +arc: B2 H00R0100 +arc: B3 H00L0000 +arc: B4 H02W0301 +arc: B5 H02E0301 +arc: B6 V02S0501 +arc: B7 V01S0000 +arc: C0 E1_H01W0000 +arc: C1 H02W0601 +arc: C2 H02W0401 +arc: C3 V02N0401 +arc: C4 E1_H02W0401 +arc: C5 F4 +arc: C6 V00B0100 +arc: C7 V02N0001 +arc: CE1 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 F0 +arc: D2 H01E0101 +arc: D3 S1_V02N0201 +arc: D4 W1_H02E0001 +arc: D5 V00B0000 +arc: D6 V02S0601 +arc: D7 H02W0001 +arc: E1_H01E0001 Q6 +arc: E1_H02E0501 F7 +arc: E1_H02E0701 F5 +arc: E3_H06E0003 F3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H01W0100 F1 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F1 +arc: N1_V02N0201 F0 +arc: S1_V02S0401 F4 +arc: V01S0000 Q6 +arc: W1_H02W0001 F0 +word: SLICED.K0.INIT 0111011101110010 +word: SLICED.K1.INIT 0011001101011111 +word: SLICEA.K0.INIT 1111001111000000 +word: SLICEA.K1.INIT 0001111100001110 +word: SLICEB.K0.INIT 1100000011110011 +word: SLICEB.K1.INIT 0011001101011111 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 1111001111000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R40C5:PLC2 +arc: E1_H02E0101 V01N0101 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0601 E3_H06W0303 +arc: E1_H02E0701 V02N0701 +arc: H00L0000 E1_H02W0201 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 S1_V02N0601 +arc: H00R0100 H02E0701 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0201 V01N0001 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0701 V01N0101 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 E1_H02W0501 +arc: S3_V06S0003 E3_H06W0003 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 H02E0701 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 N1_V01S0100 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 E1_H01W0100 +arc: W1_H02W0601 V01N0001 +arc: A6 E1_H02W0701 +arc: A7 V02N0301 +arc: B0 W1_H02E0101 +arc: B2 V02N0101 +arc: B3 W1_H02E0101 +arc: B4 W1_H02E0101 +arc: B5 W1_H02E0101 +arc: B6 H02W0101 +arc: B7 V02N0701 +arc: C0 H00L0100 +arc: C2 F4 +arc: C3 H00L0000 +arc: C4 E1_H01E0101 +arc: C5 V00B0100 +arc: C6 V02N0201 +arc: C7 F6 +arc: D0 V00T0100 +arc: D1 V01S0100 +arc: D2 V01S0100 +arc: D3 H00R0000 +arc: D4 H00R0100 +arc: D5 E1_H02W0201 +arc: D6 W1_H02E0001 +arc: D7 V01N0001 +arc: E1_H01E0001 F2 +arc: E1_H01E0101 F7 +arc: E1_H02E0001 F2 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: M0 V00B0000 +arc: N1_V01N0001 F4 +arc: S1_V02S0001 F0 +arc: S1_V02S0701 F5 +arc: V01S0100 F3 +arc: W1_H02W0701 F5 +word: SLICED.K0.INIT 0001101100001111 +word: SLICED.K1.INIT 0000000011111110 +word: SLICEB.K0.INIT 0011111100001100 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 0000110000111111 +word: SLICEC.K1.INIT 1111001111000000 +word: SLICEA.K0.INIT 1111001111000000 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R40C6:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 V02S0701 +arc: H00L0000 H02W0001 +arc: H00R0000 E1_H02W0401 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 E3_H06W0303 +arc: S1_V02S0101 E1_H01W0100 +arc: S1_V02S0401 H01E0001 +arc: S1_V02S0501 W1_H02E0501 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0203 H06W0203 +arc: V00B0100 V02N0101 +arc: V00T0000 S1_V02N0601 +arc: W1_H02W0101 N1_V01S0100 +arc: W1_H02W0401 E1_H01W0000 +arc: A1 H02W0701 +arc: A3 H02W0701 +arc: A5 H02W0701 +arc: B0 H02E0301 +arc: B1 E1_H02W0101 +arc: B2 H02E0301 +arc: B3 H00R0000 +arc: B4 H02E0101 +arc: B5 H02W0101 +arc: B6 H02E0101 +arc: B7 H02W0301 +arc: C0 H02W0601 +arc: C1 H00L0000 +arc: C2 H00L0100 +arc: C3 E1_H02W0601 +arc: C4 V02N0201 +arc: C5 V00T0000 +arc: C6 H02E0401 +arc: C7 F4 +arc: D0 V02N0201 +arc: D1 V00B0100 +arc: D2 E1_H02W0201 +arc: D3 H02W0001 +arc: D4 H00L0100 +arc: D5 H02W0001 +arc: D6 H01W0000 +arc: D7 V00B0000 +arc: E1_H01E0001 F1 +arc: E1_H02E0401 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F3 +arc: H01W0000 F1 +arc: H01W0100 F0 +arc: V00B0000 F6 +arc: V01S0000 F5 +arc: V01S0100 F2 +arc: W1_H02W0601 F4 +arc: W3_H06W0103 F2 +arc: W3_H06W0203 F7 +word: SLICEB.K0.INIT 1111110000110000 +word: SLICEB.K1.INIT 0001101100001111 +word: SLICEC.K0.INIT 1111110000110000 +word: SLICEC.K1.INIT 0010011100110011 +word: SLICEA.K0.INIT 1111110000110000 +word: SLICEA.K1.INIT 0001000010111111 +word: SLICED.K0.INIT 1111110000110000 +word: SLICED.K1.INIT 1111001111000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R40C7:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0401 N1_V01S0000 +arc: E1_H02E0701 V06N0203 +arc: H00L0000 V02S0201 +arc: H00L0100 N1_V02S0101 +arc: H00R0000 E1_H02W0401 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 H06W0203 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0601 W1_H02E0601 +arc: S3_V06S0003 E1_H01W0000 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0000 V02N0001 +arc: V00B0100 H02E0701 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 E1_H01W0100 +arc: W1_H02W0601 H01E0001 +arc: W1_H02W0701 E1_H01W0100 +arc: A0 S1_V02N0501 +arc: A4 H02E0501 +arc: A5 V02S0101 +arc: B0 W1_H02E0301 +arc: B1 H01W0100 +arc: B2 V02N0301 +arc: B3 H02W0301 +arc: B4 H00R0000 +arc: B5 E1_H02W0301 +arc: B7 N1_V02S0501 +arc: C0 H00L0100 +arc: C1 H02E0601 +arc: C2 H02E0401 +arc: C3 V02N0401 +arc: C4 H02E0601 +arc: C5 E1_H02W0601 +arc: C6 E1_H01E0101 +arc: C7 S1_V02N0201 +arc: CE1 V02S0201 +arc: CE2 V02N0601 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V02S0001 +arc: D1 N1_V02S0001 +arc: D2 V00B0100 +arc: D3 V00T0100 +arc: D4 V01N0001 +arc: D5 H00R0100 +arc: D6 V00B0000 +arc: D7 S1_V02N0601 +arc: E1_H01E0101 Q7 +arc: E1_H02E0601 F6 +arc: E3_H06E0003 Q3 +arc: E3_H06E0203 Q7 +arc: E3_H06E0303 Q5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: H01W0000 F4 +arc: H01W0100 Q5 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F1 +arc: N1_V01N0101 Q3 +arc: N1_V02N0201 F0 +arc: V01S0000 Q3 +arc: V01S0100 Q3 +arc: W1_H02W0201 F2 +arc: W1_H02W0301 Q3 +arc: W3_H06W0003 Q3 +word: SLICEB.K0.INIT 1111001111000000 +word: SLICEB.K1.INIT 1100111100001111 +word: SLICEA.K0.INIT 1111110011101110 +word: SLICEA.K1.INIT 0000111100110011 +word: SLICED.K0.INIT 0000111111110000 +word: SLICED.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 0001110100001111 +word: SLICEC.K1.INIT 1111001000100010 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R40C8:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0601 E1_H01W0000 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 V02N0601 +arc: H00R0100 H02E0701 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0501 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0601 V01N0001 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 E1_H01W0100 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 H06W0303 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 S1_V02N0601 +arc: A0 E1_H01E0001 +arc: A1 H00L0100 +arc: A5 V00T0000 +arc: B0 E1_H01W0100 +arc: B1 V02N0301 +arc: B5 V02S0501 +arc: C0 S1_V02N0401 +arc: C1 H00R0100 +arc: C5 S1_V02N0201 +arc: CE0 H00R0000 +arc: CE1 V02N0201 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0001 +arc: D1 V02S0201 +arc: D5 H02W0201 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 F5 +arc: E3_H06E0103 Q1 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F5 F5_SLICE +arc: H01W0000 Q1 +arc: H01W0100 Q1 +arc: M2 V00B0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: N1_V02N0101 Q1 +arc: N1_V02N0301 Q1 +arc: V00B0000 Q6 +arc: V01S0000 F5 +arc: W1_H02W0201 F0 +arc: W1_H02W0701 F5 +arc: W3_H06W0003 F0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0101111111110011 +word: SLICEA.K0.INIT 0001101100001111 +word: SLICEA.K1.INIT 0000000001000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R40C9:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0701 W1_H02E0601 +arc: E3_H06E0303 S3_V06N0303 +arc: H00L0000 E1_H02W0001 +arc: H00L0100 E1_H02W0101 +arc: H00R0000 V02S0601 +arc: H00R0100 S1_V02N0501 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 E3_H06W0103 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 H06W0003 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0501 H02W0501 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 E1_H01W0100 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 V02S0301 +arc: V00T0000 H02W0201 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0601 V02N0601 +arc: B1 V00T0000 +arc: B2 H00R0000 +arc: B7 N1_V02S0501 +arc: C0 H00R0100 +arc: C1 V02S0601 +arc: C2 H00R0100 +arc: C3 H00R0100 +arc: C6 E1_H01E0101 +arc: C7 W1_H02E0401 +arc: CE0 H00L0000 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V01S0100 +arc: D2 V01S0100 +arc: D3 V01S0100 +arc: D6 V00B0000 +arc: D7 S1_V02N0601 +arc: E1_H01E0001 Q4 +arc: E1_H01E0101 Q7 +arc: E1_H02E0601 Q4 +arc: E3_H06E0103 Q1 +arc: E3_H06E0203 Q7 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q4 +arc: H01W0100 Q1 +arc: M0 V00B0100 +arc: M1 H00L0100 +arc: M2 V00B0100 +arc: M4 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 F6 +arc: N1_V02N0301 Q1 +arc: S1_V02S0601 Q4 +arc: S3_V06S0203 Q4 +arc: V01S0100 F7 +arc: W3_H06W0203 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000111111110000 +word: SLICED.K1.INIT 1111001111000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 0000111100000000 +word: SLICEA.K0.INIT 1111111111110000 +word: SLICEA.K1.INIT 1111001111000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R41C10:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 W1_H02E0601 +arc: E3_H06E0003 S3_V06N0003 +arc: H00L0000 V02S0001 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 W1_H02E0601 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0001 E3_H06W0003 +arc: S1_V02S0101 E1_H01W0100 +arc: S1_V02S0301 H01E0101 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 W1_H02E0601 +arc: V00T0000 S1_V02N0401 +arc: V00T0100 E1_H02W0301 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 E1_H02W0301 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0203 +arc: A0 V02S0701 +arc: A3 H02E0501 +arc: A5 H02E0501 +arc: A7 H00R0000 +arc: B0 V01N0001 +arc: B1 S1_V02N0101 +arc: B3 H00L0000 +arc: B5 H00L0000 +arc: B7 V01S0000 +arc: C0 H02W0401 +arc: C1 E1_H01W0000 +arc: C3 S1_V02N0401 +arc: C4 V00B0100 +arc: C5 V00T0000 +arc: C6 V02N0001 +arc: C7 H02E0601 +arc: CE0 E1_H02W0101 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 S1_V02N0201 +arc: D1 H02W0201 +arc: D3 V01S0100 +arc: D4 H02W0001 +arc: D5 H00R0100 +arc: D6 V00B0000 +arc: D7 V02S0601 +arc: E1_H01E0101 Q1 +arc: E1_H02E0201 F0 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 F4 +arc: E3_H06E0303 Q5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: H01W0100 F6 +arc: M2 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q1 +arc: S3_V06S0103 Q2 +arc: V00B0100 Q5 +arc: V01S0100 F7 +arc: W3_H06W0103 Q2 +word: SLICEA.K0.INIT 0011110001011010 +word: SLICEA.K1.INIT 1111001100000011 +word: SLICED.K0.INIT 0000111100000000 +word: SLICED.K1.INIT 0000010001010100 +word: SLICEC.K0.INIT 0000111111110000 +word: SLICEC.K1.INIT 1100000011010001 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1100000011010001 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R41C11:PLC2 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0601 E1_H01W0000 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0103 W1_H02E0201 +arc: H00L0000 H02E0001 +arc: H00R0000 H02E0401 +arc: H00R0100 H02W0501 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0401 H06W0203 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0103 H06W0103 +arc: V00B0100 W1_H02E0701 +arc: V00T0000 E1_H02W0001 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 E1_H02W0101 +arc: A5 H02E0701 +arc: A7 W1_H02E0701 +arc: B3 H01W0100 +arc: B4 E1_H02W0301 +arc: B5 V01S0000 +arc: B7 S1_V02N0701 +arc: C1 H02E0601 +arc: C2 H02E0601 +arc: C3 H00L0000 +arc: C4 H02W0601 +arc: C5 W1_H02E0601 +arc: C6 V00B0100 +arc: C7 W1_H02E0401 +arc: CLK0 G_HPBX0000 +arc: D1 S1_V02N0001 +arc: D2 S1_V02N0001 +arc: D3 H00R0000 +arc: D4 V00B0000 +arc: D5 H00R0100 +arc: D6 V02N0601 +arc: D7 V02N0601 +arc: E1_H01E0001 F4 +arc: E1_H01E0101 F1 +arc: E1_H02E0001 F2 +arc: E1_H02E0201 F2 +arc: E1_H02E0501 F5 +arc: E3_H06E0203 F4 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F2 +arc: H01W0100 F2 +arc: LSR0 H02E0301 +arc: LSR1 H02E0301 +arc: M6 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR1 +arc: N1_V01N0001 F2 +arc: S1_V02S0201 F2 +arc: S3_V06S0203 Q4 +arc: V00B0000 F6 +arc: V01S0100 F2 +arc: W3_H06W0003 Q3 +arc: W3_H06W0103 F2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000011111111 +word: SLICEC.K0.INIT 1100000011001111 +word: SLICEC.K1.INIT 0000000101010001 +word: SLICEB.K0.INIT 0000000000001111 +word: SLICEB.K1.INIT 0000001100000000 +word: SLICED.K0.INIT 1111111100001111 +word: SLICED.K1.INIT 1010100000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 + +.tile R41C12:PLC2 +arc: E1_H02E0001 E1_H01W0000 +arc: E1_H02E0101 H01E0101 +arc: E1_H02E0201 H01E0001 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0601 W1_H02E0601 +arc: E1_H02E0701 H01E0101 +arc: E3_H06E0003 V01N0001 +arc: E3_H06E0103 W1_H02E0201 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 V01N0101 +arc: H00L0000 S1_V02N0001 +arc: H00L0100 W1_H02E0101 +arc: H00R0000 H02E0601 +arc: H00R0100 H02W0701 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0601 W1_H02E0601 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0103 H06W0103 +arc: S3_V06S0203 H06W0203 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 H02E0201 +arc: V00T0100 E1_H02W0301 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0601 V02S0601 +arc: W3_H06W0203 E1_H02W0701 +arc: A4 H02E0701 +arc: A6 H00R0000 +arc: B4 N1_V02S0501 +arc: B5 H00R0000 +arc: B6 V00B0000 +arc: B7 V02S0501 +arc: C1 S1_V02N0601 +arc: C2 H00L0100 +arc: C3 H00L0000 +arc: C4 V02N0201 +arc: C5 V00B0100 +arc: C6 V02N0201 +arc: C7 S1_V02N0201 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D1 V00T0100 +arc: D2 V00T0100 +arc: D3 V00T0100 +arc: D4 H02W0201 +arc: D5 E1_H02W0001 +arc: D6 H02W0201 +arc: D7 E1_H02W0001 +arc: E1_H01E0001 F6 +arc: E1_H02E0401 F4 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q7 +arc: H01W0100 Q5 +arc: LSR1 V00T0000 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0101 F1 +arc: N3_V06N0003 F3 +arc: S1_V02S0501 Q5 +arc: S1_V02S0701 Q7 +arc: V01S0000 F2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 0000111111110000 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 0101101000111100 +word: SLICEC.K1.INIT 1100110011110000 +word: SLICED.K0.INIT 0101101000111100 +word: SLICED.K1.INIT 1100110011110000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 + +.tile R41C13:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 E3_H06W0303 +arc: E1_H02E0701 S3_V06N0203 +arc: E3_H06E0203 H01E0001 +arc: H00L0000 N1_V02S0201 +arc: H00L0100 V02N0101 +arc: H00R0000 V02N0601 +arc: H00R0100 V02N0701 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0303 H06W0303 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 S1_V02N0101 +arc: V00T0000 V02S0601 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0003 S3_V06N0003 +arc: W3_H06W0103 E3_H06W0103 +arc: A0 E1_H01E0001 +arc: A3 V02S0701 +arc: A4 V02N0301 +arc: A6 N1_V01N0101 +arc: B0 E1_H02W0301 +arc: B1 W1_H02E0101 +arc: B3 E1_H02W0101 +arc: B4 H00L0000 +arc: B5 V01S0000 +arc: B6 V02S0501 +arc: B7 V00T0000 +arc: C0 H00L0100 +arc: C1 S1_V02N0401 +arc: C3 N1_V02S0401 +arc: C4 V02N0201 +arc: C5 V00B0100 +arc: C6 V02N0201 +arc: C7 V00T0100 +arc: CE0 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 S1_V02N0201 +arc: D1 H02W0001 +arc: D3 H00R0000 +arc: D4 V00B0000 +arc: D5 H02W0001 +arc: D6 V00B0000 +arc: D7 H02W0001 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 F0 +arc: E1_H02E0401 F4 +arc: E3_H06E0303 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q5 +arc: H01W0100 F3 +arc: LSR0 W1_H02E0301 +arc: LSR1 W1_H02E0301 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 Q1 +arc: N1_V01N0101 Q7 +arc: S1_V02S0301 Q1 +arc: S1_V02S0501 Q5 +arc: S3_V06S0203 Q7 +arc: V01S0000 Q7 +arc: V01S0100 Q5 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1000001101101011 +word: SLICED.K0.INIT 0101101000111100 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEA.K0.INIT 0101101000111100 +word: SLICEA.K1.INIT 1100110011110000 +word: SLICEC.K0.INIT 0101101000111100 +word: SLICEC.K1.INIT 1100110011110000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 + +.tile R41C14:PLC2 +arc: E1_H02E0101 H01E0101 +arc: E1_H02E0201 V01N0001 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0601 W1_H02E0301 +arc: H00L0000 V02N0201 +arc: H00R0000 W1_H02E0601 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0401 E3_H06W0203 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 E1_H01W0100 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 V02S0601 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0701 E1_H02W0601 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 E1_H01W0100 +arc: W3_H06W0203 E1_H02W0701 +arc: W3_H06W0303 E3_H06W0203 +arc: A0 E1_H01E0001 +arc: A2 H00L0100 +arc: A4 V02N0101 +arc: A6 N1_V01N0101 +arc: B0 N1_V02S0101 +arc: B1 V02N0301 +arc: B2 H02E0101 +arc: B3 H00R0100 +arc: B4 N1_V02S0701 +arc: B5 H02E0301 +arc: B6 V00T0000 +arc: B7 V01S0000 +arc: C0 H00L0000 +arc: C1 H02W0401 +arc: C2 H00L0000 +arc: C3 V02N0601 +arc: C4 V02N0201 +arc: C5 V02N0001 +arc: C6 V02N0201 +arc: C7 V00B0100 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 S1_V02N0601 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 S1_V02N0201 +arc: D1 E1_H02W0001 +arc: D2 S1_V02N0201 +arc: D3 E1_H02W0001 +arc: D4 V00B0000 +arc: D5 E1_H02W0001 +arc: D6 V00B0000 +arc: D7 E1_H02W0001 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 F4 +arc: E1_H02E0001 F0 +arc: E1_H02E0701 Q7 +arc: E3_H06E0103 F2 +arc: E3_H06E0303 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 Q3 +arc: H00R0100 Q7 +arc: LSR0 W1_H02E0501 +arc: LSR1 W1_H02E0501 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR0 +arc: N1_V01N0101 Q7 +arc: S1_V02S0101 Q1 +arc: S1_V02S0301 Q3 +arc: V01S0000 Q1 +arc: V01S0100 Q5 +word: SLICEB.K0.INIT 0101101000111100 +word: SLICEB.K1.INIT 1100110011110000 +word: SLICED.K0.INIT 0101101000111100 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEA.K0.INIT 0101101000111100 +word: SLICEA.K1.INIT 1100110011110000 +word: SLICEC.K0.INIT 0101101000111100 +word: SLICEC.K1.INIT 1100110011110000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 + +.tile R41C15:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0101 V06N0103 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 E3_H06W0203 +arc: E3_H06E0103 W3_H06E0003 +arc: H00L0100 V02S0301 +arc: H00R0000 N1_V02S0601 +arc: H00R0100 W1_H02E0501 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0601 S1_V02N0301 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0201 E3_H06W0103 +arc: S1_V02S0301 H01E0101 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0203 E3_H06W0203 +arc: V00B0100 W1_H02E0701 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0301 V06S0003 +arc: W1_H02W0401 S1_V02N0401 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E3_H06W0203 +arc: A1 H00L0100 +arc: A3 H00L0100 +arc: A4 N1_V01N0101 +arc: B0 H02W0101 +arc: B1 V00T0000 +arc: B2 H02W0101 +arc: B3 N1_V02S0301 +arc: B4 S1_V02N0501 +arc: B6 H02E0301 +arc: C0 E1_H02W0401 +arc: C1 H02E0601 +arc: C2 H02E0401 +arc: C3 F4 +arc: C4 V02S0201 +arc: C5 S1_V02N0001 +arc: C6 W1_H02E0601 +arc: CE0 N1_V02S0201 +arc: CE1 N1_V02S0201 +arc: CE2 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 V00B0100 +arc: D2 V01S0100 +arc: D3 H00R0000 +arc: D4 H00R0100 +arc: D5 V00B0000 +arc: D6 W1_H02E0001 +arc: D7 F2 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q6 +arc: H01W0100 Q5 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q5 +arc: N1_V02N0201 F0 +arc: S3_V06S0103 F2 +arc: S3_V06S0303 Q5 +arc: V00B0000 F6 +arc: V00T0100 F1 +arc: V01S0000 Q5 +arc: V01S0100 F3 +arc: W1_H02W0001 Q2 +arc: W3_H06W0003 Q0 +word: SLICEC.K0.INIT 1001000101111001 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0000110000111111 +word: SLICEA.K1.INIT 0110001011111011 +word: SLICEB.K0.INIT 0000110000111111 +word: SLICEB.K1.INIT 0110001011111011 +word: SLICED.K0.INIT 0000000011001111 +word: SLICED.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R41C16:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 H01E0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0601 W3_H06E0303 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 W3_H06E0103 +arc: H00L0000 E1_H02W0201 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 E1_H02W0601 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0401 E3_H06W0203 +arc: S1_V02S0501 W1_H02E0501 +arc: S1_V02S0601 H06E0303 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 E3_H06W0203 +arc: V00B0000 H02E0601 +arc: V00B0100 V02S0301 +arc: V00T0100 E1_H02W0301 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0701 E3_H06W0203 +arc: A4 H02E0501 +arc: A5 V02S0101 +arc: B3 S1_V02N0101 +arc: B4 V02S0701 +arc: B5 V02N0701 +arc: C2 H00L0000 +arc: C3 E1_H02W0401 +arc: C4 H02W0601 +arc: C5 V00T0100 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D2 V01S0100 +arc: D3 E1_H02W0201 +arc: D4 V00B0000 +arc: D5 E1_H01W0100 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 F2 +arc: E3_H06E0303 Q5 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 F3 +arc: H01W0100 Q5 +arc: M6 V00B0100 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: S3_V06S0003 F3 +arc: S3_V06S0303 Q5 +arc: V01S0100 F3 +arc: W1_H02W0301 F3 +arc: W1_H02W0601 Q6 +arc: W3_H06W0003 F3 +arc: W3_H06W0203 F4 +arc: W3_H06W0303 Q5 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000011110000 +word: SLICEB.K1.INIT 1100000000000000 +word: SLICEC.K0.INIT 0001110100001111 +word: SLICEC.K1.INIT 1111100010001000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R41C17:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 V06S0103 +arc: E3_H06E0003 V01N0001 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0100 E1_H02W0101 +arc: H00R0000 H02E0401 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 V01N0001 +arc: N1_V02N0301 H01E0101 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0103 W3_H06E0103 +arc: V00T0000 S1_V02N0601 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 V06S0303 +arc: W3_H06W0103 V01N0101 +arc: W3_H06W0203 E3_H06W0103 +arc: B6 W1_H02E0101 +arc: B7 W1_H02E0101 +arc: C6 W1_H02E0401 +arc: C7 W1_H02E0401 +arc: CE1 N1_V02S0201 +arc: CLK0 G_HPBX0000 +arc: D4 S1_V02N0401 +arc: D5 S1_V02N0401 +arc: D6 H02W0001 +arc: D7 H02W0001 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 F3 +arc: M0 V00T0000 +arc: M1 H00L0100 +arc: M2 V00T0000 +arc: M3 H00R0000 +arc: M4 V00T0000 +arc: M5 H00L0100 +arc: M6 V00T0000 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 Q3 +arc: N1_V01N0101 Q3 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111111111111111 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICED.K0.INIT 1111110011110000 +word: SLICED.K1.INIT 1111110011110000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R41C18:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 E3_H06W0003 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 W1_H02E0601 +arc: E3_H06E0303 V06S0303 +arc: H00L0000 V02N0001 +arc: H00L0100 V02N0101 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0701 W3_H06E0203 +arc: S3_V06S0103 H06W0103 +arc: S3_V06S0203 H06W0203 +arc: V00B0100 E1_H02W0501 +arc: W1_H02W0001 V06N0003 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0601 N1_V02S0601 +arc: A1 H00R0000 +arc: A3 V00B0000 +arc: B0 V02S0101 +arc: B1 V02N0101 +arc: B2 H01W0100 +arc: B3 W1_H02E0101 +arc: C0 H00R0100 +arc: C1 H00L0000 +arc: C2 H00R0100 +arc: C3 H00L0100 +arc: CE0 V02S0201 +arc: CE1 V02S0201 +arc: CE2 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 S1_V02N0201 +arc: D1 S1_V02N0001 +arc: D2 W1_H02E0201 +arc: D3 V02N0001 +arc: E1_H01E0001 Q0 +arc: E3_H06E0003 F3 +arc: E3_H06E0103 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: H00R0000 Q4 +arc: H01W0100 Q6 +arc: M4 V00B0100 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: N3_V06N0103 Q2 +arc: V00B0000 Q6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 1100101010101010 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 1110101000101010 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 + +.tile R41C19:PLC2 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 H01E0001 +arc: H00L0000 W1_H02E0001 +arc: H00L0100 V02N0101 +arc: H00R0000 H02E0601 +arc: H00R0100 V02N0701 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 V01N0001 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 S1_V02N0401 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0601 N1_V02S0601 +arc: S3_V06S0103 E1_H01W0100 +arc: S3_V06S0203 H06W0203 +arc: S3_V06S0303 E1_H01W0100 +arc: V00B0100 V02S0301 +arc: V00T0000 V02N0601 +arc: V00T0100 H02W0301 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0303 E1_H01W0100 +arc: A3 V00B0000 +arc: A7 N1_V01N0101 +arc: B2 H01W0100 +arc: B3 H00R0000 +arc: B6 V01S0000 +arc: B7 H02E0101 +arc: C2 H00R0100 +arc: C3 H00L0100 +arc: C6 V00T0000 +arc: C7 V00T0100 +arc: CE0 W1_H02E0101 +arc: CE1 H00L0000 +arc: CE2 W1_H02E0101 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D2 V02N0001 +arc: D3 V00T0100 +arc: D6 V02S0601 +arc: D7 V02N0401 +arc: E1_H01E0001 Q6 +arc: E3_H06E0003 F3 +arc: E3_H06E0203 F7 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q4 +arc: M0 V00B0100 +arc: M4 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 Q0 +arc: V00B0000 Q4 +arc: V01S0000 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 1100101010101010 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1110101000101010 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 + +.tile R41C20:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0401 V02N0401 +arc: H00L0100 E1_H02W0301 +arc: H00R0000 V02S0601 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 S3_V06N0203 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0301 E1_H01W0100 +arc: S1_V02S0501 W1_H02E0501 +arc: S3_V06S0103 N1_V02S0201 +arc: V00B0000 E1_H02W0401 +arc: V00T0000 S1_V02N0401 +arc: V00T0100 H02W0301 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0301 N1_V02S0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 S3_V06N0303 +arc: W3_H06W0003 V01N0001 +arc: A7 E1_H01W0000 +arc: B2 H01W0100 +arc: B3 H01W0100 +arc: B6 V00B0100 +arc: B7 V02S0701 +arc: C2 H02E0401 +arc: C3 F6 +arc: C6 V00T0000 +arc: C7 V02S0001 +arc: CE0 H02E0101 +arc: CE2 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D2 H02E0201 +arc: D3 E1_H02W0001 +arc: D6 V00B0000 +arc: D7 H00L0100 +arc: E3_H06E0103 Q1 +arc: E3_H06E0203 Q4 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: H01W0100 F7 +arc: M0 H02W0601 +arc: M1 H00R0000 +arc: M2 H02W0601 +arc: M4 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F1 +arc: N1_V02N0301 Q1 +arc: S3_V06S0203 F7 +arc: V00B0100 F7 +arc: V01S0100 F7 +arc: W3_H06W0203 F7 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000011110011 +word: SLICED.K1.INIT 1000000000000000 +word: SLICEA.K0.INIT 1111111111111111 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEB.K0.INIT 1100111100000000 +word: SLICEB.K1.INIT 1111000011000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R41C21:PLC2 +arc: E1_H02E0001 V06N0003 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0501 E1_H01W0100 +arc: E3_H06E0303 W1_H02E0501 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0103 S1_V02N0101 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 W3_H06E0103 +arc: S1_V02S0301 H06W0003 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 E1_H01W0000 +arc: S3_V06S0103 W3_H06E0103 +arc: V00B0000 E1_H02W0401 +arc: V00T0000 H02W0201 +arc: V00T0100 W1_H02E0101 +arc: V01S0000 S3_V06N0103 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0601 N1_V01S0000 +arc: W3_H06W0003 S3_V06N0003 +arc: A6 N1_V01N0101 +arc: B6 N1_V02S0501 +arc: B7 S1_V02N0501 +arc: C6 V02S0201 +arc: C7 V00T0100 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D6 V00B0000 +arc: D7 H02E0001 +arc: E1_H01E0001 F6 +arc: E1_H02E0701 Q7 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q7 +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q7 +arc: H01W0100 Q7 +arc: LSR0 H02E0301 +arc: LSR1 H02E0301 +arc: M2 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR1 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0101 Q7 +arc: S1_V02S0201 Q2 +arc: S3_V06S0203 Q7 +arc: W1_H02W0201 Q2 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q7 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0010000000000000 +word: SLICED.K1.INIT 0000110000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 + +.tile R41C22:PLC2 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0501 E3_H06W0303 +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 N1_V02S0701 +arc: E3_H06E0203 H01E0001 +arc: H00R0000 E1_H02W0601 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 S3_V06N0303 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0101 W3_H06E0103 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 H02E0401 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 V02S0401 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0501 V06N0303 +arc: W3_H06W0003 E1_H01W0000 +arc: A7 H02W0501 +arc: B6 V01S0000 +arc: B7 V00B0100 +arc: C6 S1_V02N0001 +arc: C7 H02W0601 +arc: CE0 S1_V02N0201 +arc: CE1 H00R0000 +arc: CE2 H00R0000 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D6 V02N0401 +arc: D7 H02W0201 +arc: E1_H01E0101 Q2 +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q6 +arc: H01W0100 Q0 +arc: M0 V00T0000 +arc: M2 V00B0000 +arc: M4 W1_H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: S3_V06S0203 F7 +arc: V01S0000 F7 +arc: W1_H02W0201 Q0 +arc: W3_H06W0203 F7 +arc: W3_H06W0303 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1111001111000000 +word: SLICED.K1.INIT 1000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 + +.tile R41C23:PLC2 +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 S3_V06N0303 +arc: H00L0100 S1_V02N0101 +arc: H00R0000 N1_V02S0401 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0101 W3_H06E0103 +arc: S1_V02S0301 H01E0101 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 N1_V02S0601 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 V06S0303 +arc: W1_H02W0701 W3_H06E0203 +arc: W3_H06W0103 S3_V06N0103 +arc: A0 W1_H02E0701 +arc: A1 H00R0000 +arc: A2 W1_H02E0501 +arc: A3 V00B0000 +arc: A4 H02E0701 +arc: A5 V00T0000 +arc: A7 W1_H02E0501 +arc: B0 H02E0101 +arc: B1 W1_H02E0301 +arc: B2 V02S0301 +arc: B3 H02E0301 +arc: B4 V00B0100 +arc: B5 V02N0501 +arc: B7 V02N0501 +arc: C0 H02E0601 +arc: C1 V02N0401 +arc: C2 H00L0100 +arc: C3 N1_V01N0001 +arc: C4 H02W0401 +arc: C5 S1_V02N0201 +arc: C7 E1_H02W0601 +arc: D0 V00T0100 +arc: D1 V01S0100 +arc: D2 V02S0201 +arc: D3 S1_V02N0001 +arc: D4 H00R0100 +arc: D5 V02S0601 +arc: D7 V02S0401 +arc: E1_H01E0001 F2 +arc: E1_H01E0101 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F5 +arc: H01W0000 F4 +arc: H01W0100 F1 +arc: V00T0100 F1 +arc: V01S0000 F3 +arc: V01S0100 F7 +arc: W1_H02W0401 F4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1000001001000001 +word: SLICEB.K0.INIT 1000001001000001 +word: SLICEB.K1.INIT 1000010000100001 +word: SLICEC.K0.INIT 1001000000000000 +word: SLICEC.K1.INIT 1000010000100001 +word: SLICEA.K0.INIT 0000100000000000 +word: SLICEA.K1.INIT 1001000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R41C24:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0501 H01E0101 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0100 V02S0101 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 H06E0303 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0103 H06E0103 +arc: V00B0100 W1_H02E0501 +arc: V00T0100 H02E0101 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 S1_V02N0601 +arc: W3_H06W0003 S3_V06N0003 +arc: W3_H06W0103 E3_H06W0103 +arc: A3 V02N0701 +arc: A5 H02E0501 +arc: A6 V02N0101 +arc: A7 V00T0100 +arc: B3 V02N0301 +arc: B4 E1_H02W0301 +arc: B5 N1_V02S0501 +arc: B6 V00B0100 +arc: B7 H02W0101 +arc: C3 V02N0601 +arc: C4 S1_V02N0201 +arc: C5 H02E0401 +arc: C6 H02E0601 +arc: C7 V02S0201 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D3 S1_V02N0001 +arc: D4 H00L0100 +arc: D5 H02W0001 +arc: D6 S1_V02N0401 +arc: D7 V02N0401 +arc: E1_H01E0001 F4 +arc: E1_H02E0401 F4 +arc: E1_H02E0601 F4 +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F3 +arc: LSR1 H02E0301 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F5 +arc: V01S0000 F6 +arc: V01S0100 Q7 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0001001101011111 +word: SLICEC.K0.INIT 0000001100000000 +word: SLICEC.K1.INIT 1000000000000000 +word: SLICED.K0.INIT 0011001101011111 +word: SLICED.K1.INIT 0111000001111010 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R41C25:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 W1_H02E0101 +arc: E3_H06E0203 S3_V06N0203 +arc: H00L0000 E1_H02W0001 +arc: H00L0100 H02E0101 +arc: H00R0100 V02N0701 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 W3_H06E0003 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 E1_H01W0000 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0401 N1_V01S0000 +arc: S3_V06S0103 N1_V01S0100 +arc: V00B0000 H02W0401 +arc: V00B0100 H02E0501 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 S1_V02N0701 +arc: A7 H02E0501 +arc: B7 V00B0000 +arc: C5 H02W0401 +arc: C6 V00B0100 +arc: C7 V02N0201 +arc: D5 H02E0001 +arc: D6 H00R0100 +arc: D7 H02E0001 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: M0 H01E0001 +arc: M1 H00L0100 +arc: M2 H02E0601 +arc: M3 H00L0000 +arc: M4 H02E0401 +arc: M5 H00L0100 +arc: M6 H02E0401 +arc: S3_V06S0003 F3 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111111111110000 +word: SLICED.K0.INIT 1111111111110000 +word: SLICED.K1.INIT 1111111111111110 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 + +.tile R41C26:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0401 W3_H06E0203 +arc: H00L0000 V02S0001 +arc: H00R0000 H02E0401 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 E1_H01W0000 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 H06E0103 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0401 N1_V01S0000 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 N1_V01S0100 +arc: V00B0000 V02S0201 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 V02S0401 +arc: V00T0100 H02W0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0701 S1_V02N0701 +arc: A0 H00L0100 +arc: A1 H00R0000 +arc: A3 E1_H01E0001 +arc: A4 S1_V02N0101 +arc: A5 V00T0100 +arc: A6 V00T0100 +arc: A7 V00T0100 +arc: B0 H02W0301 +arc: B1 V00B0000 +arc: B3 H02E0301 +arc: B4 H02W0101 +arc: B5 V00B0100 +arc: B6 V00B0100 +arc: B7 V00B0100 +arc: C0 H02W0601 +arc: C1 H00L0000 +arc: C3 V02N0601 +arc: C4 V00B0100 +arc: C5 V00T0000 +arc: C6 V00T0000 +arc: C7 V00T0000 +arc: CE0 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0201 +arc: D1 H02E0001 +arc: D3 S1_V02N0001 +arc: D4 V02S0401 +arc: D5 V02S0601 +arc: D6 H02E0201 +arc: D7 V00B0000 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 Q1 +arc: H01W0000 F3 +arc: H01W0100 F6 +arc: LSR0 H02W0501 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR0 +arc: N1_V01N0001 F5 +arc: S3_V06S0003 F0 +arc: V01S0100 F4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0001001101011111 +word: SLICED.K0.INIT 1111010010110000 +word: SLICED.K1.INIT 1111010010110000 +word: SLICEA.K0.INIT 0011001101011111 +word: SLICEA.K1.INIT 0111000001111010 +word: SLICEC.K0.INIT 1110111100100000 +word: SLICEC.K1.INIT 1111010010110000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R41C27:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0401 V02N0401 +arc: H00L0000 V02N0001 +arc: H00L0100 N1_V02S0101 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0003 E1_H01W0000 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0601 H06E0303 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0203 W3_H06E0203 +arc: V00B0100 N1_V02S0101 +arc: V00T0000 H02E0001 +arc: V00T0100 H02W0301 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0601 S1_V02N0601 +arc: A1 E1_H01E0001 +arc: A7 V02N0101 +arc: B0 V00B0000 +arc: B1 H02E0301 +arc: B6 V02N0501 +arc: B7 H02E0301 +arc: C0 H02W0601 +arc: C1 H00L0100 +arc: C6 H02W0601 +arc: C7 V00B0100 +arc: CE0 H00L0000 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0201 +arc: D1 V02N0201 +arc: D6 V02N0601 +arc: D7 V02S0401 +arc: E1_H01E0001 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q2 +arc: M2 V00T0000 +arc: M4 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V01N0101 Q0 +arc: N1_V02N0301 F1 +arc: N1_V02N0501 F7 +arc: V00B0000 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1110101000101010 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 1110101000101010 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 + +.tile R41C28:PLC2 +arc: E1_H02E0401 S3_V06N0203 +arc: H00L0000 E1_H02W0001 +arc: H00R0000 H02W0401 +arc: H00R0100 S1_V02N0501 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 H02W0601 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 H06E0203 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0701 E1_H02W0701 +arc: V00T0100 E1_H02W0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 V06N0303 +arc: B3 H02W0301 +arc: B5 H00R0000 +arc: C3 H02W0401 +arc: C5 E1_H02W0601 +arc: CE0 H00R0100 +arc: CE1 H00L0000 +arc: CE2 H00L0000 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D3 H02E0001 +arc: D5 H02E0201 +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: H01W0000 Q3 +arc: H01W0100 Q0 +arc: M0 V00T0100 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0701 Q5 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111110000001100 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111110000110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R41C29:PLC2 +arc: E1_H02E0001 V01N0001 +arc: E1_H02E0101 V01N0101 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0601 N1_V02S0601 +arc: H00R0100 V02S0701 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 S1_V02N0601 +arc: S1_V02S0301 N1_V01S0100 +arc: S1_V02S0601 N1_V02S0601 +arc: S3_V06S0103 E1_H01W0100 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 V02N0101 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0401 N1_V02S0401 +arc: W1_H02W0601 S3_V06N0303 +arc: W1_H02W0701 S1_V02N0701 +arc: A4 N1_V01N0101 +arc: A5 N1_V01N0101 +arc: B4 V01S0000 +arc: B5 H00L0000 +arc: C4 S1_V02N0001 +arc: C5 V00T0000 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D4 S1_V02N0601 +arc: D5 V02N0401 +arc: E1_H01E0101 F5 +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00L0000 Q0 +arc: M0 V00T0100 +arc: M2 V00B0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: S3_V06S0203 F4 +arc: V01S0000 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1000001011000011 +word: SLICEC.K1.INIT 1100010000110001 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R41C2:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0401 V02N0401 +arc: E3_H06E0303 V06S0303 +arc: H01W0000 E3_H06W0103 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 H06W0203 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0701 E1_H01W0100 +arc: S3_V06S0103 H06W0103 +arc: V00T0100 E1_H02W0101 +arc: C3 V02N0401 +arc: D3 V00T0100 +arc: E1_H02E0301 F3 +arc: E3_H06E0003 F3 +arc: F3 F3_SLICE +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R41C30:PLC2 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0501 V02S0501 +arc: H00L0000 V02N0201 +arc: H00R0000 H02W0601 +arc: H00R0100 H02W0501 +arc: N1_V02N0001 S3_V06N0003 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0401 W1_H02E0401 +arc: V00B0000 H02W0401 +arc: V00T0000 H02E0001 +arc: V00T0100 E1_H02W0101 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0601 S3_V06N0303 +arc: W1_H02W0701 S3_V06N0203 +arc: A0 V02N0701 +arc: A2 V02S0701 +arc: A4 V02S0101 +arc: A5 E1_H01W0000 +arc: B0 H02E0301 +arc: B1 V00B0000 +arc: B2 H00L0000 +arc: B3 V02N0101 +arc: B4 H01E0101 +arc: B5 H02W0301 +arc: B6 V00T0000 +arc: C0 H00L0100 +arc: C1 V02S0401 +arc: C2 H00R0100 +arc: C3 N1_V02S0601 +arc: C4 V00B0100 +arc: C5 S1_V02N0001 +arc: C6 H02E0601 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 H00R0000 +arc: D2 H00R0000 +arc: D3 F2 +arc: D4 H02W0201 +arc: D5 S1_V02N0401 +arc: D6 H00L0100 +arc: D7 H02E0001 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 F6 +arc: E1_H02E0201 F2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0100 Q7 +arc: LSR0 E1_H02W0301 +arc: LSR1 E1_H02W0301 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V02N0201 F2 +arc: N3_V06N0103 Q2 +arc: S3_V06S0203 F4 +arc: V00B0100 F5 +arc: V01S0000 F1 +arc: V01S0100 Q0 +arc: W1_H02W0001 Q2 +arc: W1_H02W0201 Q0 +arc: W3_H06W0103 Q2 +word: SLICEC.K0.INIT 1000000000000000 +word: SLICEC.K1.INIT 1000000000000000 +word: SLICED.K0.INIT 1111110000000000 +word: SLICED.K1.INIT 0000000011111111 +word: SLICEB.K0.INIT 1000111100000000 +word: SLICEB.K1.INIT 1111111111000000 +word: SLICEA.K0.INIT 1111111100101010 +word: SLICEA.K1.INIT 1100000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 + +.tile R41C31:PLC2 +arc: H00L0000 S1_V02N0001 +arc: H00L0100 V02S0101 +arc: H00R0000 H02W0401 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0601 N1_V01S0000 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0701 S3_V06N0203 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 W1_H02E0101 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0501 V02S0501 +arc: W1_H02W0601 V06S0303 +arc: A2 E1_H01E0001 +arc: A3 E1_H01E0001 +arc: A4 V02N0301 +arc: A5 H02E0501 +arc: B2 H00R0000 +arc: B3 E1_H01W0100 +arc: B4 H00L0000 +arc: B5 V02S0701 +arc: B7 S1_V02N0701 +arc: C2 V02S0401 +arc: C3 V02N0401 +arc: C4 V00B0100 +arc: C5 E1_H01E0101 +arc: C7 V00T0000 +arc: CE0 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D2 V02N0001 +arc: D3 V02N0201 +arc: D4 S1_V02N0401 +arc: D5 H02E0001 +arc: D7 V02N0601 +arc: E1_H01E0001 Q0 +arc: E1_H01E0101 F7 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F2 +arc: M0 V00T0100 +arc: MUXCLK0 CLK0 +arc: S3_V06S0203 F4 +arc: V00B0100 F5 +arc: W1_H02W0301 F3 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111001100000000 +word: SLICEB.K0.INIT 1100001101000001 +word: SLICEB.K1.INIT 1000110000100011 +word: SLICEC.K0.INIT 1000000000000000 +word: SLICEC.K1.INIT 1000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R41C32:PLC2 +arc: N1_V02N0201 S1_V02N0201 +arc: N3_V06N0003 S3_V06N0003 +arc: V00B0000 S1_V02N0001 +arc: V00T0100 V02N0501 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0301 V02S0301 +arc: CE2 V02S0601 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: H01W0100 Q4 +arc: M4 V00T0100 +arc: M6 V00B0000 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: W1_H02W0401 Q6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R41C33:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 + +.tile R41C34:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R41C35:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 + +.tile R41C36:PLC2 +arc: N3_V06N0203 S3_V06N0203 + +.tile R41C37:PLC2 +arc: N3_V06N0003 S3_V06N0003 + +.tile R41C38:PLC2 +arc: N3_V06N0303 S3_V06N0303 + +.tile R41C39:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 + +.tile R41C3:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0601 S1_V02N0601 +arc: H00L0000 V02N0201 +arc: H00R0000 H02W0601 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0601 E1_H02W0601 +arc: N3_V06N0003 H06W0003 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0201 E3_H06W0103 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 H02W0701 +arc: V00B0000 V02N0201 +arc: V00T0100 V02S0701 +arc: W1_H02W0401 V01N0001 +arc: B0 H02E0101 +arc: B1 V02N0101 +arc: B2 H02E0101 +arc: B3 V02N0101 +arc: B4 H02E0101 +arc: B5 H00L0000 +arc: B6 H02E0101 +arc: B7 V00B0000 +arc: C0 E1_H02W0601 +arc: C1 V02S0401 +arc: C2 N1_V01S0100 +arc: C3 N1_V02S0601 +arc: C4 V00B0100 +arc: C5 V00T0100 +arc: C6 E1_H02W0601 +arc: C7 E1_H02W0601 +arc: D0 V02S0001 +arc: D1 H00R0000 +arc: D2 V01S0100 +arc: D3 E1_H02W0201 +arc: D4 H00R0100 +arc: D5 E1_H02W0001 +arc: D6 H00R0100 +arc: D7 E1_H01W0100 +arc: E1_H01E0001 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: H01W0000 F6 +arc: H01W0100 F4 +arc: N1_V01N0001 F1 +arc: S1_V02S0001 F2 +arc: S1_V02S0101 F3 +arc: S1_V02S0401 F4 +arc: S1_V02S0501 F5 +arc: V00B0100 F5 +arc: V01S0000 F6 +arc: V01S0100 F3 +word: SLICEA.K0.INIT 0011111100001100 +word: SLICEA.K1.INIT 0011000011111100 +word: SLICEB.K0.INIT 1111001111000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 1111110000110000 +word: SLICEC.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 0011111100001100 +word: SLICED.K1.INIT 0000110000111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R41C40:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R41C41:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0303 + +.tile R41C42:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 + +.tile R41C43:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R41C44:PLC2 +arc: N3_V06N0303 S3_V06N0303 + +.tile R41C4:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 W1_H02E0301 +arc: H00R0000 W1_H02E0401 +arc: H00R0100 V02S0501 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0601 E3_H06W0303 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0601 H01E0001 +arc: V00B0100 H02W0501 +arc: V00T0000 V02N0401 +arc: V00T0100 V02S0701 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0701 V06S0203 +arc: A0 H00R0000 +arc: A1 H02W0501 +arc: B0 E1_H01W0100 +arc: B1 V02N0101 +arc: B2 W1_H02E0101 +arc: B3 V02S0301 +arc: B5 H02W0101 +arc: B6 W1_H02E0101 +arc: C0 H00L0100 +arc: C1 H02W0401 +arc: C2 E1_H01W0000 +arc: C3 S1_V02N0601 +arc: C5 H02W0601 +arc: C6 V02S0001 +arc: CE1 S1_V02N0201 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 V02S0201 +arc: D2 N1_V02S0001 +arc: D3 F2 +arc: D4 V02S0401 +arc: D5 V02S0601 +arc: D6 H00R0100 +arc: D7 F2 +arc: E1_H01E0001 F0 +arc: E1_H01E0101 F0 +arc: E1_H02E0401 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F1 +arc: H01W0000 Q3 +arc: H01W0100 F4 +arc: M4 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: W1_H02W0101 F1 +arc: W1_H02W0601 F4 +word: SLICEB.K0.INIT 0011111100001100 +word: SLICEB.K1.INIT 0000110000001111 +word: SLICEA.K0.INIT 1010100011111101 +word: SLICEA.K1.INIT 0000000000000001 +word: SLICEC.K0.INIT 0000000011111111 +word: SLICEC.K1.INIT 1111000011110011 +word: SLICED.K0.INIT 0000110000111111 +word: SLICED.K1.INIT 0000000011111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R41C5:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0203 S3_V06N0203 +arc: H00L0000 H02W0201 +arc: H00L0100 N1_V02S0101 +arc: H00R0000 H02W0601 +arc: H00R0100 V02S0701 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0601 H01E0001 +arc: S1_V02S0701 H01E0101 +arc: V00B0000 W1_H02E0601 +arc: V00B0100 H02W0501 +arc: V00T0000 V02S0401 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 E1_H02W0401 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0103 +arc: A4 V02N0301 +arc: B0 W1_H02E0101 +arc: B2 V02N0301 +arc: B4 V02N0701 +arc: B5 W1_H02E0101 +arc: B6 V02S0501 +arc: B7 H02E0101 +arc: C0 E1_H02W0601 +arc: C2 H00L0100 +arc: C4 H02W0601 +arc: C5 H02W0401 +arc: C6 W1_H02E0401 +arc: C7 F6 +arc: CE1 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 H00R0000 +arc: D2 H02E0001 +arc: D3 V02S0201 +arc: D4 H00R0100 +arc: D5 V02N0601 +arc: D6 V00B0000 +arc: D7 N1_V02S0601 +arc: E1_H01E0001 F5 +arc: E1_H01E0101 F4 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: H01W0100 F6 +arc: M0 V00T0000 +arc: M2 V00T0100 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 F6 +arc: S1_V02S0201 Q2 +arc: V01S0000 F0 +arc: V01S0100 F7 +arc: W1_H02W0601 F6 +word: SLICED.K0.INIT 1100000000000000 +word: SLICED.K1.INIT 0000110000111111 +word: SLICEB.K0.INIT 0000110000111111 +word: SLICEB.K1.INIT 0000000011111111 +word: SLICEA.K0.INIT 1111001111000000 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 0011001000010000 +word: SLICEC.K1.INIT 1111110000110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 + +.tile R41C6:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0501 E1_H01W0100 +arc: H00L0100 N1_V02S0101 +arc: H00R0000 W1_H02E0401 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0601 H06W0303 +arc: S3_V06S0103 H06W0103 +arc: S3_V06S0303 H06W0303 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 H02E0701 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 H01E0001 +arc: W3_H06W0003 S3_V06N0003 +arc: W3_H06W0103 N1_V01S0100 +arc: A1 E1_H01E0001 +arc: A5 V02N0101 +arc: A7 H00L0000 +arc: B0 W1_H02E0301 +arc: B1 V00T0000 +arc: B2 H02W0101 +arc: B4 V00B0100 +arc: B5 H00R0000 +arc: B6 V00B0100 +arc: B7 H02E0301 +arc: C0 W1_H02E0601 +arc: C1 H02E0601 +arc: C2 H00L0100 +arc: C4 V02N0001 +arc: C5 H02E0601 +arc: C6 V02N0001 +arc: C7 H02E0601 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 H01E0101 +arc: D1 H02E0001 +arc: D2 V00T0100 +arc: D3 N1_V02S0001 +arc: D4 V02S0401 +arc: D5 H02E0001 +arc: D6 V00B0000 +arc: D7 H02E0001 +arc: E1_H01E0001 Q6 +arc: E1_H02E0701 F7 +arc: E3_H06E0103 F1 +arc: E3_H06E0303 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H01W0100 Q6 +arc: M2 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0201 Q2 +arc: V00T0000 Q0 +arc: V01S0000 Q2 +arc: V01S0100 Q4 +word: SLICEA.K0.INIT 0000000011110011 +word: SLICEA.K1.INIT 0011001101011111 +word: SLICEC.K0.INIT 0000110000001111 +word: SLICEC.K1.INIT 0101010100111111 +word: SLICED.K0.INIT 0000110000001111 +word: SLICED.K1.INIT 0011001101011111 +word: SLICEB.K0.INIT 0000110000111111 +word: SLICEB.K1.INIT 0000000011111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R41C7:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0003 W1_H02E0001 +arc: E3_H06E0103 W1_H02E0101 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 H02W0401 +arc: H00R0100 H02W0701 +arc: H01W0000 E3_H06W0103 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 H06W0003 +arc: S3_V06S0303 E1_H01W0100 +arc: V00B0000 V02S0001 +arc: V00B0100 V02N0301 +arc: V00T0000 W1_H02E0201 +arc: V00T0100 N1_V02S0501 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0101 V06N0103 +arc: W1_H02W0301 N1_V01S0100 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0601 V06S0303 +arc: A0 W1_H02E0701 +arc: A1 H00L0000 +arc: A3 V02N0701 +arc: A7 H00L0000 +arc: B0 V00B0000 +arc: B1 V00T0000 +arc: B3 W1_H02E0101 +arc: B4 H00R0000 +arc: B7 V00T0000 +arc: C0 H02W0601 +arc: C1 W1_H02E0601 +arc: C2 E1_H02W0401 +arc: C3 E1_H02W0401 +arc: C4 V02N0201 +arc: C5 E1_H01E0101 +arc: C7 W1_H02E0601 +arc: CE0 H00R0100 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 W1_H02E0001 +arc: D2 H02E0001 +arc: D3 H02E0001 +arc: D4 S1_V02N0401 +arc: D5 S1_V02N0601 +arc: D7 W1_H02E0001 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 Q4 +arc: E1_H02E0201 F2 +arc: E3_H06E0203 F7 +arc: E3_H06E0303 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H01W0100 F4 +arc: M2 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: S3_V06S0203 Q4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0011001101011111 +word: SLICEA.K0.INIT 0111011101110010 +word: SLICEA.K1.INIT 0101010100111111 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 1111111100001111 +word: SLICEB.K1.INIT 1110000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R41C8:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 E3_H06W0303 +arc: H00L0100 V02S0101 +arc: H00R0100 H02E0701 +arc: H01W0100 E3_H06W0303 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0401 E3_H06W0203 +arc: S1_V02S0501 E3_H06W0303 +arc: S3_V06S0103 E1_H01W0100 +arc: S3_V06S0203 H01E0001 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 H02E0601 +arc: V00T0000 H02E0201 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0601 N1_V02S0601 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0103 V06N0103 +arc: A4 E1_H02W0501 +arc: A5 V02S0301 +arc: B4 S1_V02N0501 +arc: B5 W1_H02E0301 +arc: B6 H02W0301 +arc: C4 V00B0100 +arc: C5 V02N0201 +arc: C6 V00T0000 +arc: C7 F6 +arc: CLK0 G_HPBX0000 +arc: D4 H00L0100 +arc: D5 H02W0001 +arc: D6 V00B0000 +arc: D7 H00R0100 +arc: E1_H01E0001 F7 +arc: E1_H02E0701 F7 +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F4 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: M0 H02W0601 +arc: M2 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F6 +arc: S1_V02S0001 Q2 +arc: S1_V02S0701 F5 +arc: V00B0100 F5 +arc: V01S0000 Q6 +arc: V01S0100 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0001001101011111 +word: SLICEC.K1.INIT 0000000000000010 +word: SLICED.K0.INIT 1100110000001111 +word: SLICED.K1.INIT 1111000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 + +.tile R41C9:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0301 E3_H06W0003 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 N1_V01S0000 +arc: E1_H02E0701 E3_H06W0203 +arc: E3_H06E0103 S3_V06N0103 +arc: H00L0000 H02W0201 +arc: H00L0100 E1_H02W0301 +arc: H00R0100 V02N0701 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 H02W0601 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0301 H01E0101 +arc: S1_V02S0401 N1_V01S0000 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0203 E3_H06W0203 +arc: V00B0000 V02N0201 +arc: V00B0100 V02N0101 +arc: V00T0000 H02W0001 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0701 E3_H06W0203 +arc: W3_H06W0303 E3_H06W0203 +arc: A1 H01E0001 +arc: A7 H02E0701 +arc: B1 V00T0000 +arc: B7 V02S0501 +arc: C1 H00L0000 +arc: C6 H02W0401 +arc: C7 V00B0100 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00R0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D1 V02N0201 +arc: D6 E1_H02W0001 +arc: D7 V00B0000 +arc: E1_H01E0001 Q7 +arc: E1_H02E0201 Q2 +arc: E3_H06E0003 Q0 +arc: E3_H06E0203 Q7 +arc: E3_H06E0303 F6 +arc: F0 F5A_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q0 +arc: H01W0100 Q2 +arc: M0 H02W0601 +arc: M2 V00T0100 +arc: M4 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0201 Q2 +arc: V01S0000 Q0 +arc: V01S0100 Q0 +arc: W1_H02W0601 Q4 +arc: W3_H06W0103 Q2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000111111110000 +word: SLICED.K1.INIT 1111001111100010 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111100010 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 + +.tile R42C10:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 V06N0303 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0303 S3_V06N0303 +arc: H00L0000 S1_V02N0201 +arc: H00L0100 V02N0101 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0501 V01N0101 +arc: N1_V02N0701 H02W0701 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0401 W3_H06E0203 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 H06W0203 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 H02E0401 +arc: V00B0100 V02S0101 +arc: V00T0000 H02E0201 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0601 E1_H02W0301 +arc: W3_H06W0303 V06N0303 +arc: A0 H02E0501 +arc: A4 F5 +arc: A5 V00B0000 +arc: B0 H02W0301 +arc: B1 H00R0100 +arc: B4 S1_V02N0701 +arc: B5 H02E0101 +arc: B7 V00T0000 +arc: C0 H02W0401 +arc: C1 E1_H02W0601 +arc: C4 N1_V02S0201 +arc: C5 V00T0100 +arc: C7 E1_H02W0401 +arc: CE0 S1_V02N0201 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 V02N0001 +arc: D4 E1_H02W0201 +arc: D5 E1_H02W0001 +arc: D7 H00L0100 +arc: E1_H01E0001 F4 +arc: E1_H02E0301 Q1 +arc: E1_H02E0701 Q7 +arc: E3_H06E0003 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00R0100 Q7 +arc: H01W0100 Q1 +arc: LSR0 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 Q7 +arc: V01S0100 Q1 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEC.K0.INIT 0011100011111011 +word: SLICEC.K1.INIT 1001000101111001 +word: SLICEA.K0.INIT 0101101000111100 +word: SLICEA.K1.INIT 1100110011110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 + +.tile R42C11:PLC2 +arc: E1_H02E0501 N1_V01S0100 +arc: E1_H02E0601 V06N0303 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0203 W1_H02E0401 +arc: H00L0000 E1_H02W0201 +arc: H00R0000 H02E0601 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 H06E0003 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0103 N1_V01S0100 +arc: V00B0000 V02N0201 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 H02E0101 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 V06S0003 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0601 V01N0001 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0103 S3_V06N0103 +arc: A0 H00L0100 +arc: A2 H02W0701 +arc: A4 H02E0501 +arc: A6 N1_V01N0101 +arc: B0 V02S0301 +arc: B1 H00R0100 +arc: B2 V02S0101 +arc: B3 H02E0301 +arc: B4 S1_V02N0701 +arc: B5 V02N0501 +arc: B6 H02W0101 +arc: B7 V01S0000 +arc: C0 H00L0000 +arc: C1 S1_V02N0601 +arc: C2 H00L0000 +arc: C3 V02N0601 +arc: C4 N1_V02S0201 +arc: C5 E1_H02W0601 +arc: C6 N1_V02S0201 +arc: C7 V00B0100 +arc: CE0 S1_V02N0201 +arc: CE1 S1_V02N0201 +arc: CE2 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 V00T0100 +arc: D2 V02N0201 +arc: D3 V00T0100 +arc: D4 V00B0000 +arc: D5 E1_H02W0001 +arc: D6 V00B0000 +arc: D7 E1_H02W0001 +arc: E1_H01E0001 F4 +arc: E1_H01E0101 Q3 +arc: E1_H02E0001 F0 +arc: E1_H02E0301 Q3 +arc: E3_H06E0103 F2 +arc: E3_H06E0303 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 Q1 +arc: H00R0100 Q5 +arc: H01W0100 Q5 +arc: LSR0 V00T0000 +arc: LSR1 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 Q7 +arc: N1_V01N0101 Q7 +arc: N1_V02N0501 Q7 +arc: S1_V02S0301 Q3 +arc: S3_V06S0303 Q5 +arc: V01S0000 Q1 +arc: V01S0100 Q1 +word: SLICEA.K0.INIT 0101101000111100 +word: SLICEA.K1.INIT 1100110011110000 +word: SLICED.K0.INIT 0101101000111100 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEC.K0.INIT 0101101000111100 +word: SLICEC.K1.INIT 1100110011110000 +word: SLICEB.K0.INIT 0101101000111100 +word: SLICEB.K1.INIT 1100110011110000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 + +.tile R42C12:PLC2 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0201 H01E0001 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0701 W1_H02E0601 +arc: E3_H06E0203 S3_V06N0203 +arc: H00L0000 H02W0201 +arc: H00R0000 V02S0401 +arc: H00R0100 V02N0501 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0203 H06E0203 +arc: V00B0000 V02S0201 +arc: V00B0100 V02S0301 +arc: V00T0000 H02W0201 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 H01E0101 +arc: W3_H06W0103 E1_H02W0201 +arc: W3_H06W0303 V01N0101 +arc: A0 H00L0100 +arc: A2 E1_H01E0001 +arc: A4 V02N0101 +arc: A6 N1_V01N0101 +arc: B0 H02W0301 +arc: B1 V02S0101 +arc: B2 N1_V02S0101 +arc: B3 H01W0100 +arc: B4 H00R0000 +arc: B5 V01S0000 +arc: B6 V00B0100 +arc: B7 N1_V02S0701 +arc: C0 H00L0000 +arc: C1 S1_V02N0401 +arc: C2 H00L0000 +arc: C3 H00R0100 +arc: C4 V00T0000 +arc: C5 V00T0100 +arc: C6 V00T0000 +arc: C7 H02W0401 +arc: CE0 S1_V02N0201 +arc: CE1 S1_V02N0201 +arc: CE2 V02S0601 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0201 +arc: D1 V02S0001 +arc: D2 V02S0201 +arc: D3 V02S0001 +arc: D4 V00B0000 +arc: D5 E1_H02W0001 +arc: D6 V00B0000 +arc: D7 E1_H02W0001 +arc: E1_H01E0001 Q3 +arc: E1_H01E0101 Q5 +arc: E1_H02E0001 F2 +arc: E1_H02E0501 Q5 +arc: E3_H06E0003 Q3 +arc: E3_H06E0103 Q1 +arc: E3_H06E0303 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 Q1 +arc: H01W0100 Q1 +arc: LSR1 H02E0501 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F4 +arc: N1_V01N0101 Q7 +arc: S1_V02S0201 F0 +arc: V01S0000 Q3 +arc: V01S0100 Q5 +word: SLICED.K0.INIT 0101101000111100 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEB.K0.INIT 0101101000111100 +word: SLICEB.K1.INIT 1100110011110000 +word: SLICEA.K0.INIT 0101101000111100 +word: SLICEA.K1.INIT 1100110011110000 +word: SLICEC.K0.INIT 0101101000111100 +word: SLICEC.K1.INIT 1100110011110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 + +.tile R42C13:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 W1_H02E0501 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0303 W1_H02E0501 +arc: H00L0000 S1_V02N0201 +arc: H00R0000 N1_V02S0401 +arc: H00R0100 V02S0501 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0401 S3_V06N0203 +arc: S3_V06S0103 W3_H06E0103 +arc: V00B0000 V02N0201 +arc: V00B0100 N1_V02S0301 +arc: V00T0100 H02W0101 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 V06S0003 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0601 V01N0001 +arc: W3_H06W0103 E1_H02W0201 +arc: W3_H06W0203 E1_H02W0701 +arc: W3_H06W0303 E1_H02W0501 +arc: A0 E1_H01E0001 +arc: A2 H00L0100 +arc: A4 N1_V01N0101 +arc: A6 V02N0301 +arc: B0 H02W0301 +arc: B1 V02N0301 +arc: B2 H00R0000 +arc: B3 H00R0100 +arc: B4 V02S0701 +arc: B5 V01S0000 +arc: B6 V00B0100 +arc: B7 H02E0301 +arc: C0 H00L0000 +arc: C1 E1_H02W0601 +arc: C2 H00L0000 +arc: C3 V02N0601 +arc: C4 S1_V02N0201 +arc: C5 H02W0601 +arc: C6 S1_V02N0201 +arc: C7 V00T0100 +arc: CE0 H02E0101 +arc: CE1 H02E0101 +arc: CE2 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 H02W0001 +arc: D2 V02N0201 +arc: D3 H02W0001 +arc: D4 V00B0000 +arc: D5 H02W0001 +arc: D6 V00B0000 +arc: D7 H02W0001 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 F0 +arc: E1_H02E0601 F6 +arc: E1_H02E0701 Q7 +arc: E3_H06E0103 F2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 Q3 +arc: H01W0100 Q3 +arc: LSR0 W1_H02E0501 +arc: LSR1 W1_H02E0501 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0101 Q5 +arc: S1_V02S0101 Q1 +arc: S1_V02S0501 Q5 +arc: S1_V02S0601 F4 +arc: V01S0000 Q1 +arc: V01S0100 Q7 +word: SLICED.K0.INIT 0101101000111100 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEC.K0.INIT 0101101000111100 +word: SLICEC.K1.INIT 1100110011110000 +word: SLICEA.K0.INIT 0101101000111100 +word: SLICEA.K1.INIT 1100110011110000 +word: SLICEB.K0.INIT 0101101000111100 +word: SLICEB.K1.INIT 1100110011110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 + +.tile R42C14:PLC2 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0103 H01E0101 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 E1_H02W0201 +arc: H00R0000 N1_V02S0401 +arc: H00R0100 E1_H02W0701 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0501 W3_H06E0303 +arc: N1_V02N0601 E1_H02W0601 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0501 W1_H02E0501 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0103 N1_V02S0101 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0000 H02E0401 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 V06S0003 +arc: W1_H02W0501 W3_H06E0303 +arc: W1_H02W0601 V01N0001 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 E1_H01W0100 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0303 +arc: A0 E1_H01E0001 +arc: A2 H00L0100 +arc: A4 V00B0000 +arc: A6 N1_V01N0101 +arc: B0 N1_V02S0301 +arc: B1 V02S0301 +arc: B2 V02N0101 +arc: B3 H02E0301 +arc: B4 H00R0000 +arc: B5 V00B0100 +arc: B6 N1_V02S0701 +arc: B7 V01S0000 +arc: C0 H00L0000 +arc: C1 V02N0401 +arc: C2 H00L0000 +arc: C3 E1_H02W0401 +arc: C4 V00T0000 +arc: C5 V00T0100 +arc: C6 V00T0000 +arc: C7 V02N0001 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 S1_V02N0601 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 E1_H02W0001 +arc: D2 H02E0201 +arc: D3 E1_H02W0001 +arc: D4 H02E0201 +arc: D5 E1_H02W0001 +arc: D6 H02E0201 +arc: D7 E1_H02W0001 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 F4 +arc: E1_H02E0001 F2 +arc: E1_H02E0101 Q3 +arc: E1_H02E0501 Q7 +arc: E3_H06E0003 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 Q3 +arc: H01W0000 Q5 +arc: LSR0 H02E0501 +arc: LSR1 H02E0501 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 F6 +arc: N1_V01N0101 Q7 +arc: N1_V02N0701 Q5 +arc: V00B0100 Q7 +arc: V01S0000 Q1 +arc: V01S0100 Q1 +word: SLICEC.K0.INIT 0101101000111100 +word: SLICEC.K1.INIT 1100110011110000 +word: SLICEB.K0.INIT 0101101000111100 +word: SLICEB.K1.INIT 1100110011110000 +word: SLICED.K0.INIT 0101101000111100 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEA.K0.INIT 0101101000111100 +word: SLICEA.K1.INIT 1100110011110000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 + +.tile R42C15:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 H01E0101 +arc: E1_H02E0601 W1_H02E0601 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 N1_V01S0000 +arc: E3_H06E0303 W3_H06E0203 +arc: H00R0100 H02E0701 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0003 S3_V06N0003 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0301 H06E0003 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0203 W3_H06E0203 +arc: V00B0100 V02N0101 +arc: V00T0000 H02W0201 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0201 E3_H06W0103 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0601 V02N0601 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 E1_H01W0100 +arc: W3_H06W0203 E3_H06W0203 +arc: A0 E1_H02W0701 +arc: A2 V00T0000 +arc: B2 V02S0101 +arc: B3 H02W0301 +arc: B4 E1_H02W0101 +arc: B5 V00B0100 +arc: B6 E1_H02W0301 +arc: B7 V02N0701 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q4 +arc: H01W0100 Q3 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q7 +arc: V01S0000 Q2 +arc: W1_H02W0701 Q5 +arc: W3_H06W0303 Q6 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R42C16:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0701 W1_H02E0701 +arc: E3_H06E0103 N1_V01S0100 +arc: E3_H06E0303 W3_H06E0303 +arc: H00R0000 W1_H02E0401 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0101 W1_H02E0101 +arc: S3_V06S0203 E1_H01W0000 +arc: V00B0100 V02S0101 +arc: V00T0000 V02S0601 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0203 S3_V06N0203 +arc: B0 H00R0100 +arc: B1 W1_H02E0301 +arc: B2 H02E0101 +arc: B3 E1_H01W0100 +arc: B4 V02S0701 +arc: B5 V02S0501 +arc: B6 V00B0100 +arc: B7 V00T0000 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q3 +arc: H01W0100 Q2 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S3_V06S0303 Q6 +arc: V01S0000 Q4 +arc: V01S0100 Q7 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q1 +arc: W3_H06W0303 Q5 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R42C17:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 S3_V06N0203 +arc: E3_H06E0103 V06N0103 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 E1_H02W0001 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 H02E0701 +arc: H01W0100 W3_H06E0303 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0101 E3_H06W0103 +arc: S1_V02S0201 V01N0001 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 N1_V02S0601 +arc: V00B0000 W1_H02E0401 +arc: V00T0000 H02E0001 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0601 E1_H01W0000 +arc: W1_H02W0701 S1_V02N0701 +arc: B0 V00B0000 +arc: B1 E1_H02W0101 +arc: B2 H00R0000 +arc: B3 H00L0000 +arc: B4 V02N0701 +arc: B5 W1_H02E0301 +arc: B6 V00T0000 +arc: B7 V02S0501 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0203 Q7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q6 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q3 +arc: N1_V02N0201 Q0 +arc: N1_V02N0501 Q5 +arc: S3_V06S0103 Q1 +arc: W1_H02W0201 Q2 +arc: W3_H06W0203 Q4 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R42C18:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0601 H01E0001 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0303 V06S0303 +arc: H00L0000 H02E0001 +arc: H00R0000 E1_H02W0601 +arc: H00R0100 W1_H02E0501 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 S1_V02N0601 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0601 N1_V02S0301 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 V02S0001 +arc: V00B0100 V02N0101 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0203 +arc: B0 V02S0101 +arc: B1 E1_H02W0301 +arc: B2 V02S0301 +arc: B3 H00L0000 +arc: B4 H02E0301 +arc: B5 V00B0100 +arc: B6 H02W0301 +arc: B7 V00B0000 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 H00R0100 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0301 Q1 +arc: E3_H06E0003 Q0 +arc: E3_H06E0203 Q7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q6 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0201 Q2 +arc: S1_V02S0301 Q3 +arc: V01S0000 Q5 +arc: V01S0100 Q4 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R42C19:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0301 E3_H06W0003 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 V01N0101 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W1_H02E0101 +arc: E3_H06E0303 H01E0101 +arc: H00L0100 V02N0101 +arc: H00R0000 H02E0601 +arc: H00R0100 H02E0501 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 H06E0303 +arc: S1_V02S0101 N1_V02S0101 +arc: S3_V06S0103 N1_V02S0201 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 W1_H02E0201 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0301 V02N0301 +arc: W3_H06W0203 E3_H06W0103 +arc: A7 N1_V01N0101 +arc: B0 H02E0101 +arc: B1 V00T0000 +arc: B6 V00B0000 +arc: B7 H02W0101 +arc: C6 V00B0100 +arc: C7 N1_V02S0001 +arc: CE0 H00R0100 +arc: CE2 W1_H02E0101 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D6 H00L0100 +arc: D7 V02S0601 +arc: E3_H06E0203 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: M4 E1_H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V01N0101 Q4 +arc: S3_V06S0003 Q0 +arc: V00B0000 Q4 +arc: V01S0100 Q1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1110101000101010 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001010 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R42C20:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0701 V01N0101 +arc: E3_H06E0203 W1_H02E0701 +arc: H00L0000 E1_H02W0001 +arc: H00L0100 H02W0101 +arc: H00R0000 V02N0601 +arc: H00R0100 W1_H02E0701 +arc: H01W0000 W3_H06E0103 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0003 S1_V02N0301 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0301 W1_H02E0301 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 V02S0001 +arc: V00T0000 H02E0001 +arc: V00T0100 H02W0301 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0601 W3_H06E0303 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0203 E1_H01W0000 +arc: A0 H00L0000 +arc: A5 V02S0301 +arc: A6 V02N0101 +arc: A7 V02N0301 +arc: B0 H00R0100 +arc: B1 H00R0100 +arc: B5 V02N0501 +arc: B6 V02S0501 +arc: B7 E1_H02W0301 +arc: C0 H00L0100 +arc: C1 W1_H02E0401 +arc: C4 V02S0201 +arc: C5 H01E0001 +arc: C6 E1_H01E0101 +arc: C7 V00T0000 +arc: CE1 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 S1_V02N0201 +arc: D4 H02W0001 +arc: D5 H02W0001 +arc: D6 H02W0201 +arc: D7 S1_V02N0601 +arc: E1_H01E0001 F6 +arc: E1_H01E0101 F7 +arc: E3_H06E0103 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q2 +arc: M2 V00T0100 +arc: M4 V00B0000 +arc: MUXCLK1 CLK0 +arc: S1_V02S0601 F4 +arc: W3_H06W0003 F0 +arc: W3_H06W0303 F6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0001001101011111 +word: SLICEA.K1.INIT 0000000000000011 +word: SLICED.K0.INIT 0111000000000000 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEC.K0.INIT 1111111100001111 +word: SLICEC.K1.INIT 1010100000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 + +.tile R42C21:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 H01E0001 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0601 V01N0001 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0303 N1_V01S0100 +arc: H00L0000 W1_H02E0001 +arc: H00R0000 S1_V02N0601 +arc: H00R0100 V02S0501 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 H02W0701 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0601 S3_V06N0303 +arc: V00B0000 H02W0401 +arc: V00B0100 V02S0301 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0501 S1_V02N0501 +arc: W3_H06W0103 E1_H02W0101 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E1_H01W0100 +arc: A0 V01N0101 +arc: A1 S1_V02N0701 +arc: A2 W1_H02E0501 +arc: A3 V00T0000 +arc: A5 H02E0501 +arc: A6 H02E0501 +arc: A7 H02W0501 +arc: B0 V02N0301 +arc: B1 W1_H02E0301 +arc: B2 V02N0301 +arc: B3 S1_V02N0101 +arc: B5 H00R0000 +arc: B6 W1_H02E0101 +arc: B7 V02S0701 +arc: C0 H00R0100 +arc: C1 H00L0000 +arc: C2 H00L0100 +arc: C3 H00L0000 +arc: C5 W1_H02E0401 +arc: C6 H02W0601 +arc: C7 V00T0100 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0001 +arc: D1 E1_H02W0001 +arc: D2 V01S0100 +arc: D3 E1_H02W0001 +arc: D5 V02N0601 +arc: D6 V00B0000 +arc: D7 F2 +arc: E1_H01E0001 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F3 +arc: H01W0000 F2 +arc: H01W0100 Q7 +arc: LSR1 V00B0100 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0101 F1 +arc: S3_V06S0303 F6 +arc: V01S0100 F5 +arc: W3_H06W0003 F0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0001001101011111 +word: SLICEB.K0.INIT 0111000000000000 +word: SLICEB.K1.INIT 0001010100111111 +word: SLICED.K0.INIT 0011001101011111 +word: SLICED.K1.INIT 0010101001101110 +word: SLICEA.K0.INIT 0111000000000000 +word: SLICEA.K1.INIT 0001001101011111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R42C22:PLC2 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 V02N0701 +arc: H00L0000 S1_V02N0201 +arc: H00R0000 V02N0401 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 E1_H01W0000 +arc: S1_V02S0501 V01N0101 +arc: S3_V06S0103 W3_H06E0103 +arc: V00B0000 W1_H02E0401 +arc: V00B0100 H02W0501 +arc: V00T0000 V02N0601 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 H01E0101 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0103 E1_H01W0100 +arc: A0 W1_H02E0701 +arc: A1 E1_H02W0501 +arc: A2 H00L0100 +arc: A3 V02S0701 +arc: A4 N1_V01N0101 +arc: A5 V02S0101 +arc: A7 V00T0100 +arc: B0 H02E0301 +arc: B1 V00B0000 +arc: B2 H02W0301 +arc: B3 H02E0101 +arc: B4 N1_V02S0501 +arc: B5 E1_H02W0101 +arc: B7 V00T0000 +arc: C0 N1_V01N0001 +arc: C1 H00L0000 +arc: C2 E1_H02W0601 +arc: C3 H02E0401 +arc: C4 E1_H02W0601 +arc: C5 H02E0401 +arc: C7 H02W0401 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 H02W0001 +arc: D2 H00R0000 +arc: D3 H02E0201 +arc: D4 V02N0401 +arc: D5 S1_V02N0401 +arc: D7 E1_H02W0001 +arc: E1_H01E0001 F4 +arc: E1_H01E0101 F2 +arc: E1_H02E0201 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0100 Q3 +arc: H01W0000 F7 +arc: H01W0100 F0 +arc: LSR0 E1_H02W0301 +arc: LSR1 E1_H02W0301 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR0 +arc: N1_V01N0001 F1 +arc: N1_V01N0101 Q5 +arc: S1_V02S0701 Q5 +arc: V00T0100 Q3 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEC.K0.INIT 0011001101011111 +word: SLICEC.K1.INIT 0010101001101110 +word: SLICEB.K0.INIT 0011001101011111 +word: SLICEB.K1.INIT 0100110001101110 +word: SLICEA.K0.INIT 0111000000000000 +word: SLICEA.K1.INIT 0001010100111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R42C23:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0201 H01E0001 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0501 H01E0101 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 W1_H02E0601 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0303 +arc: H00R0000 E1_H02W0601 +arc: H00R0100 H02W0701 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0103 H06E0103 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0601 S3_V06N0303 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 H02W0401 +arc: V00B0100 V02N0301 +arc: V00T0000 H02W0201 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 S1_V02N0401 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0203 E3_H06W0103 +arc: A5 N1_V01N0101 +arc: A6 N1_V01N0101 +arc: A7 V02S0101 +arc: B1 V00B0000 +arc: B5 H02E0301 +arc: B6 H02E0101 +arc: B7 V02N0701 +arc: C0 N1_V01N0001 +arc: C1 V02N0601 +arc: C5 H02E0601 +arc: C6 H02W0601 +arc: C7 V00B0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 E1_H02W0001 +arc: D5 H02W0001 +arc: D6 V02N0401 +arc: D7 H02E0201 +arc: E1_H01E0001 F6 +arc: E1_H02E0101 Q1 +arc: E3_H06E0003 F0 +arc: E3_H06E0103 Q1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F0 +arc: H01W0100 F0 +arc: LSR0 H02W0301 +arc: LSR1 H02W0301 +arc: M2 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 Q1 +arc: N1_V01N0101 Q7 +arc: N1_V02N0001 F0 +arc: S1_V02S0101 Q1 +arc: S3_V06S0003 F0 +arc: S3_V06S0103 Q1 +arc: V01S0000 Q2 +arc: W1_H02W0101 Q1 +arc: W1_H02W0501 F5 +arc: W3_H06W0003 F0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0001001101011111 +word: SLICED.K0.INIT 0011001101011111 +word: SLICED.K1.INIT 0111000001111010 +word: SLICEA.K0.INIT 1111111111110000 +word: SLICEA.K1.INIT 1100000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R42C24:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 H01E0001 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0601 N1_V01S0000 +arc: H00R0000 S1_V02N0601 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 N1_V01S0100 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 N1_V02S0601 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 H02W0401 +arc: V00B0100 V02S0301 +arc: V00T0000 V02N0601 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 E1_H02W0701 +arc: W3_H06W0003 V06N0003 +arc: A1 E1_H01E0001 +arc: A2 S1_V02N0701 +arc: A3 V02N0701 +arc: A4 W1_H02E0701 +arc: A5 H02W0701 +arc: A6 N1_V01N0101 +arc: A7 V02S0101 +arc: B1 V00B0000 +arc: B2 V02N0301 +arc: B3 H00R0000 +arc: B4 H02E0301 +arc: B5 V02S0701 +arc: B6 H02W0101 +arc: B7 V02N0501 +arc: C1 W1_H02E0601 +arc: C2 N1_V01N0001 +arc: C3 S1_V02N0401 +arc: C4 E1_H01E0101 +arc: C5 S1_V02N0201 +arc: C6 V00T0000 +arc: C7 V02S0201 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D1 V02N0001 +arc: D2 E1_H02W0201 +arc: D3 H02E0001 +arc: D4 H00L0100 +arc: D5 H02E0001 +arc: D6 V02N0401 +arc: D7 H01W0000 +arc: E1_H01E0001 Q7 +arc: E1_H01E0101 F5 +arc: E3_H06E0103 F2 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 F4 +arc: LSR0 V00B0100 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 F3 +arc: N1_V01N0101 Q7 +arc: V01S0100 F6 +arc: W3_H06W0103 F2 +arc: W3_H06W0203 F4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0001001101011111 +word: SLICED.K0.INIT 0011001101011111 +word: SLICED.K1.INIT 0111000001111010 +word: SLICEB.K0.INIT 0111000000000000 +word: SLICEB.K1.INIT 0001010100111111 +word: SLICEC.K0.INIT 0111000000000000 +word: SLICEC.K1.INIT 0001010100111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R42C25:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0601 W3_H06E0303 +arc: E3_H06E0103 W1_H02E0101 +arc: H00L0000 S1_V02N0201 +arc: H00L0100 E1_H02W0301 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 V02N0501 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 S1_V02N0701 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0301 N1_V02S0301 +arc: V00B0000 V02N0001 +arc: V00B0100 E1_H02W0701 +arc: V00T0000 V02S0401 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0301 V06N0003 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 E1_H01W0100 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 V01N0101 +arc: W3_H06W0003 V01N0001 +arc: A1 H00L0100 +arc: A2 W1_H02E0701 +arc: A3 V00B0000 +arc: B1 H00R0100 +arc: B2 V02S0301 +arc: B3 V02N0101 +arc: B4 S1_V02N0501 +arc: B6 V00T0000 +arc: C1 H02W0601 +arc: C2 N1_V01N0001 +arc: C3 H00L0000 +arc: C4 V00B0100 +arc: C6 V00B0100 +arc: CE2 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D1 H02E0001 +arc: D2 V02S0001 +arc: D3 H00R0000 +arc: D4 W1_H02E0201 +arc: D5 V02N0401 +arc: D6 H02E0201 +arc: D7 H02W0001 +arc: E1_H01E0001 F1 +arc: E3_H06E0303 Q6 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: LSR0 H02E0301 +arc: LSR1 H02E0301 +arc: M4 H02W0401 +arc: M6 H02W0401 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F3 +arc: N1_V02N0001 F2 +arc: S3_V06S0203 Q4 +arc: S3_V06S0303 Q6 +arc: W3_H06W0103 F2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0001001101011111 +word: SLICEB.K0.INIT 0111000000000000 +word: SLICEB.K1.INIT 0001010100111111 +word: SLICED.K0.INIT 1100000011111111 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 1100000011111111 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R42C26:PLC2 +arc: E1_H02E0201 V06N0103 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0103 S3_V06N0103 +arc: H00R0000 H02W0601 +arc: H00R0100 S1_V02N0501 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 H01E0101 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 W1_H02E0601 +arc: S3_V06S0103 H06E0103 +arc: V00B0000 S1_V02N0201 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 V02N0701 +arc: A7 V02S0301 +arc: B6 V00T0000 +arc: B7 V00B0000 +arc: C6 E1_H02W0601 +arc: C7 E1_H02W0401 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H02W0101 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D6 E1_H02W0201 +arc: D7 V02N0601 +arc: E1_H01E0101 Q0 +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q0 +arc: H01W0100 Q4 +arc: M0 H02E0601 +arc: M2 V00T0100 +arc: M4 E1_H01E0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V02N0001 Q0 +arc: N1_V02N0201 Q0 +arc: N1_V02N0401 Q6 +arc: S3_V06S0203 Q4 +arc: V01S0100 Q2 +arc: W1_H02W0501 F7 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1111100001110000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 + +.tile R42C27:PLC2 +arc: E1_H02E0101 W1_H02E0101 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 W3_H06E0103 +arc: H00L0000 E1_H02W0201 +arc: H00R0000 E1_H02W0601 +arc: H00R0100 V02N0701 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0003 V01N0001 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 H02E0401 +arc: S3_V06S0003 N1_V02S0301 +arc: S3_V06S0103 N1_V02S0201 +arc: S3_V06S0203 N1_V02S0701 +arc: V00B0100 H02W0701 +arc: V00T0000 V02N0401 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 V02N0701 +arc: A0 H00L0100 +arc: A1 H02E0701 +arc: B0 E1_H02W0101 +arc: B1 V02N0301 +arc: B2 N1_V02S0101 +arc: C0 V02N0601 +arc: C1 V02S0601 +arc: C2 H00R0100 +arc: C5 V02N0201 +arc: CE0 H00L0000 +arc: CE1 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 H00R0000 +arc: D2 F0 +arc: D3 S1_V02N0201 +arc: D5 W1_H02E0001 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F5 F5_SLICE +arc: H00L0100 Q1 +arc: LSR1 V00B0100 +arc: M2 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: V01S0000 Q2 +arc: W1_H02W0301 Q1 +arc: W3_H06W0103 Q2 +arc: W3_H06W0303 F5 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111111100001111 +word: SLICEA.K0.INIT 0011001101011111 +word: SLICEA.K1.INIT 0111000001111010 +word: SLICEB.K0.INIT 1100000011111111 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R42C28:PLC2 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0601 S3_V06N0303 +arc: E1_H02E0701 V02N0701 +arc: H00R0100 V02N0501 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 V01N0101 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0501 H02W0501 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 V02S0201 +arc: V00B0100 V02N0301 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 V02S0701 +arc: CE0 H02E0101 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q6 +arc: H01W0000 Q4 +arc: H01W0100 Q0 +arc: M0 V00T0100 +arc: M2 V00B0000 +arc: M4 V00B0100 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: W3_H06W0103 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R42C29:PLC2 +arc: E1_H02E0101 V06S0103 +arc: E3_H06E0303 V06S0303 +arc: H00L0100 V02N0101 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0701 S1_V02N0601 +arc: S1_V02S0601 S3_V06N0303 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 H02E0401 +arc: V00B0100 V02S0301 +arc: V00T0000 V02S0601 +arc: V00T0100 H02W0101 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0601 E1_H01W0000 +arc: W1_H02W0701 W3_H06E0203 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q2 +arc: E1_H01E0101 Q0 +arc: E1_H02E0401 Q6 +arc: E1_H02E0601 Q4 +arc: E3_H06E0203 Q4 +arc: H01W0000 Q0 +arc: M0 V00T0100 +arc: M2 V00B0000 +arc: M4 V00T0000 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V01N0101 Q4 +arc: N1_V02N0201 Q2 +arc: S1_V02S0001 Q2 +arc: S3_V06S0003 Q0 +arc: V01S0100 Q6 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q4 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R42C2:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0401 V02N0401 +arc: H00L0000 S1_V02N0001 +arc: H00R0000 V02N0401 +arc: H00R0100 V02S0701 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0401 S1_V02N0101 +arc: S1_V02S0301 H02W0301 +arc: V00B0000 S1_V02N0201 +arc: V00T0000 V02N0601 +arc: A5 N1_V01N0101 +arc: A7 N1_V01N0101 +arc: B5 E1_H02W0301 +arc: B6 H02W0301 +arc: B7 E1_H02W0301 +arc: C1 H00L0000 +arc: C5 V00T0000 +arc: C6 H02W0601 +arc: C7 V00T0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D1 V02N0001 +arc: D5 V00B0000 +arc: D6 H00R0100 +arc: D7 V00B0000 +arc: E3_H06E0103 F1 +arc: E3_H06E0203 F7 +arc: E3_H06E0303 F5 +arc: F1 F1_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000111100000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0011001101011111 +word: SLICED.K0.INIT 0000110000001111 +word: SLICED.K1.INIT 0101010100111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 + +.tile R42C30:PLC2 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0701 H01E0101 +arc: H00L0000 N1_V02S0201 +arc: H00R0000 V02N0601 +arc: H00R0100 V02N0701 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0301 H06E0003 +arc: S1_V02S0601 W1_H02E0601 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 H01E0001 +arc: S3_V06S0303 N1_V02S0601 +arc: V00T0000 N1_V02S0601 +arc: W1_H02W0101 V06S0103 +arc: A2 W1_H02E0701 +arc: A3 V00B0000 +arc: B2 H01W0100 +arc: B3 H00R0000 +arc: C2 W1_H02E0601 +arc: C3 V02S0401 +arc: CE0 H00R0100 +arc: CE2 H00L0000 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D2 V02S0201 +arc: D3 V02N0201 +arc: E1_H01E0001 F3 +arc: E1_H02E0001 F2 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: H01W0100 Q4 +arc: M0 V00T0000 +arc: M4 H02E0401 +arc: M6 W1_H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0201 Q0 +arc: S1_V02S0401 Q6 +arc: V00B0000 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1011000010111011 +word: SLICEB.K1.INIT 1010001011110011 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R42C31:PLC2 +arc: E1_H02E0601 W1_H02E0601 +arc: H00L0000 H02E0201 +arc: H00L0100 H02W0101 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 H01E0001 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 N1_V02S0301 +arc: V00B0000 H02W0601 +arc: V00B0100 H02E0701 +arc: V00T0000 H02E0001 +arc: V00T0100 W1_H02E0101 +arc: W1_H02W0101 N3_V06S0103 +arc: A0 H00L0100 +arc: A1 E1_H02W0501 +arc: A7 H00R0000 +arc: B0 V00B0000 +arc: B1 E1_H01W0100 +arc: B7 V01S0000 +arc: C0 E1_H01W0000 +arc: C1 N1_V02S0401 +arc: C7 E1_H02W0601 +arc: CE1 H00L0000 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V01S0000 +arc: D1 N1_V01S0000 +arc: D7 S1_V02N0601 +arc: E1_H01E0001 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F6 F5D_SLICE +arc: H00R0000 Q4 +arc: M2 V00B0100 +arc: M4 V00T0100 +arc: M6 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q4 +arc: N1_V02N0301 F1 +arc: S1_V02S0201 F0 +arc: V01S0000 Q2 +arc: V01S0100 Q2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1011000010111011 +word: SLICEA.K1.INIT 1100001101000001 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100111101000101 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 + +.tile R42C32:PLC2 +arc: H00L0000 N1_V02S0201 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 S1_V02N0601 +arc: S1_V02S0001 H01E0001 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 N1_V02S0201 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0601 H06E0303 +arc: S3_V06S0203 H06E0203 +arc: V00B0000 V02N0001 +arc: V00B0100 W1_H02E0501 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0201 W3_H06E0103 +arc: CE0 N1_V02S0201 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q0 +arc: H01W0000 Q0 +arc: H01W0100 Q4 +arc: M0 H02E0601 +arc: M4 V00B0000 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V01S0100 Q6 +arc: W1_H02W0601 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R42C33:PLC2 +arc: W1_H02W0501 H01E0101 +arc: W1_H02W0601 V02N0601 + +.tile R42C34:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R42C37:PLC2 +arc: N3_V06N0003 S3_V06N0003 + +.tile R42C3:PLC2 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0601 E1_H01W0000 +arc: H00R0000 H02E0401 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0301 S3_V06N0003 +arc: S1_V02S0001 N1_V01S0000 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0601 N1_V02S0601 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0100 V02S0101 +arc: V00T0000 V02S0401 +arc: V00T0100 V02S0701 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0601 E1_H01W0000 +arc: A3 V00B0000 +arc: A5 N1_V01N0101 +arc: B0 H02W0101 +arc: B1 H02E0101 +arc: B2 S1_V02N0301 +arc: B3 H00L0000 +arc: B4 S1_V02N0701 +arc: B5 V01S0000 +arc: B6 H02E0101 +arc: C0 S1_V02N0401 +arc: C1 V02S0601 +arc: C2 E1_H01W0000 +arc: C3 V02N0401 +arc: C4 V00T0000 +arc: C5 H02W0401 +arc: C6 V00B0100 +arc: CE1 H00R0000 +arc: CE2 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 N1_V02S0201 +arc: D2 H02E0201 +arc: D3 E1_H02W0001 +arc: D4 S1_V02N0601 +arc: D5 E1_H02W0001 +arc: D6 F0 +arc: D7 H00L0100 +arc: E1_H01E0101 Q6 +arc: E3_H06E0003 F3 +arc: E3_H06E0303 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 Q2 +arc: H00L0100 F1 +arc: H01W0000 F1 +arc: M6 W1_H02E0401 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: V00B0000 Q4 +arc: V01S0000 Q4 +arc: V01S0100 F0 +word: SLICEB.K0.INIT 0000110000001111 +word: SLICEB.K1.INIT 0011001101011111 +word: SLICEA.K0.INIT 1111001111000000 +word: SLICEA.K1.INIT 0011111100001100 +word: SLICEC.K0.INIT 0000110000111111 +word: SLICEC.K1.INIT 0011001101011111 +word: SLICED.K0.INIT 0000110000111111 +word: SLICED.K1.INIT 0000000011111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R42C40:PLC2 +arc: N3_V06N0003 S3_V06N0003 + +.tile R42C43:PLC2 +arc: N3_V06N0003 S3_V06N0003 + +.tile R42C4:PLC2 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0701 E1_H01W0100 +arc: H00L0100 V02S0301 +arc: H00R0000 H02W0601 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 H02W0601 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0001 H02E0001 +arc: V00B0100 H02W0501 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 V02N0401 +arc: A1 E1_H01E0001 +arc: A7 V00T0100 +arc: B0 S1_V02N0101 +arc: B1 V00T0000 +arc: B3 S1_V02N0101 +arc: B7 V00T0000 +arc: C0 H00L0100 +arc: C1 V02N0401 +arc: C2 S1_V02N0401 +arc: C3 H02E0601 +arc: C7 V02N0001 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 S1_V02N0001 +arc: D2 V02S0201 +arc: D3 V00B0100 +arc: D7 H00R0100 +arc: E1_H01E0001 Q3 +arc: E1_H02E0001 F2 +arc: E3_H06E0203 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F2 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N1_V01N0101 F2 +arc: S1_V02S0201 F2 +arc: S3_V06S0103 F1 +arc: V00T0000 Q0 +arc: V00T0100 Q3 +arc: V01S0000 F2 +arc: V01S0100 F2 +arc: W1_H02W0001 F2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0101010100111111 +word: SLICEA.K0.INIT 0011111100001100 +word: SLICEA.K1.INIT 0011001101011111 +word: SLICEB.K0.INIT 0000000011110000 +word: SLICEB.K1.INIT 0000110000001111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R42C5:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 V01N0101 +arc: H00R0100 E1_H02W0701 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 S3_V06N0203 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0501 N1_V02S0501 +arc: S1_V02S0601 V01N0001 +arc: V00B0000 V02S0001 +arc: V00B0100 V02S0101 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 V06N0303 +arc: A1 H00R0000 +arc: A3 E1_H01E0001 +arc: A5 H02E0701 +arc: A7 H00L0000 +arc: B0 V02S0101 +arc: B1 V00T0000 +arc: B2 V02S0101 +arc: B3 H01W0100 +arc: B4 V00B0100 +arc: B5 V02N0501 +arc: B6 V00B0100 +arc: B7 V01S0000 +arc: C0 N1_V01S0100 +arc: C1 V02N0601 +arc: C2 V02S0601 +arc: C3 V02N0601 +arc: C4 W1_H02E0601 +arc: C5 V01N0101 +arc: C6 W1_H02E0601 +arc: C7 V01N0101 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V02S0001 +arc: D1 S1_V02N0001 +arc: D2 N1_V01S0000 +arc: D3 S1_V02N0001 +arc: D4 V00B0000 +arc: D5 V02N0401 +arc: D6 H02E0201 +arc: D7 V02N0401 +arc: E1_H01E0001 Q4 +arc: E3_H06E0303 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H00R0000 Q6 +arc: H01W0100 Q2 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S3_V06S0003 F3 +arc: S3_V06S0103 F1 +arc: S3_V06S0203 F7 +arc: V00T0000 Q0 +arc: V01S0000 Q6 +arc: V01S0100 Q4 +word: SLICEB.K0.INIT 1100000011110011 +word: SLICEB.K1.INIT 0011001101011111 +word: SLICEA.K0.INIT 0000110000111111 +word: SLICEA.K1.INIT 0011001101011111 +word: SLICED.K0.INIT 0000110000001111 +word: SLICED.K1.INIT 0011001101011111 +word: SLICEC.K0.INIT 0000110000001111 +word: SLICEC.K1.INIT 0011001101011111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 + +.tile R42C6:PLC2 +arc: E1_H02E0201 V01N0001 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 V06N0303 +arc: E3_H06E0203 S3_V06N0203 +arc: H00R0000 H02E0401 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0601 S3_V06N0303 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0701 N1_V02S0701 +arc: V00B0000 V02S0201 +arc: V00B0100 H02E0501 +arc: V00T0000 V02S0401 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0001 V06S0003 +arc: A1 H00L0000 +arc: A3 H02E0701 +arc: A5 N1_V01N0101 +arc: A7 N1_V01S0100 +arc: B0 H02E0101 +arc: B1 V00B0000 +arc: B3 H00R0000 +arc: B5 N1_V01S0000 +arc: B7 H02E0301 +arc: C0 W1_H02E0401 +arc: C1 H02E0601 +arc: C2 V02S0601 +arc: C3 V02S0601 +arc: C5 H02E0601 +arc: C7 V00T0000 +arc: CE0 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0001 +arc: D1 H02E0001 +arc: D2 V00T0100 +arc: D3 V00T0100 +arc: D5 H02E0001 +arc: D7 H02E0001 +arc: E1_H02E0001 F2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: M2 V00B0100 +arc: MUXCLK0 CLK0 +arc: N1_V01N0101 Q0 +arc: S1_V02S0301 F1 +arc: S3_V06S0203 F7 +arc: S3_V06S0303 F5 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0101010100111111 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000111101110111 +word: SLICEA.K0.INIT 1111001111110000 +word: SLICEA.K1.INIT 0011001101011111 +word: SLICEB.K0.INIT 1111111100001111 +word: SLICEB.K1.INIT 1110000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R42C7:PLC2 +arc: E1_H02E0001 E1_H01W0000 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 V06S0103 +arc: E3_H06E0003 W1_H02E0001 +arc: H00L0000 E1_H02W0201 +arc: H00R0100 V02S0501 +arc: H01W0000 E3_H06W0103 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0701 W1_H02E0701 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0601 E3_H06W0303 +arc: S1_V02S0701 H02W0701 +arc: V00B0000 V02S0201 +arc: V00B0100 N1_V02S0101 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 V02S0501 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0701 V02N0701 +arc: B1 H02W0101 +arc: B2 H02E0301 +arc: B5 H00L0000 +arc: B6 N1_V01S0000 +arc: C1 N1_V02S0601 +arc: C2 E1_H02W0401 +arc: C4 V02S0001 +arc: C5 V00T0000 +arc: C6 S1_V02N0201 +arc: CLK0 G_HPBX0000 +arc: D1 H02E0001 +arc: D2 V02N0201 +arc: D3 H02W0201 +arc: D4 H00R0100 +arc: D5 H02E0201 +arc: D6 S1_V02N0401 +arc: D7 H00L0100 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 F4 +arc: E3_H06E0103 Q1 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F1 +arc: LSR0 V00B0000 +arc: LSR1 V00B0000 +arc: M2 V00B0100 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR2 LSR0 +arc: N1_V01N0001 F5 +arc: N1_V02N0601 F6 +arc: S1_V02S0501 F5 +arc: S3_V06S0303 Q5 +arc: V01S0000 F2 +arc: V01S0100 F4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1100000011001111 +word: SLICEC.K0.INIT 0000111100000000 +word: SLICEC.K1.INIT 1100000011001111 +word: SLICED.K0.INIT 1111110000001100 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111110000001100 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R42C8:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0601 E3_H06W0303 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 S3_V06N0303 +arc: H00L0100 H02W0301 +arc: H00R0000 V02N0601 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 N1_V01S0100 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0601 H02W0601 +arc: S3_V06S0203 N1_V01S0000 +arc: V00B0000 V02N0001 +arc: W1_H02W0101 E3_H06W0103 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0701 H01E0101 +arc: C6 S1_V02N0001 +arc: CE1 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0001 +arc: D1 E1_H02W0001 +arc: D2 E1_H02W0001 +arc: D3 E1_H02W0001 +arc: D4 N1_V02S0601 +arc: D5 N1_V02S0601 +arc: D6 E1_H02W0201 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F3 +arc: M0 V00B0000 +arc: M1 H02W0001 +arc: M2 V00B0000 +arc: M3 H00L0100 +arc: M4 V00B0000 +arc: M5 H02W0001 +arc: M6 V00B0000 +arc: MUXCLK1 CLK0 +arc: S3_V06S0003 Q3 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICED.K0.INIT 1111111100001111 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R42C9:PLC2 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 W3_H06E0303 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0303 H01E0101 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 E1_H02W0601 +arc: H00R0100 H02E0701 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 H06W0303 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 H02E0701 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0601 H06W0303 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0003 N1_V01S0000 +arc: V00B0000 V02S0001 +arc: V00B0100 V02S0101 +arc: V00T0000 H02E0001 +arc: V01S0000 S3_V06N0103 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0201 E3_H06W0103 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0601 E1_H02W0601 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E3_H06W0303 +arc: A0 H02E0501 +arc: A3 V02N0701 +arc: A7 S1_V02N0301 +arc: B0 V02N0301 +arc: B1 H02W0101 +arc: B3 H02E0101 +arc: B4 W1_H02E0101 +arc: B5 H02W0301 +arc: B6 V02S0701 +arc: B7 V00B0100 +arc: C0 V02S0401 +arc: C1 H02E0601 +arc: C3 H00L0100 +arc: C4 H02W0601 +arc: C5 V02N0001 +arc: C6 V02N0201 +arc: C7 H02W0401 +arc: CE0 H00R0100 +arc: CE1 H00R0000 +arc: CE2 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0201 +arc: D1 E1_H02W0201 +arc: D3 S1_V02N0201 +arc: D4 V02N0401 +arc: D5 W1_H02E0001 +arc: D6 W1_H02E0201 +arc: D7 S1_V02N0601 +arc: E1_H01E0001 F1 +arc: E1_H02E0401 Q4 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q7 +arc: H01W0100 Q1 +arc: M2 V00T0000 +arc: M4 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q7 +arc: N1_V02N0101 F1 +arc: N1_V02N0201 F0 +arc: N3_V06N0103 Q2 +arc: N3_V06N0303 F6 +arc: S3_V06S0103 Q2 +arc: S3_V06S0203 Q7 +arc: W3_H06W0103 Q2 +word: SLICED.K0.INIT 0011001100001111 +word: SLICED.K1.INIT 1110110010100000 +word: SLICEA.K0.INIT 0101000100000001 +word: SLICEA.K1.INIT 0000110000111111 +word: SLICEB.K0.INIT 1111111111111111 +word: SLICEB.K1.INIT 1111100010001000 +word: SLICEC.K0.INIT 1111110000001100 +word: SLICEC.K1.INIT 1111111111000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R43C10:PLC2 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0701 N1_V01S0100 +arc: E3_H06E0203 W1_H02E0701 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 V02S0401 +arc: H00R0100 S1_V02N0501 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0401 V01N0001 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 W1_H02E0401 +arc: V00B0100 V02S0301 +arc: V00T0000 V02N0401 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0301 V06N0003 +arc: W1_H02W0501 E1_H02W0501 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0303 E1_H02W0501 +arc: A1 H02W0701 +arc: A3 H02W0701 +arc: B1 H02E0301 +arc: B3 H02E0301 +arc: B4 E1_H02W0301 +arc: B5 V01S0000 +arc: B6 N1_V02S0701 +arc: C0 H00L0100 +arc: C1 H00L0100 +arc: C2 H00L0100 +arc: C3 H00L0100 +arc: C4 W1_H02E0601 +arc: C5 V00B0100 +arc: C6 H02E0401 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 H00R0000 +arc: D2 H02W0001 +arc: D3 H02W0001 +arc: D4 F2 +arc: D5 V00B0000 +arc: D6 S1_V02N0401 +arc: D7 H00R0100 +arc: E3_H06E0003 F0 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F6 +arc: LSR0 H02W0501 +arc: M0 V00T0000 +arc: M2 V00T0100 +arc: M6 E1_H02W0401 +arc: MUXCLK2 CLK0 +arc: MUXLSR2 LSR0 +arc: N1_V01N0001 F4 +arc: S3_V06S0203 Q4 +arc: V01S0000 F4 +arc: V01S0100 F5 +word: SLICEC.K0.INIT 1100000011001111 +word: SLICEC.K1.INIT 0000000000111111 +word: SLICED.K0.INIT 0000110011111100 +word: SLICED.K1.INIT 0000000011111111 +word: SLICEA.K0.INIT 1111111100001111 +word: SLICEA.K1.INIT 1110000000000000 +word: SLICEB.K0.INIT 1111111100001111 +word: SLICEB.K1.INIT 1110000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R43C11:PLC2 +arc: E1_H02E0301 N1_V01S0100 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 V02S0201 +arc: H00L0100 E1_H02W0101 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 N1_V02S0501 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 W3_H06E0203 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 N1_V02S0201 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 H02E0101 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 V01N0001 +arc: W1_H02W0701 W3_H06E0203 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0203 +arc: A0 E1_H01E0001 +arc: A2 V02N0501 +arc: A4 N1_V01N0101 +arc: A6 V00T0100 +arc: B0 H00R0100 +arc: B1 V02N0301 +arc: B2 H00R0000 +arc: B3 V02S0301 +arc: B4 V02S0701 +arc: B5 H02W0101 +arc: B6 N1_V02S0701 +arc: B7 V00B0100 +arc: C0 H00L0000 +arc: C1 E1_H01W0000 +arc: C2 H00L0000 +arc: C3 H00L0100 +arc: C4 V02S0201 +arc: C5 E1_H02W0401 +arc: C6 V02S0201 +arc: C7 V00T0000 +arc: CE0 V02N0201 +arc: CE1 V02N0201 +arc: CE2 V02S0601 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0001 +arc: D1 H02W0001 +arc: D2 V02N0001 +arc: D3 H02W0001 +arc: D4 V02N0401 +arc: D5 H02W0001 +arc: D6 V02N0401 +arc: D7 H02W0001 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 Q1 +arc: E1_H02E0101 Q1 +arc: E1_H02E0201 F0 +arc: E1_H02E0401 F6 +arc: E1_H02E0501 Q5 +arc: E1_H02E0701 Q7 +arc: E3_H06E0103 F2 +arc: E3_H06E0203 F4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q7 +arc: LSR0 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0101 Q5 +arc: N1_V02N0501 Q7 +arc: V00B0100 Q5 +arc: V01S0100 Q3 +word: SLICED.K0.INIT 0101101000111100 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEC.K0.INIT 0101101000111100 +word: SLICEC.K1.INIT 1100110011110000 +word: SLICEA.K0.INIT 0101101000111100 +word: SLICEA.K1.INIT 1100110011110000 +word: SLICEB.K0.INIT 0101101000111100 +word: SLICEB.K1.INIT 1100110011110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 + +.tile R43C12:PLC2 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0701 N1_V02S0701 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0100 H02W0301 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0701 S3_V06N0203 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 H02E0101 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 E3_H06W0103 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0003 E3_H06W0303 +arc: A0 W1_H02E0501 +arc: A2 H00L0100 +arc: A3 V02S0701 +arc: A4 W1_H02E0701 +arc: A5 V02S0301 +arc: A6 V02N0301 +arc: A7 V00T0100 +arc: B2 V01N0001 +arc: B3 V02N0101 +arc: B4 S1_V02N0701 +arc: B5 V02N0501 +arc: B6 V00T0000 +arc: B7 V02N0701 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 F5 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: N1_V01N0001 F2 +arc: V01S0000 F3 +arc: W1_H02W0601 F4 +word: SLICED.K0.INIT 1001011010101010 +word: SLICED.K1.INIT 1001011010101010 +word: SLICEB.K0.INIT 1001011010101010 +word: SLICEB.K1.INIT 1001011010101010 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEC.K0.INIT 1001011010101010 +word: SLICEC.K1.INIT 1001011010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R43C13:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0601 V02S0601 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 W1_H02E0401 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0100 W1_H02E0301 +arc: H00R0000 V02N0401 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0601 E1_H01W0000 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02N0001 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0601 S1_V02N0601 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E3_H06W0303 +arc: A0 W1_H02E0501 +arc: A1 W1_H02E0701 +arc: A2 S1_V02N0501 +arc: A3 H00L0100 +arc: A4 V00T0000 +arc: A5 H02E0701 +arc: A6 H02E0501 +arc: A7 N1_V02S0301 +arc: B0 V00B0000 +arc: B1 V02N0301 +arc: B2 V01N0001 +arc: B3 V02N0101 +arc: B4 H00R0000 +arc: B5 V02N0701 +arc: B6 S1_V02N0701 +arc: B7 V02N0501 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F4 +arc: H01W0100 F5 +arc: N1_V01N0001 F1 +arc: N1_V01N0101 F3 +arc: N1_V02N0401 F6 +arc: N1_V02N0701 F7 +arc: V01S0000 F2 +arc: W1_H02W0201 F0 +word: SLICED.K0.INIT 1001011010101010 +word: SLICED.K1.INIT 1001011010101010 +word: SLICEC.K0.INIT 1001011010101010 +word: SLICEC.K1.INIT 1001011010101010 +word: SLICEB.K0.INIT 1001011010101010 +word: SLICEB.K1.INIT 1001011010101010 +word: SLICEA.K0.INIT 1001011010101010 +word: SLICEA.K1.INIT 1001011010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R43C14:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0701 N1_V01S0100 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 W1_H02E0201 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 N1_V02S0001 +arc: H00L0100 V02S0301 +arc: H00R0000 S1_V02N0601 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0103 S1_V02N0201 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0701 W3_H06E0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0000 V02N0201 +arc: V00T0000 V02N0401 +arc: V00T0100 H02E0101 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0401 N1_V02S0401 +arc: W1_H02W0501 E1_H01W0100 +arc: W1_H02W0601 H01E0001 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 V06S0303 +arc: A0 V02N0701 +arc: A1 H00L0000 +arc: A2 H00L0100 +arc: A3 V02S0701 +arc: A4 V00T0100 +arc: A5 H02E0501 +arc: A6 V02S0101 +arc: A7 N1_V02S0101 +arc: B0 V00B0000 +arc: B1 V02N0101 +arc: B2 V01N0001 +arc: B3 V02N0301 +arc: B4 H00R0000 +arc: B5 S1_V02N0701 +arc: B6 V00T0000 +arc: B7 V02N0501 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 F7 +arc: E1_H02E0401 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: H01W0100 F0 +arc: N1_V01N0001 F4 +arc: N1_V01N0101 F2 +arc: V01S0000 F5 +word: SLICED.K0.INIT 1001011010101010 +word: SLICED.K1.INIT 1001011010101010 +word: SLICEC.K0.INIT 1001011010101010 +word: SLICEC.K1.INIT 1001011010101010 +word: SLICEB.K0.INIT 1001011010101010 +word: SLICEB.K1.INIT 1001011010101010 +word: SLICEA.K0.INIT 1001011010101010 +word: SLICEA.K1.INIT 1001011010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R43C15:PLC2 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 W3_H06E0303 +arc: E1_H02E0701 W1_H02E0601 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0000 V02N0001 +arc: H00L0100 H02E0301 +arc: H00R0000 V02N0601 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 H06W0003 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0701 E3_H06W0203 +arc: S3_V06S0103 N1_V02S0201 +arc: S3_V06S0303 N3_V06S0303 +arc: V00T0000 V02S0401 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 H01E0101 +arc: W3_H06W0003 N1_V01S0000 +arc: W3_H06W0303 E1_H02W0601 +arc: A0 N1_V02S0701 +arc: A1 H00L0100 +arc: A2 H02E0701 +arc: A3 V02S0501 +arc: A4 V00T0000 +arc: A5 V00T0100 +arc: A6 V02S0101 +arc: A7 V02S0301 +arc: B0 V01N0001 +arc: B1 V02N0101 +arc: B2 H00L0000 +arc: B3 V02N0301 +arc: B4 H00R0000 +arc: B5 V02N0501 +arc: B6 H02W0101 +arc: B7 V02N0701 +arc: E1_H01E0001 F0 +arc: E1_H01E0101 F3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: H01W0100 F6 +arc: N1_V01N0001 F4 +arc: V01S0000 F5 +arc: W1_H02W0001 F2 +arc: W3_H06W0203 F7 +word: SLICED.K0.INIT 1001011010101010 +word: SLICED.K1.INIT 1001011010101010 +word: SLICEC.K0.INIT 1001011010101010 +word: SLICEC.K1.INIT 1001011010101010 +word: SLICEB.K0.INIT 1001011010101010 +word: SLICEB.K1.INIT 1001011010101010 +word: SLICEA.K0.INIT 1001011010101010 +word: SLICEA.K1.INIT 1001011010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R43C16:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0003 W1_H02E0001 +arc: E3_H06E0203 S3_V06N0203 +arc: E3_H06E0303 S3_V06N0303 +arc: H00L0100 V02S0101 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0701 E1_H01W0100 +arc: S3_V06S0003 H06E0003 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 V02S0101 +arc: V00T0000 H02E0201 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 N1_V01S0000 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 H01E0101 +arc: W3_H06W0003 E3_H06W0003 +arc: A0 W1_H02E0501 +arc: A1 H00L0100 +arc: A2 H02E0501 +arc: A6 H02E0501 +arc: B0 V01N0001 +arc: B1 V02N0301 +arc: B6 V00T0000 +arc: B7 V00B0100 +arc: C6 V02N0201 +arc: C7 E1_H01E0101 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D6 S1_V02N0401 +arc: D7 H01W0000 +arc: E1_H01E0001 F6 +arc: E1_H01E0101 F2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: H01W0100 Q7 +arc: LSR0 V00B0000 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 F0 +arc: N1_V02N0001 F2 +arc: V01S0100 F2 +arc: W1_H02W0001 F2 +arc: W3_H06W0103 F2 +word: SLICED.K0.INIT 0101101000111100 +word: SLICED.K1.INIT 1100111111000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000001010 +word: SLICEA.K0.INIT 1001011010101010 +word: SLICEA.K1.INIT 1001011010101010 +word: SLICEB.K0.INIT 0101101010101010 +word: SLICEB.K1.INIT 1111000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R43C17:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0601 V06S0303 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 S1_V02N0201 +arc: H00R0000 W1_H02E0601 +arc: H01W0100 W3_H06E0303 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0601 H02W0601 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0100 V02N0301 +arc: V00T0000 H02W0001 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 W3_H06E0203 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0203 +arc: A1 N1_V02S0701 +arc: B1 H01W0100 +arc: B6 H02E0301 +arc: B7 V00B0000 +arc: C0 H02E0401 +arc: C1 H00L0000 +arc: C6 V02S0001 +arc: C7 V00T0000 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 H00R0000 +arc: D6 F0 +arc: D7 V02N0401 +arc: F0 F5A_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: LSR1 V00T0100 +arc: M0 V00B0100 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F7 +arc: N1_V02N0401 F6 +arc: V00B0000 F6 +arc: V01S0000 Q6 +word: SLICED.K0.INIT 1100000011001111 +word: SLICED.K1.INIT 0000000000111111 +word: SLICEA.K0.INIT 1111111100001111 +word: SLICEA.K1.INIT 1010100000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 + +.tile R43C18:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0601 W3_H06E0303 +arc: E3_H06E0003 W3_H06E0303 +arc: H00L0100 H02E0101 +arc: H00R0000 N1_V02S0401 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0501 W3_H06E0303 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0501 E1_H01W0100 +arc: S1_V02S0601 W3_H06E0303 +arc: S3_V06S0103 N1_V02S0201 +arc: S3_V06S0203 N1_V02S0701 +arc: S3_V06S0303 N1_V01S0100 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 V02S0601 +arc: V00T0100 V02N0501 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0201 E3_H06W0103 +arc: W1_H02W0601 V02N0601 +arc: W3_H06W0003 V06S0003 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0303 +arc: A1 H00R0000 +arc: B1 W1_H02E0101 +arc: B6 N1_V01S0000 +arc: B7 V00B0000 +arc: C0 N1_V02S0401 +arc: C1 H00L0100 +arc: C6 H02E0601 +arc: C7 V00T0000 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0001 +arc: D1 H02E0001 +arc: D6 W1_H02E0001 +arc: D7 V02N0401 +arc: E1_H02E0401 F6 +arc: E3_H06E0303 Q6 +arc: F0 F5A_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: LSR1 V00T0100 +arc: M0 V00B0100 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR1 +arc: S3_V06S0003 F0 +arc: V00B0000 F6 +arc: V01S0000 F7 +word: SLICEA.K0.INIT 1111111100001111 +word: SLICEA.K1.INIT 1010100000000000 +word: SLICED.K0.INIT 1100000011001111 +word: SLICED.K1.INIT 0000000011001111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R43C19:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0501 E3_H06W0303 +arc: E1_H02E0601 H01E0001 +arc: E3_H06E0003 W3_H06E0303 +arc: H00L0000 V02N0201 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 E1_H02W0401 +arc: N3_V06N0103 S1_V02N0201 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N1_V02S0201 +arc: S3_V06S0303 N1_V01S0100 +arc: W3_H06W0103 E1_H01W0100 +arc: A0 H00L0000 +arc: B2 H01W0100 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V01S0000 +arc: B6 V00B0000 +arc: B7 V00B0100 +arc: CE1 E1_H02W0101 +arc: CE2 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q4 +arc: H01W0100 Q2 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q7 +arc: S1_V02S0101 Q3 +arc: V00B0000 Q6 +arc: V00B0100 Q7 +arc: V01S0000 Q5 +arc: V01S0100 Q6 +arc: W3_H06W0203 Q4 +arc: W3_H06W0303 Q5 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R43C20:PLC2 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0601 E1_H01W0000 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0303 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 H02E0601 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 S3_V06N0303 +arc: S1_V02S0701 E1_H01W0100 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 E3_H06W0303 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0101 W3_H06E0103 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V01S0000 +arc: B6 V00B0000 +arc: B7 V00B0100 +arc: CE0 H02W0101 +arc: CE1 H02W0101 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0100 Q5 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: N1_V02N0101 Q3 +arc: S1_V02S0201 Q0 +arc: V00B0000 Q6 +arc: V00B0100 Q7 +arc: V00T0000 Q0 +arc: V01S0000 Q5 +arc: V01S0100 Q7 +arc: W3_H06W0103 Q1 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R43C21:PLC2 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0100 V02S0101 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 W3_H06E0203 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0103 H06W0103 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 H06E0003 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 H06E0003 +arc: S1_V02S0501 E1_H01W0100 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 H06W0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N1_V02S0201 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0701 W3_H06E0203 +arc: W3_H06W0203 S3_V06N0203 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 H02W0101 +arc: B6 V00B0000 +arc: B7 V00B0100 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0000 Q3 +arc: H01W0100 Q1 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 Q0 +arc: S3_V06S0203 Q7 +arc: V00B0000 Q6 +arc: V00B0100 Q7 +arc: V00T0000 Q0 +arc: V01S0000 Q4 +arc: V01S0100 Q6 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R43C22:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0701 W1_H02E0601 +arc: E3_H06E0203 V06S0203 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 W3_H06E0203 +arc: N3_V06N0303 W3_H06E0303 +arc: S1_V02S0001 H06W0003 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 E1_H02W0701 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 S1_V02N0601 +arc: W3_H06W0003 E1_H01W0000 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V01S0000 +arc: B6 V00B0000 +arc: B7 V00B0100 +arc: CE0 H02E0101 +arc: CE1 H02E0101 +arc: CE2 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0100 Q7 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q3 +arc: S1_V02S0201 Q2 +arc: S1_V02S0301 Q1 +arc: S1_V02S0501 Q5 +arc: S3_V06S0003 Q0 +arc: V00B0000 Q6 +arc: V00B0100 Q7 +arc: V00T0000 Q0 +arc: V01S0000 Q5 +arc: V01S0100 Q4 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R43C23:PLC2 +arc: E1_H02E0101 E1_H01W0100 +arc: E3_H06E0103 S3_V06N0103 +arc: H00L0100 V02S0101 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 E3_H06W0103 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0501 H01E0101 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 N1_V01S0000 +arc: S3_V06S0303 N3_V06S0303 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0601 E1_H01W0000 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0203 N1_V01S0000 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V00B0100 +arc: B6 V00B0000 +arc: B7 H02E0301 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0000 Q4 +arc: H01W0100 Q7 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q7 +arc: V00B0000 Q6 +arc: V00B0100 Q5 +arc: V00T0000 Q0 +arc: V01S0100 Q1 +arc: W1_H02W0201 Q2 +arc: W1_H02W0401 Q6 +arc: W3_H06W0003 Q3 +arc: W3_H06W0303 Q5 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R43C24:PLC2 +arc: E1_H02E0601 S1_V02N0601 +arc: E3_H06E0203 S3_V06N0203 +arc: H00L0100 V02S0101 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 W3_H06E0003 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 E1_H01W0100 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0601 S3_V06N0303 +arc: S1_V02S0701 H01E0101 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0203 H06W0203 +arc: S3_V06S0303 W3_H06E0303 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0601 E1_H02W0601 +arc: W1_H02W0701 E1_H02W0701 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0203 E1_H01W0000 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V00B0100 +arc: B6 V00B0000 +arc: B7 H02E0101 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0000 Q4 +arc: H01W0100 Q7 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: S1_V02S0301 Q3 +arc: V00B0000 Q6 +arc: V00B0100 Q5 +arc: V00T0000 Q0 +arc: V01S0000 Q1 +arc: V01S0100 Q5 +arc: W1_H02W0201 Q0 +arc: W1_H02W0501 Q7 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R43C25:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0701 V01N0101 +arc: H00L0100 V02S0101 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 H06W0003 +arc: S3_V06S0103 N3_V06S0003 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 E1_H01W0100 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0303 S3_V06N0303 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V01S0000 +arc: B6 V00B0000 +arc: B7 V00B0100 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0000 Q6 +arc: H01W0100 Q4 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 Q5 +arc: N1_V02N0001 Q2 +arc: V00B0000 Q6 +arc: V00B0100 Q7 +arc: V00T0000 Q0 +arc: V01S0000 Q5 +arc: V01S0100 Q3 +arc: W1_H02W0101 Q1 +arc: W3_H06W0203 Q7 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R43C26:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 E1_H01W0000 +arc: E3_H06E0203 W3_H06E0203 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0601 W3_H06E0303 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 H06E0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0701 W3_H06E0203 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 N3_V06S0303 +arc: W1_H02W0201 S3_V06N0103 +arc: W3_H06W0303 E3_H06W0203 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V01S0000 +arc: B6 V00B0000 +arc: B7 V00B0100 +arc: CE0 V02S0201 +arc: CE1 V02S0201 +arc: CE2 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0000 Q1 +arc: H01W0100 Q6 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S3_V06S0003 Q0 +arc: V00B0000 Q6 +arc: V00B0100 Q7 +arc: V00T0000 Q0 +arc: V01S0000 Q5 +arc: W1_H02W0001 Q2 +arc: W1_H02W0101 Q3 +arc: W1_H02W0601 Q4 +arc: W1_H02W0701 Q5 +arc: W3_H06W0203 Q7 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R43C27:PLC2 +arc: E1_H02E0201 S3_V06N0103 +arc: H00L0100 V02S0301 +arc: H00R0000 H02W0601 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 E1_H01W0100 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 H06E0203 +arc: S1_V02S0301 H01E0101 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 W3_H06E0303 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N1_V01S0000 +arc: V00B0000 H02E0401 +arc: V00B0100 S1_V02N0301 +arc: V00T0100 H02W0301 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0601 W3_H06E0303 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0103 E1_H01W0100 +arc: A4 V00B0000 +arc: A7 H00R0000 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B4 V00B0100 +arc: B6 E1_H02W0101 +arc: B7 H02W0101 +arc: C4 V02N0001 +arc: C6 H02W0401 +arc: C7 S1_V02N0001 +arc: CE0 W1_H02E0101 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D4 S1_V02N0601 +arc: D6 V02N0401 +arc: D7 V02S0401 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q1 +arc: M4 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V01N0101 F7 +arc: V00T0000 Q0 +arc: V01S0100 Q0 +arc: W3_H06W0203 F4 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1110101000101010 +word: SLICEC.K0.INIT 0001001101011111 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001010 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R43C28:PLC2 +arc: E1_H02E0001 V06N0003 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0601 S3_V06N0303 +arc: H00L0100 V02S0301 +arc: H00R0000 H02W0401 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 S3_V06N0203 +arc: S1_V02S0601 E1_H02W0601 +arc: S3_V06S0203 W3_H06E0203 +arc: V00B0000 V02S0001 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 H02W0001 +arc: V00T0100 H02W0301 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 V01N0001 +arc: A1 V02S0501 +arc: A3 E1_H02W0701 +arc: B0 V02S0101 +arc: B1 V00B0000 +arc: B2 E1_H01W0100 +arc: B3 S1_V02N0101 +arc: C0 H02W0601 +arc: C1 H00L0000 +arc: C2 S1_V02N0401 +arc: C3 V02N0401 +arc: CE1 H00L0100 +arc: CE2 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 E1_H02W0201 +arc: D2 H02E0201 +arc: D3 H02W0201 +arc: E1_H01E0101 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: H00L0000 F0 +arc: H01W0000 F0 +arc: H01W0100 F0 +arc: M4 V00T0000 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q4 +arc: N1_V01N0101 F3 +arc: N3_V06N0103 Q2 +arc: S1_V02S0101 F1 +arc: S1_V02S0201 F0 +arc: S3_V06S0003 F0 +arc: V01S0000 F0 +arc: W1_H02W0601 Q6 +arc: W3_H06W0003 F0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 1110101000101010 +word: SLICEA.K0.INIT 0000000000111111 +word: SLICEA.K1.INIT 0001010100111111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 + +.tile R43C29:PLC2 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 V06S0303 +arc: E1_H02E0701 V02S0701 +arc: H00R0000 V02N0401 +arc: H00R0100 H02W0501 +arc: H01W0000 W3_H06E0103 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 H06W0203 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 H06E0203 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0601 H02W0601 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N1_V01S0100 +arc: V00T0000 H02E0001 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0001 N1_V01S0000 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 V06N0003 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 V02S0601 +arc: CE0 H00R0100 +arc: CE1 H02W0101 +arc: CE2 H00R0000 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q4 +arc: H01W0100 Q4 +arc: M0 H02E0601 +arc: M2 E1_H02W0601 +arc: M4 V00T0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: N3_V06N0103 Q2 +arc: V01S0000 Q2 +arc: V01S0100 Q6 +arc: W3_H06W0103 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R43C2:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 E3_H06W0003 +arc: E1_H02E0401 S1_V02N0401 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 E1_H02W0501 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0601 E1_H02W0601 +arc: S1_V02S0401 E3_H06W0203 +arc: V00B0000 V02N0201 +arc: A3 V00T0000 +arc: A7 H00L0000 +arc: B2 V02S0301 +arc: B3 E1_H01W0100 +arc: B7 E1_H02W0101 +arc: C2 H00R0100 +arc: C3 E1_H02W0601 +arc: C7 E1_H02W0401 +arc: CE1 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D2 N1_V02S0201 +arc: D3 V02N0201 +arc: D7 V00B0000 +arc: E1_H01E0101 F3 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: MUXCLK1 CLK0 +arc: S3_V06S0203 F7 +arc: V00T0000 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0101010100111111 +word: SLICEB.K0.INIT 0000110000001111 +word: SLICEB.K1.INIT 0011001101011111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 + +.tile R43C30:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 V02S0201 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 H02W0701 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0203 W3_H06E0203 +arc: S1_V02S0701 H02W0701 +arc: V00B0100 H02E0701 +arc: V00T0000 V02S0401 +arc: V00T0100 H02W0301 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0601 S3_V06N0303 +arc: W1_H02W0701 H01E0101 +arc: W3_H06W0303 S3_V06N0303 +arc: A1 V01N0101 +arc: A3 V00T0000 +arc: A4 V02S0101 +arc: B0 V01N0001 +arc: B1 V00T0000 +arc: B2 F3 +arc: B3 H00L0000 +arc: B4 H02W0101 +arc: B5 H02E0301 +arc: C0 V02N0401 +arc: C1 W1_H02E0601 +arc: C2 S1_V02N0401 +arc: C3 V02S0601 +arc: C4 E1_H01E0101 +arc: C5 V00B0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V02N0001 +arc: D2 S1_V02N0201 +arc: D3 H00R0000 +arc: D4 H01W0000 +arc: D5 V02N0601 +arc: E1_H01E0101 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 F5 +arc: H01W0100 Q6 +arc: M6 V00T0100 +arc: MUXCLK3 CLK0 +arc: N1_V02N0001 F2 +arc: N1_V02N0401 F4 +arc: V01S0100 F1 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1100001100000000 +word: SLICEA.K1.INIT 1100111101000101 +word: SLICEB.K0.INIT 1100000000000000 +word: SLICEB.K1.INIT 1100001101000001 +word: SLICEC.K0.INIT 1001000000000000 +word: SLICEC.K1.INIT 1100001100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 + +.tile R43C31:PLC2 +arc: E1_H02E0601 S3_V06N0303 +arc: H00L0000 W1_H02E0201 +arc: H00R0000 V02N0601 +arc: H00R0100 N1_V02S0501 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0003 S3_V06N0003 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0501 W1_H02E0501 +arc: S1_V02S0701 S3_V06N0203 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 H02W0201 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 V06S0203 +arc: A1 E1_H01E0001 +arc: A2 E1_H02W0501 +arc: A3 E1_H02W0701 +arc: A4 E1_H01W0000 +arc: A5 V00T0000 +arc: B1 N1_V02S0301 +arc: B2 E1_H01W0100 +arc: B3 H00R0100 +arc: B4 H02W0301 +arc: B5 V02S0501 +arc: C1 H02W0401 +arc: C2 H00L0000 +arc: C3 S1_V02N0401 +arc: C4 E1_H01E0101 +arc: C5 W1_H02E0401 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D1 H00R0000 +arc: D2 V00T0100 +arc: D3 W1_H02E0201 +arc: D4 H01W0000 +arc: D5 H02E0001 +arc: E1_H01E0001 Q6 +arc: E1_H01E0101 F3 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 F5 +arc: M6 V00B0100 +arc: MUXCLK3 CLK0 +arc: N1_V02N0001 F2 +arc: N1_V02N0401 F4 +arc: V01S0000 F1 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1000010010100101 +word: SLICEB.K0.INIT 1100010011110101 +word: SLICEB.K1.INIT 1010001011110011 +word: SLICEC.K0.INIT 1001000000000000 +word: SLICEC.K1.INIT 1000101011001111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R43C32:PLC2 +arc: H00R0000 V02N0401 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 N3_V06S0103 +arc: N3_V06N0203 S1_V02N0701 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0501 N1_V01S0100 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0103 N3_V06S0103 +arc: V00B0000 V02N0201 +arc: V00B0100 V02N0301 +arc: V00T0000 V02S0601 +arc: V01S0000 N3_V06S0103 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0101 N3_V06S0103 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0701 W3_H06E0203 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 V02N0601 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q2 +arc: H01W0000 Q0 +arc: H01W0100 Q6 +arc: M0 V00B0100 +arc: M2 V00T0000 +arc: M4 V00B0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: S3_V06S0203 Q4 +arc: W1_H02W0601 Q6 +arc: W3_H06W0203 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R43C33:PLC2 +arc: N1_V02N0601 W1_H02E0601 +arc: N3_V06N0303 H06E0303 +arc: W1_H02W0501 H01E0101 +arc: W1_H02W0701 H01E0101 + +.tile R43C36:PLC2 +arc: N3_V06N0303 W3_H06E0303 + +.tile R43C3:PLC2 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0103 H01E0101 +arc: H00R0000 H02E0401 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0601 V01N0001 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0201 N1_V02S0201 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0501 H06W0303 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0103 N1_V02S0201 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 E1_H02W0701 +arc: V00T0100 V02N0701 +arc: A5 V00T0000 +arc: A7 V02N0101 +arc: B0 H02E0101 +arc: B2 H02E0101 +arc: B4 V02N0701 +arc: B5 V01S0000 +arc: B7 V00T0000 +arc: C0 N1_V01S0100 +arc: C2 H00R0100 +arc: C4 V02S0201 +arc: C5 E1_H02W0401 +arc: C7 E1_H02W0401 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 N1_V02S0001 +arc: D2 V02N0201 +arc: D3 V02S0001 +arc: D4 V00B0000 +arc: D5 H02E0201 +arc: D7 H02E0201 +arc: E1_H01E0101 Q0 +arc: E3_H06E0203 F7 +arc: E3_H06E0303 F5 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q0 +arc: M0 V00T0100 +arc: M2 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: V00T0000 Q2 +arc: V01S0000 Q4 +arc: V01S0100 Q4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0011001101011111 +word: SLICEC.K0.INIT 0000110000001111 +word: SLICEC.K1.INIT 0011001101011111 +word: SLICEA.K0.INIT 0000110000111111 +word: SLICEA.K1.INIT 0000000011111111 +word: SLICEB.K0.INIT 0000110000111111 +word: SLICEB.K1.INIT 0000000011111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R43C4:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 E3_H06W0303 +arc: E1_H02E0601 N1_V01S0000 +arc: E3_H06E0303 S3_V06N0303 +arc: H00R0000 H02E0601 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0601 N1_V01S0000 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 H06W0303 +arc: V00B0000 V02S0001 +arc: V00B0100 V02N0101 +arc: V00T0000 N1_V02S0601 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 E1_H01W0000 +arc: A1 E1_H01E0001 +arc: A3 H02W0501 +arc: A5 N1_V01N0101 +arc: A7 H02E0701 +arc: B0 V02N0101 +arc: B1 H01W0100 +arc: B2 V02N0101 +arc: B3 H00L0000 +arc: B4 V00B0100 +arc: B5 V01S0000 +arc: B6 V00B0100 +arc: B7 V02N0501 +arc: C0 N1_V01S0100 +arc: C1 E1_H01W0000 +arc: C2 H02E0601 +arc: C3 E1_H01W0000 +arc: C4 V02S0201 +arc: C5 H02W0401 +arc: C6 V00T0000 +arc: C7 H02W0401 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V02N0001 +arc: D2 V02N0201 +arc: D3 V02N0001 +arc: D4 N1_V02S0601 +arc: D5 V02N0601 +arc: D6 V00B0000 +arc: D7 V02N0601 +arc: E1_H01E0001 Q6 +arc: E1_H01E0101 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H01W0100 Q0 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: N1_V02N0501 F7 +arc: S1_V02S0701 F5 +arc: S3_V06S0003 F3 +arc: S3_V06S0103 F1 +arc: V01S0000 Q4 +arc: V01S0100 Q6 +word: SLICEC.K0.INIT 0000110000001111 +word: SLICEC.K1.INIT 0011001101011111 +word: SLICEB.K0.INIT 0000110000111111 +word: SLICEB.K1.INIT 0011001101011111 +word: SLICED.K0.INIT 0000110000111111 +word: SLICED.K1.INIT 0011001101011111 +word: SLICEA.K0.INIT 0000110000001111 +word: SLICEA.K1.INIT 0011001101011111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 + +.tile R43C5:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0301 S1_V02N0301 +arc: H00R0100 H02W0701 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 N1_V01S0100 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 E3_H06W0003 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 E1_H02W0601 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0501 H01E0101 +arc: W1_H02W0701 N3_V06S0203 +arc: A1 E1_H01E0001 +arc: A3 V00B0000 +arc: B0 N1_V02S0101 +arc: B1 V00B0000 +arc: B3 H00L0000 +arc: C0 H02E0601 +arc: C1 S1_V02N0601 +arc: C3 S1_V02N0401 +arc: CE0 H00R0100 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 N1_V02S0201 +arc: D3 N1_V02S0201 +arc: E1_H01E0001 Q0 +arc: E1_H02E0401 Q4 +arc: E3_H06E0003 F3 +arc: E3_H06E0203 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: H00L0000 Q0 +arc: H01W0000 Q4 +arc: M4 W1_H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q4 +arc: N1_V02N0601 Q4 +arc: V00B0000 Q4 +arc: V01S0000 F1 +arc: V01S0100 Q4 +arc: W1_H02W0401 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0011010100111111 +word: SLICEA.K0.INIT 0000111100001100 +word: SLICEA.K1.INIT 0000011111110111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 + +.tile R43C6:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0701 S1_V02N0701 +arc: H00L0000 E1_H02W0001 +arc: H00L0100 H02E0301 +arc: H00R0000 H02E0401 +arc: H00R0100 H02W0701 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 N1_V02S0301 +arc: V00B0100 H02W0701 +arc: V00T0000 V02N0401 +arc: V00T0100 E1_H02W0301 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0701 E1_H02W0701 +arc: A1 H00R0000 +arc: A3 V02S0501 +arc: A4 N1_V01N0101 +arc: A5 V02N0101 +arc: A7 H00R0000 +arc: B1 V00T0000 +arc: B3 V02N0301 +arc: B4 V02N0701 +arc: B5 V02N0501 +arc: B7 V00T0000 +arc: C0 V02N0601 +arc: C1 V02N0601 +arc: C3 H00L0000 +arc: C4 H02W0401 +arc: C5 V02S0201 +arc: C6 E1_H02W0601 +arc: C7 E1_H02W0601 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 V00B0100 +arc: D3 H02W0001 +arc: D4 W1_H02E0001 +arc: D5 E1_H01W0100 +arc: D6 H00R0100 +arc: D7 H00R0100 +arc: E1_H02E0401 F6 +arc: E3_H06E0303 Q5 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: M0 V00T0100 +arc: M6 W1_H02E0401 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F0 +arc: N1_V01N0101 Q5 +arc: S3_V06S0003 F3 +arc: S3_V06S0303 Q5 +arc: V01S0000 F4 +arc: W3_H06W0303 Q5 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0001001101011111 +word: SLICEC.K0.INIT 0001001101011111 +word: SLICEC.K1.INIT 0100000000000000 +word: SLICED.K0.INIT 1111000011111111 +word: SLICED.K1.INIT 1110000000000000 +word: SLICEA.K0.INIT 1111000011111111 +word: SLICEA.K1.INIT 1110000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 + +.tile R43C7:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0601 V02S0601 +arc: H00L0000 V02N0201 +arc: H00L0100 W1_H02E0301 +arc: H00R0100 H02W0501 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0601 H06W0303 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0203 N1_V02S0701 +arc: V00T0000 V02N0601 +arc: V00T0100 E1_H02W0301 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0601 V01N0001 +arc: W1_H02W0701 N1_V02S0701 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E1_H01W0100 +arc: A1 E1_H02W0501 +arc: A2 N1_V02S0501 +arc: A3 V02S0701 +arc: A5 N1_V01N0101 +arc: A7 N1_V01S0100 +arc: B1 H02W0101 +arc: B2 V02S0301 +arc: B3 H00R0100 +arc: B5 H02E0301 +arc: B7 V02N0501 +arc: C1 E1_H02W0401 +arc: C2 H00L0000 +arc: C3 E1_H01W0000 +arc: C5 S1_V02N0201 +arc: C7 V00T0100 +arc: CE1 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D1 H02W0201 +arc: D2 V02N0001 +arc: D3 F2 +arc: D5 H02E0001 +arc: D7 F2 +arc: E3_H06E0003 Q3 +arc: E3_H06E0303 Q6 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q6 +arc: H01W0100 F1 +arc: M6 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N3_V06N0303 Q6 +arc: S1_V02S0301 F1 +arc: S1_V02S0501 F5 +arc: V01S0000 F5 +arc: W1_H02W0101 F1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000100000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000100000000000 +word: SLICEB.K0.INIT 0000000101010001 +word: SLICEB.K1.INIT 1100000011010001 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100000011010001 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 + +.tile R43C8:PLC2 +arc: E1_H02E0401 N1_V02S0401 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0601 E3_H06W0303 +arc: E1_H02E0701 N1_V02S0701 +arc: H00L0000 N1_V02S0201 +arc: H00R0000 H02W0601 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0103 S1_V02N0101 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 H06W0203 +arc: S3_V06S0203 N1_V02S0701 +arc: V00B0000 N1_V02S0001 +arc: V00T0000 S1_V02N0401 +arc: V00T0100 H02W0101 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 E1_H01W0000 +arc: W1_H02W0701 S1_V02N0701 +arc: A2 H00L0100 +arc: A3 V00T0000 +arc: A6 W1_H02E0701 +arc: A7 V02S0301 +arc: B1 V02N0101 +arc: B2 H02E0101 +arc: B3 N1_V02S0101 +arc: B5 V02N0501 +arc: B6 H02E0301 +arc: B7 V00B0000 +arc: C0 N1_V02S0401 +arc: C1 H02E0601 +arc: C2 V02S0401 +arc: C3 H00L0000 +arc: C4 V00B0100 +arc: C5 V02N0201 +arc: C6 V02S0201 +arc: C7 H02E0401 +arc: CE0 H00R0100 +arc: CE1 H00R0000 +arc: CE2 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 V00T0100 +arc: D2 S1_V02N0201 +arc: D3 V01S0100 +arc: D4 E1_H02W0001 +arc: D5 H02W0001 +arc: D6 E1_H02W0201 +arc: D7 E1_H01W0100 +arc: E1_H01E0001 Q3 +arc: E1_H01E0101 F1 +arc: E3_H06E0003 Q3 +arc: E3_H06E0203 F7 +arc: E3_H06E0303 Q5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 Q3 +arc: H01W0000 F1 +arc: H01W0100 F7 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F7 +arc: N1_V02N0101 Q3 +arc: N3_V06N0203 F4 +arc: S1_V02S0001 F2 +arc: S1_V02S0201 F0 +arc: V00B0100 Q5 +arc: V01S0000 F6 +arc: V01S0100 F5 +arc: W1_H02W0301 Q1 +arc: W3_H06W0003 Q3 +arc: W3_H06W0203 F7 +word: SLICEC.K0.INIT 0000111111110000 +word: SLICEC.K1.INIT 1100000011110011 +word: SLICED.K0.INIT 0011111100110101 +word: SLICED.K1.INIT 1000000000000000 +word: SLICEA.K0.INIT 0000000011110000 +word: SLICEA.K1.INIT 0000110000111111 +word: SLICEB.K0.INIT 1001000101111001 +word: SLICEB.K1.INIT 1100111000001010 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R43C9:PLC2 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 N1_V02S0401 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0203 V06S0203 +arc: E3_H06E0303 W3_H06E0203 +arc: H00R0100 S1_V02N0501 +arc: H01W0000 W3_H06E0103 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 W3_H06E0303 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 E3_H06W0003 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 N1_V02S0201 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0103 N1_V02S0201 +arc: V00B0100 H02E0501 +arc: V00T0000 N1_V02S0601 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0101 N1_V01S0100 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 V06S0203 +arc: B0 H00R0100 +arc: B3 V02S0101 +arc: C0 N1_V02S0401 +arc: C3 H02E0601 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0001 +arc: D1 V00T0100 +arc: D3 V00B0100 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: LSR1 E1_H02W0501 +arc: M0 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXLSR1 LSR1 +arc: N1_V01N0001 F0 +arc: S3_V06S0003 Q3 +arc: V00T0100 F3 +arc: V01S0000 F3 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1100000011001111 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R44C10:PLC2 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0201 V01N0001 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 V06S0303 +arc: E1_H02E0601 N3_V06S0303 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0203 V01N0001 +arc: E3_H06E0303 S3_V06N0303 +arc: H00L0100 E1_H02W0101 +arc: H00R0000 N1_V02S0601 +arc: H00R0100 V02S0501 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0201 V01N0001 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 H06W0203 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 V01N0101 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0501 E3_H06W0303 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0103 H01E0101 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 W1_H02E0001 +arc: V00T0100 H02W0301 +arc: V01S0000 S3_V06N0103 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0501 N3_V06S0303 +arc: W1_H02W0601 E1_H01W0000 +arc: W3_H06W0103 V01N0101 +arc: W3_H06W0303 N3_V06S0303 +arc: A0 H00L0100 +arc: B0 V02N0101 +arc: B2 H00R0100 +arc: B5 V02N0701 +arc: B6 S1_V02N0701 +arc: B7 V02S0701 +arc: C0 N1_V01S0100 +arc: C2 H00L0100 +arc: C4 W1_H02E0401 +arc: C5 H02E0601 +arc: C6 E1_H02W0401 +arc: C7 V00T0000 +arc: CE0 H00R0000 +arc: CE2 W1_H02E0101 +arc: CE3 N1_V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D2 V02N0201 +arc: D3 S1_V02N0201 +arc: D4 V02S0401 +arc: D5 E1_H01W0100 +arc: D6 W1_H02E0001 +arc: D7 F0 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q0 +arc: H01W0100 Q6 +arc: M0 V00B0000 +arc: M2 V00B0100 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 Q0 +arc: N1_V02N0501 Q5 +arc: S1_V02S0201 Q0 +arc: S3_V06S0303 Q6 +arc: V00B0000 F4 +arc: W1_H02W0201 F2 +word: SLICEC.K0.INIT 0000000011110000 +word: SLICEC.K1.INIT 0000110000111111 +word: SLICED.K0.INIT 1111110000001100 +word: SLICED.K1.INIT 1111111111000000 +word: SLICEA.K0.INIT 1100111101001111 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1111110000001100 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R44C11:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0601 E3_H06W0303 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 V02S0001 +arc: H00L0100 V02N0301 +arc: H00R0000 V02S0601 +arc: H00R0100 H02W0501 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 N1_V02S0401 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 V02S0201 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 W1_H02E0101 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 V06N0003 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 V01N0001 +arc: W1_H02W0701 V02N0701 +arc: A5 S1_V02N0101 +arc: A6 F7 +arc: A7 W1_H02E0701 +arc: B2 E1_H02W0101 +arc: B3 H00R0100 +arc: B5 N1_V02S0501 +arc: B6 V02N0701 +arc: B7 H02E0101 +arc: C2 H00R0100 +arc: C3 V02S0601 +arc: C5 V00T0000 +arc: C6 E1_H02W0401 +arc: C7 W1_H02E0401 +arc: CE0 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D2 H00R0000 +arc: D3 H02W0201 +arc: D5 V00B0000 +arc: D6 H02W0001 +arc: D7 V02N0601 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F5 +arc: H01W0100 F6 +arc: M0 V00T0100 +arc: M1 H00L0100 +arc: M2 V00T0100 +arc: MUXCLK0 CLK0 +arc: N1_V01N0001 F1 +arc: N3_V06N0103 Q1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0001010100111111 +word: SLICED.K0.INIT 0011100011111011 +word: SLICED.K1.INIT 1001000101111001 +word: SLICEA.K0.INIT 1111111111111111 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEB.K0.INIT 1111001100000000 +word: SLICEB.K1.INIT 1111000011000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R44C12:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 V01N0101 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0601 W3_H06E0303 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0303 V06N0303 +arc: H00L0000 H02E0201 +arc: H00L0100 H02W0301 +arc: H00R0000 E1_H02W0601 +arc: H00R0100 W1_H02E0501 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 H06W0203 +arc: N1_V02N0601 N1_V01S0000 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0203 H06E0203 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 H02E0501 +arc: V00T0000 E1_H02W0201 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 V06N0003 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0701 V06S0203 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0203 +arc: A0 H00L0000 +arc: A2 H00L0100 +arc: B2 S1_V02N0301 +arc: B3 H00R0100 +arc: B4 V00B0100 +arc: B5 W1_H02E0301 +arc: B6 V00B0000 +arc: B7 V00T0000 +arc: CE1 H00R0000 +arc: CE2 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V02N0101 Q3 +arc: N1_V02N0501 Q5 +arc: N1_V02N0701 Q7 +arc: V01S0000 Q6 +arc: V01S0100 Q4 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R44C13:PLC2 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 H02E0001 +arc: H00R0000 H02W0601 +arc: N1_V02N0201 H06W0103 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 H06W0003 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 H02E0601 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 N1_V01S0000 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 E1_H01W0000 +arc: W3_H06W0303 E3_H06W0303 +arc: B0 V00B0000 +arc: B1 H02E0301 +arc: B2 H00L0000 +arc: B3 V02S0301 +arc: B4 W1_H02E0101 +arc: B5 V02S0701 +arc: B6 H02W0301 +arc: B7 H02E0101 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V02N0001 Q0 +arc: N1_V02N0101 Q3 +arc: N1_V02N0301 Q1 +arc: N1_V02N0401 Q4 +arc: N1_V02N0501 Q7 +arc: N1_V02N0701 Q5 +arc: V01S0100 Q6 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R44C14:PLC2 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0601 V01N0001 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 V02N0001 +arc: H01W0000 E3_H06W0103 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 H06W0303 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 H06W0103 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0701 V01N0101 +arc: V00B0100 V02N0101 +arc: V00T0000 E1_H02W0001 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0501 V06N0303 +arc: W1_H02W0601 V01N0001 +arc: W3_H06W0003 E1_H02W0301 +arc: W3_H06W0203 E1_H02W0701 +arc: W3_H06W0303 S3_V06N0303 +arc: B0 V02N0301 +arc: B1 H02E0301 +arc: B2 H02W0101 +arc: B3 S1_V02N0101 +arc: B4 V02N0501 +arc: B5 S1_V02N0701 +arc: B6 V00T0000 +arc: B7 V00B0100 +arc: CE0 H00L0000 +arc: CE1 H00L0000 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V02N0101 Q1 +arc: N1_V02N0201 Q0 +arc: N1_V02N0301 Q3 +arc: N1_V02N0401 Q6 +arc: N1_V02N0501 Q7 +arc: V01S0000 Q4 +arc: V01S0100 Q5 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R44C15:PLC2 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0303 S3_V06N0303 +arc: H00L0000 S1_V02N0001 +arc: H00R0000 H02E0601 +arc: H00R0100 S1_V02N0501 +arc: N1_V02N0201 S1_V02N0201 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 S3_V06N0303 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0100 S1_V02N0301 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0501 V02N0501 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 N1_V01S0000 +arc: W3_H06W0303 E3_H06W0203 +arc: B0 W1_H02E0101 +arc: B1 H02E0101 +arc: B2 H00L0000 +arc: B3 H00R0100 +arc: B4 V00B0100 +arc: B5 E1_H02W0101 +arc: B6 V02N0701 +arc: B7 V02S0701 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V02N0001 Q2 +arc: N1_V02N0101 Q1 +arc: N1_V02N0301 Q3 +arc: N1_V02N0501 Q5 +arc: N1_V02N0601 Q4 +arc: N1_V02N0701 Q7 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R44C16:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0003 V06S0003 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 H02W0201 +arc: H00L0100 H02W0301 +arc: H00R0000 H02W0401 +arc: H00R0100 V02N0701 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 E3_H06W0003 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0003 E1_H01W0000 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 W1_H02E0401 +arc: V00T0000 H02E0001 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 V06S0203 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0203 V06N0203 +arc: W3_H06W0303 E3_H06W0303 +arc: A5 H02E0701 +arc: B0 H00R0100 +arc: B1 V02S0301 +arc: B5 H00L0000 +arc: C4 H02E0401 +arc: C5 V00T0100 +arc: CE0 V02N0201 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D4 V00B0000 +arc: D5 V00B0000 +arc: D7 H00L0100 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: M4 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 Q7 +arc: N1_V02N0301 Q1 +arc: S3_V06S0203 Q7 +arc: V01S0000 F4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000011111111 +word: SLICEC.K0.INIT 1111111100001111 +word: SLICEC.K1.INIT 1010100000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001010 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R44C17:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 W3_H06E0303 +arc: E1_H02E0701 E3_H06W0203 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 V06S0103 +arc: E3_H06E0203 V01N0001 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 V02N0001 +arc: H00R0000 H02W0401 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 E3_H06W0303 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0103 N1_V02S0101 +arc: V00B0000 V02S0001 +arc: V00B0100 H02E0701 +arc: V00T0100 H02E0301 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0103 V06S0103 +arc: W3_H06W0203 S3_V06N0203 +arc: A6 V00T0100 +arc: B1 H02E0101 +arc: B5 S1_V02N0501 +arc: B6 V01S0000 +arc: C0 H02E0401 +arc: C1 E1_H02W0401 +arc: C5 V00T0100 +arc: C6 N1_V02S0201 +arc: CE0 H00R0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0201 +arc: D1 V00B0100 +arc: D5 V00B0000 +arc: D6 V02S0601 +arc: E1_H01E0001 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q6 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F1 +arc: N1_V02N0301 Q1 +arc: S3_V06S0303 F6 +arc: V00T0000 F0 +arc: V01S0000 F5 +arc: W3_H06W0303 Q6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000011111100 +word: SLICEA.K0.INIT 0000000011110000 +word: SLICEA.K1.INIT 0000110000111111 +word: SLICED.K0.INIT 1100111101001111 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R44C18:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 V02S0601 +arc: H00L0000 H02E0001 +arc: H00R0000 V02N0401 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 V01N0101 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 V01N0001 +arc: N3_V06N0303 W3_H06E0303 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N1_V02S0201 +arc: V00B0000 V02S0001 +arc: V00T0100 E1_H02W0301 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0501 S1_V02N0501 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E1_H02W0501 +arc: A1 V02N0501 +arc: A5 V00T0000 +arc: B1 S1_V02N0301 +arc: B2 H00R0000 +arc: B5 N1_V01S0000 +arc: B6 N1_V01S0000 +arc: C1 N1_V01S0100 +arc: C2 E1_H02W0601 +arc: C3 W1_H02E0401 +arc: C5 E1_H01E0101 +arc: C6 E1_H01E0101 +arc: C7 V02N0001 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D1 H02W0001 +arc: D2 E1_H02W0001 +arc: D3 V00T0100 +arc: D4 F2 +arc: D5 H00L0100 +arc: D6 H00L0100 +arc: D7 S1_V02N0401 +arc: E1_H01E0101 F3 +arc: E3_H06E0203 Q4 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0100 F7 +arc: M4 V00B0000 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S3_V06S0203 Q4 +arc: S3_V06S0303 Q6 +arc: V00T0000 F2 +arc: V01S0000 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000100000011 +word: SLICED.K0.INIT 1111000011111100 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 0000000000111111 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0000000011111111 +word: SLICEC.K1.INIT 1111010111111101 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 + +.tile R44C19:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0101 V01N0101 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 E3_H06W0003 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 V02S0001 +arc: H00L0100 W1_H02E0101 +arc: H00R0000 H02E0601 +arc: H00R0100 V02S0701 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0401 W3_H06E0203 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0301 H06E0003 +arc: S1_V02S0401 E3_H06W0203 +arc: S3_V06S0103 N1_V02S0101 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 W1_H02E0601 +arc: V00T0000 V02S0601 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0501 V06S0303 +arc: W1_H02W0601 V06S0303 +arc: W1_H02W0701 N3_V06S0203 +arc: A0 H02E0501 +arc: A1 H00L0100 +arc: A3 S1_V02N0501 +arc: A5 V00T0100 +arc: A6 N1_V01S0100 +arc: A7 H02W0701 +arc: B0 E1_H01W0100 +arc: B1 H00R0100 +arc: B3 V02S0101 +arc: B5 V02N0501 +arc: B6 V00T0000 +arc: B7 V02S0501 +arc: C0 H00L0000 +arc: C1 S1_V02N0601 +arc: C3 H02W0401 +arc: C5 E1_H01E0101 +arc: C6 V00B0100 +arc: C7 H02W0401 +arc: CE0 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 V01S0100 +arc: D3 H00R0000 +arc: D5 V02N0601 +arc: D6 H01W0000 +arc: D7 V00B0000 +arc: E3_H06E0003 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F5 +arc: H01W0100 Q1 +arc: LSR1 H02E0301 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR1 +arc: V00B0100 F7 +arc: V00T0100 Q1 +arc: V01S0100 F6 +arc: W3_H06W0003 F3 +arc: W3_H06W0303 F6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0001001101011111 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0001001101011111 +word: SLICEA.K0.INIT 0011001101011111 +word: SLICEA.K1.INIT 0111000001111010 +word: SLICED.K0.INIT 0111000000000000 +word: SLICED.K1.INIT 0001001101011111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R44C20:PLC2 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0000 H02E0001 +arc: H00R0000 H02W0601 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0601 H06E0303 +arc: N3_V06N0203 S1_V02N0401 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0203 W3_H06E0203 +arc: V00B0000 V02S0201 +arc: V00B0100 E1_H02W0701 +arc: V00T0000 E1_H02W0001 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0003 E1_H02W0301 +arc: A2 V00B0000 +arc: A3 V01N0101 +arc: A6 N1_V01S0100 +arc: A7 S1_V02N0301 +arc: B1 E1_H02W0101 +arc: B2 H02W0301 +arc: B3 V02S0301 +arc: B6 H02W0301 +arc: B7 V02S0501 +arc: C0 E1_H02W0401 +arc: C1 H02W0401 +arc: C2 H00L0100 +arc: C3 H00L0000 +arc: C6 E1_H01E0101 +arc: C7 H01E0001 +arc: CE0 V02N0201 +arc: CE2 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 H00R0000 +arc: D2 H02E0201 +arc: D3 V00B0100 +arc: D6 H02W0201 +arc: D7 H00R0100 +arc: E1_H01E0101 F7 +arc: E3_H06E0303 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F3 +arc: H01W0000 F6 +arc: H01W0100 Q4 +arc: M4 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N3_V06N0003 F0 +arc: S3_V06S0103 F2 +arc: V00T0100 F1 +arc: W1_H02W0301 F1 +arc: W3_H06W0103 Q1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1111000000000000 +word: SLICEA.K1.INIT 0000110000111111 +word: SLICED.K0.INIT 0111000000000000 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEB.K0.INIT 0111000000000000 +word: SLICEB.K1.INIT 0001001101011111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R44C21:PLC2 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0203 N1_V01S0000 +arc: H00L0000 W1_H02E0001 +arc: H00R0000 V02S0601 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 V01N0101 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 W3_H06E0303 +arc: S3_V06S0203 N1_V02S0401 +arc: V00B0000 V02S0001 +arc: V00B0100 V02S0301 +arc: V00T0000 W1_H02E0001 +arc: V00T0100 W1_H02E0301 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0601 N1_V02S0601 +arc: W3_H06W0103 E3_H06W0103 +arc: A0 H02E0701 +arc: A1 S1_V02N0701 +arc: A3 V02N0701 +arc: A4 V02S0101 +arc: A5 V02N0101 +arc: A6 N1_V01S0100 +arc: A7 V02N0301 +arc: B0 V02S0301 +arc: B1 E1_H02W0101 +arc: B3 H02E0101 +arc: B4 V00B0100 +arc: B5 H00R0000 +arc: B6 V00B0000 +arc: B7 V02S0701 +arc: C0 H00L0100 +arc: C1 H00L0000 +arc: C3 H00L0000 +arc: C4 V02S0201 +arc: C5 V00T0000 +arc: C6 E1_H01E0101 +arc: C7 V00T0000 +arc: D0 V00T0100 +arc: D1 E1_H02W0001 +arc: D3 E1_H02W0001 +arc: D4 E1_H01W0100 +arc: D5 E1_H02W0001 +arc: D6 H02W0201 +arc: D7 E1_H02W0001 +arc: E1_H01E0001 F4 +arc: E1_H01E0101 F7 +arc: E1_H02E0001 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: N1_V01N0001 F5 +arc: S1_V02S0101 F3 +arc: S3_V06S0003 F0 +arc: S3_V06S0303 F6 +arc: W3_H06W0203 F4 +arc: W3_H06W0303 F6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0001001101011111 +word: SLICED.K0.INIT 0111000000000000 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEC.K0.INIT 0111000000000000 +word: SLICEC.K1.INIT 0001001101011111 +word: SLICEA.K0.INIT 0111000000000000 +word: SLICEA.K1.INIT 0001001101011111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R44C22:PLC2 +arc: E1_H02E0201 V01N0001 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 N1_V01S0100 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0103 W3_H06E0103 +arc: H00L0000 V02S0001 +arc: H00R0000 H02E0601 +arc: H00R0100 V02S0701 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 H06E0303 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 E3_H06W0303 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 W1_H02E0401 +arc: V00B0100 E1_H02W0501 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0303 E3_H06W0303 +arc: A0 N1_V02S0501 +arc: A1 V01N0101 +arc: A2 V02S0501 +arc: A3 V02N0501 +arc: A4 V02S0301 +arc: A5 V02N0101 +arc: A7 V00T0100 +arc: B0 H02E0301 +arc: B1 V02S0101 +arc: B2 H02E0301 +arc: B3 H00R0100 +arc: B4 H02E0301 +arc: B5 H00L0000 +arc: B7 E1_H02W0101 +arc: C0 N1_V01N0001 +arc: C1 E1_H02W0601 +arc: C2 H00L0100 +arc: C3 E1_H02W0401 +arc: C4 E1_H01E0101 +arc: C5 E1_H02W0601 +arc: C7 V00B0100 +arc: D0 S1_V02N0001 +arc: D1 H02W0001 +arc: D2 H00R0000 +arc: D3 H02W0001 +arc: D4 V00B0000 +arc: D5 E1_H01W0100 +arc: D7 V02N0601 +arc: E1_H01E0101 F5 +arc: E3_H06E0003 F0 +arc: E3_H06E0203 F4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F3 +arc: H01W0000 F2 +arc: H01W0100 F7 +arc: N1_V01N0001 F1 +arc: S3_V06S0103 F2 +arc: V01S0000 F4 +arc: W3_H06W0003 F0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEA.K0.INIT 0111000000000000 +word: SLICEA.K1.INIT 0001001101011111 +word: SLICEC.K0.INIT 0111000000000000 +word: SLICEC.K1.INIT 0001001101011111 +word: SLICEB.K0.INIT 0111000000000000 +word: SLICEB.K1.INIT 0001001101011111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R44C23:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0601 N1_V02S0601 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 H02E0201 +arc: H00R0000 V02S0401 +arc: H00R0100 E1_H02W0501 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0601 W3_H06E0303 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0401 S3_V06N0203 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0303 N1_V01S0100 +arc: V00B0000 W1_H02E0401 +arc: V00T0000 V02S0601 +arc: V00T0100 E1_H02W0101 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0601 V01N0001 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0203 E1_H01W0000 +arc: A0 V02S0501 +arc: A1 V02N0501 +arc: A2 V02S0701 +arc: A3 V00B0000 +arc: A4 W1_H02E0501 +arc: A5 H02E0701 +arc: A6 H02E0501 +arc: A7 H00L0000 +arc: B0 W1_H02E0301 +arc: B1 V02S0301 +arc: B2 W1_H02E0301 +arc: B3 E1_H02W0301 +arc: B4 W1_H02E0301 +arc: B5 H00R0000 +arc: B6 W1_H02E0301 +arc: B7 V00T0000 +arc: C0 N1_V01N0001 +arc: C1 V02N0401 +arc: C2 H00L0100 +arc: C3 V02N0401 +arc: C4 E1_H01E0101 +arc: C5 V00T0100 +arc: C6 H02E0401 +arc: C7 V00T0100 +arc: D0 E1_H02W0201 +arc: D1 H02W0201 +arc: D2 H02W0001 +arc: D3 H02W0201 +arc: D4 V02N0601 +arc: D5 H02W0201 +arc: D6 H00R0100 +arc: D7 H02W0201 +arc: E1_H01E0101 F5 +arc: E1_H02E0401 F6 +arc: E3_H06E0103 F2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F3 +arc: H01W0000 F7 +arc: N1_V01N0001 F1 +arc: S3_V06S0203 F4 +arc: V01S0000 F0 +arc: W3_H06W0003 F0 +arc: W3_H06W0103 F2 +arc: W3_H06W0303 F6 +word: SLICED.K0.INIT 0111000000000000 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEB.K0.INIT 0111000000000000 +word: SLICEB.K1.INIT 0001001101011111 +word: SLICEC.K0.INIT 0111000000000000 +word: SLICEC.K1.INIT 0001001101011111 +word: SLICEA.K0.INIT 0111000000000000 +word: SLICEA.K1.INIT 0001001101011111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R44C24:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0201 H01E0001 +arc: E1_H02E0301 V06N0003 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 V02N0201 +arc: H00L0100 V02S0101 +arc: H00R0000 N1_V02S0601 +arc: H00R0100 V02N0501 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 +arc: S3_V06S0203 W3_H06E0203 +arc: V00B0100 V02N0301 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 V02N0501 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0501 E1_H01W0100 +arc: W1_H02W0601 E1_H01W0000 +arc: W3_H06W0003 S3_V06N0003 +arc: W3_H06W0203 N1_V01S0000 +arc: W3_H06W0303 N1_V01S0100 +arc: A1 S1_V02N0701 +arc: A5 H02W0701 +arc: A7 H00L0000 +arc: B1 V00T0000 +arc: B2 H00R0000 +arc: B5 V00B0100 +arc: B7 N1_V02S0501 +arc: C1 H02W0601 +arc: C2 H00L0100 +arc: C5 H02W0601 +arc: C7 H02W0601 +arc: CE1 V02S0201 +arc: CLK0 G_HPBX0000 +arc: D1 V00T0100 +arc: D2 H02W0001 +arc: D3 V01S0100 +arc: D5 H00R0100 +arc: D7 H00R0100 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: H01W0100 F1 +arc: LSR0 H02E0301 +arc: M2 H02E0601 +arc: MUXCLK1 CLK0 +arc: MUXLSR1 LSR0 +arc: S1_V02S0201 Q2 +arc: S3_V06S0103 Q2 +arc: W1_H02W0701 F5 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0001010100111111 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0001010100111111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0001010100111111 +word: SLICEB.K0.INIT 1100000011111111 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R44C25:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0601 W3_H06E0303 +arc: H00L0000 H02E0001 +arc: H00L0100 H02E0101 +arc: H00R0000 V02N0601 +arc: H01W0000 W3_H06E0103 +arc: H01W0100 W3_H06E0303 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0303 E1_H01W0100 +arc: V00B0100 H02W0701 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 N1_V01S0100 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 V06N0303 +arc: W1_H02W0601 W3_H06E0303 +arc: W1_H02W0701 V01N0101 +arc: A0 E1_H01E0001 +arc: A1 V02S0701 +arc: A3 E1_H01E0001 +arc: A4 H02E0701 +arc: A5 H02E0501 +arc: A7 W1_H02E0501 +arc: B0 E1_H02W0101 +arc: B1 W1_H02E0101 +arc: B3 V02N0101 +arc: B4 H00L0000 +arc: B5 W1_H02E0101 +arc: B7 E1_H02W0301 +arc: C0 H02E0601 +arc: C1 V02S0601 +arc: C3 H00L0100 +arc: C4 E1_H01E0101 +arc: C5 E1_H02W0601 +arc: C7 H02E0401 +arc: CE0 H00R0000 +arc: CE2 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 W1_H02E0001 +arc: D3 V02N0001 +arc: D4 H02W0201 +arc: D5 V00B0000 +arc: D7 H02E0201 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 F7 +arc: E1_H02E0001 F0 +arc: E3_H06E0303 Q5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: LSR0 H02E0301 +arc: LSR1 H02E0301 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR2 LSR0 +arc: N1_V01N0101 Q5 +arc: V00B0000 F4 +arc: W3_H06W0003 F3 +arc: W3_H06W0203 F4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0001001101011111 +word: SLICEC.K0.INIT 0111000000000000 +word: SLICEC.K1.INIT 0010101001101110 +word: SLICEA.K0.INIT 0011001101011111 +word: SLICEA.K1.INIT 0010101001101110 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R44C26:PLC2 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 V02S0201 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0201 V01N0001 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 H02E0601 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02N0001 +arc: V00B0100 H02W0701 +arc: V00T0000 V02N0401 +arc: V00T0100 V02N0501 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0501 E1_H01W0100 +arc: W1_H02W0701 V02S0701 +arc: A1 V01N0101 +arc: B1 V00B0000 +arc: B2 N1_V02S0101 +arc: B4 V02S0501 +arc: B6 H02E0301 +arc: C1 H02E0601 +arc: C2 E1_H02W0601 +arc: C4 E1_H02W0601 +arc: C6 E1_H02W0601 +arc: CE1 V02S0201 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D1 V00T0100 +arc: D2 H02E0001 +arc: D3 S1_V02N0001 +arc: D4 N1_V02S0601 +arc: D5 S1_V02N0601 +arc: D6 H00R0100 +arc: D7 V02N0601 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F1 +arc: H01W0100 Q4 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: M2 V00B0100 +arc: M4 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR1 +arc: S3_V06S0103 Q2 +arc: S3_V06S0203 Q4 +arc: V01S0100 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0001010100111111 +word: SLICEB.K0.INIT 1100000011111111 +word: SLICEB.K1.INIT 1111111100000000 +word: SLICED.K0.INIT 1100000011111111 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 1100000011111111 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R44C27:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0501 V02N0501 +arc: H00L0000 W1_H02E0201 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 V02S0501 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 H02W0401 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0601 W1_H02E0601 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 V02N0201 +arc: V00B0100 V02S0301 +arc: V00T0000 H02W0201 +arc: V00T0100 V02N0701 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0301 N1_V01S0100 +arc: W1_H02W0501 V06N0303 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 V02N0701 +arc: A0 H00L0100 +arc: A1 H00L0000 +arc: A5 V00B0000 +arc: A7 N1_V01N0101 +arc: B0 E1_H02W0101 +arc: B1 H00R0100 +arc: B2 H02E0301 +arc: B5 V02S0701 +arc: B7 W1_H02E0101 +arc: C0 E1_H02W0601 +arc: C1 V02S0601 +arc: C2 H02W0601 +arc: C5 W1_H02E0601 +arc: C7 W1_H02E0601 +arc: CE0 N1_V02S0201 +arc: CE1 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 E1_H02W0201 +arc: D2 F0 +arc: D3 H00R0000 +arc: D5 V02N0601 +arc: D7 V02N0601 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0100 Q1 +arc: H01W0000 F5 +arc: H01W0100 F7 +arc: LSR0 V00T0000 +arc: LSR1 V00T0000 +arc: M2 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR0 +arc: N1_V01N0101 Q1 +arc: V01S0000 Q2 +arc: W3_H06W0103 Q2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0001010100111111 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEA.K0.INIT 0011001101011111 +word: SLICEA.K1.INIT 0100110001101110 +word: SLICEB.K0.INIT 1100000011111111 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R44C28:PLC2 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0101 E1_H01W0100 +arc: H00L0100 N1_V02S0301 +arc: H00R0100 H02W0701 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0401 W1_H02E0401 +arc: N3_V06N0003 S1_V02N0001 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 H01E0001 +arc: V00B0000 V02N0001 +arc: V00B0100 H02E0501 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 H02W0301 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0601 N1_V01S0000 +arc: W1_H02W0701 W3_H06E0203 +arc: A1 E1_H01E0001 +arc: B1 V02N0101 +arc: B4 H00L0000 +arc: C1 W1_H02E0401 +arc: C4 E1_H01E0101 +arc: C5 V00T0100 +arc: CE1 H00R0100 +arc: CE2 H00L0100 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D1 V00B0100 +arc: D4 H02E0001 +arc: D5 V02N0601 +arc: E1_H01E0001 Q2 +arc: E1_H01E0101 F5 +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00L0000 Q2 +arc: H01W0100 Q6 +arc: M2 V00T0000 +arc: M6 V00B0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V02N0301 F1 +arc: N3_V06N0203 Q4 +arc: N3_V06N0303 F5 +arc: S3_V06S0303 F5 +arc: V01S0000 F5 +arc: W3_H06W0303 F5 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1110101000101010 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1111000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R44C29:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0601 S1_V02N0601 +arc: H00L0000 S1_V02N0001 +arc: H00R0000 V02N0601 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0401 W3_H06E0203 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 N1_V01S0000 +arc: N3_V06N0303 S3_V06N0203 +arc: S3_V06S0203 N1_V01S0000 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 H02W0401 +arc: V00B0100 V02N0301 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0101 N1_V01S0100 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 W3_H06E0203 +arc: A6 E1_H02W0701 +arc: B6 V00B0000 +arc: C6 V02N0001 +arc: CE1 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D6 E1_H02W0001 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: M0 V00B0100 +arc: M1 H00L0000 +arc: M2 V00B0100 +arc: M3 H00R0000 +arc: M4 V00B0100 +arc: M5 H00L0000 +arc: M6 V00B0100 +arc: MUXCLK1 CLK0 +arc: W1_H02W0301 Q3 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1111111111111111 +word: SLICEC.K1.INIT 1111111111111111 +word: SLICED.K0.INIT 1110101011000000 +word: SLICED.K1.INIT 1111111111111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R44C2:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0701 V02N0701 +arc: H00L0000 V02N0001 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 H06W0103 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 N3_V06S0003 +arc: V00B0100 V02N0101 +arc: V00T0100 E1_H02W0101 +arc: CE1 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0201 Q2 +arc: LSR0 H02W0301 +arc: LSR1 H02W0301 +arc: M2 V00T0100 +arc: M6 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR1 LSR0 +arc: MUXLSR3 LSR1 +arc: S3_V06S0303 Q6 +arc: V01S0100 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R44C30:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0201 N1_V01S0000 +arc: E1_H02E0301 S1_V02N0301 +arc: H00R0100 V02S0701 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 S3_V06N0203 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0401 H02E0401 +arc: S3_V06S0003 N1_V02S0301 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 V02N0101 +arc: V00T0000 H02E0001 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0701 V06N0203 +arc: A7 H00R0000 +arc: B7 E1_H02W0301 +arc: C6 H02E0601 +arc: C7 H02E0601 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D6 H01W0000 +arc: D7 S1_V02N0601 +arc: E1_H01E0101 F6 +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q4 +arc: H01W0000 Q4 +arc: M0 V00B0000 +arc: M2 V00B0100 +arc: M4 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 Q2 +arc: S1_V02S0001 Q2 +arc: V01S0000 F7 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000111100000000 +word: SLICED.K1.INIT 1000110010101111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 + +.tile R44C31:PLC2 +arc: E1_H02E0401 W1_H02E0101 +arc: H00L0000 V02N0201 +arc: H00R0000 V02N0401 +arc: H00R0100 V02S0701 +arc: N1_V02N0601 S3_V06N0303 +arc: S1_V02S0301 S3_V06N0003 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 H01E0101 +arc: V00B0000 N1_V02S0201 +arc: V00T0000 H02E0001 +arc: V00T0100 V02S0501 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0701 V06S0203 +arc: A0 H00L0000 +arc: A1 S1_V02N0701 +arc: A4 H02W0501 +arc: A5 N1_V01N0101 +arc: A7 S1_V02N0301 +arc: B0 V00T0000 +arc: B1 H00R0100 +arc: B4 S1_V02N0501 +arc: B5 H02W0101 +arc: B7 E1_H02W0101 +arc: C0 H00L0100 +arc: C1 V02S0401 +arc: C4 E1_H02W0601 +arc: C5 V01N0101 +arc: C7 V02N0001 +arc: CE1 S1_V02N0201 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V01S0000 +arc: D1 H00R0000 +arc: D4 H01W0000 +arc: D5 H02W0201 +arc: D7 H02E0201 +arc: E1_H01E0001 F6 +arc: E1_H01E0101 F4 +arc: E1_H02E0201 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F1 +arc: H01W0000 F5 +arc: M2 V00T0100 +arc: M6 V00B0000 +arc: MUXCLK1 CLK0 +arc: N1_V01N0101 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1011000000000000 +word: SLICEC.K1.INIT 1001000000000000 +word: SLICEA.K0.INIT 1101000000000000 +word: SLICEA.K1.INIT 1010001011110011 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100010000110001 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 + +.tile R44C32:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: H00L0000 N1_V02S0201 +arc: H00R0100 V02S0701 +arc: N1_V02N0201 V01N0001 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0601 N1_V01S0000 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0101 S3_V06N0103 +arc: V00B0100 N1_V02S0101 +arc: V00T0000 H02E0201 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0101 N1_V01S0100 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0501 V02S0501 +arc: A0 S1_V02N0501 +arc: A1 E1_H01E0001 +arc: A3 V00B0000 +arc: B0 H00R0100 +arc: B1 V00T0000 +arc: B3 E1_H01W0100 +arc: C0 S1_V02N0601 +arc: C1 H00L0100 +arc: C3 H02E0401 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 S1_V02N0201 +arc: D1 H01E0101 +arc: D3 N1_V02S0001 +arc: E1_H01E0001 F0 +arc: E1_H01E0101 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: H00L0100 F3 +arc: H01W0000 F1 +arc: M4 V00B0100 +arc: M6 V00T0100 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V00B0000 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0010000100000000 +word: SLICEA.K0.INIT 1001000000000000 +word: SLICEA.K1.INIT 1000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R44C33:PLC2 +arc: V00B0000 V02N0001 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0601 V02N0601 +arc: CE2 H02E0101 +arc: CLK0 G_HPBX0000 +arc: H01W0100 Q4 +arc: M4 V00B0000 +arc: MUXCLK2 CLK0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R44C3:PLC2 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0501 V02S0501 +arc: H00L0100 V02N0301 +arc: H00R0000 H02E0401 +arc: H00R0100 V02S0701 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0001 H06W0003 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0501 E1_H02W0501 +arc: S3_V06S0103 E3_H06W0103 +arc: V00B0000 V02N0001 +arc: V00B0100 E1_H02W0701 +arc: V00T0000 V02N0601 +arc: V00T0100 V02S0501 +arc: W1_H02W0301 V06N0003 +arc: A0 E1_H01E0001 +arc: A1 E1_H02W0701 +arc: A6 H02E0501 +arc: A7 E1_H02W0701 +arc: B0 V02N0101 +arc: B1 H02W0101 +arc: B3 H01W0100 +arc: B6 V00B0100 +arc: B7 F3 +arc: C0 V02N0401 +arc: C1 H00L0000 +arc: C3 V02N0401 +arc: C6 V00T0100 +arc: C7 S1_V02N0201 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V02N0001 +arc: D3 H00R0000 +arc: D6 H00R0100 +arc: D7 V00B0000 +arc: E1_H01E0001 Q1 +arc: E3_H06E0203 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 F0 +arc: H01W0100 Q7 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: M4 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0101 Q4 +arc: V01S0000 F6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000011111100 +word: SLICEA.K0.INIT 0000000000110101 +word: SLICEA.K1.INIT 1000110100001111 +word: SLICED.K0.INIT 0000011101110111 +word: SLICED.K1.INIT 1110010011001100 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET SET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R44C4:PLC2 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0003 H01E0001 +arc: H00L0000 W1_H02E0201 +arc: H00R0100 V02S0501 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 E1_H01W0100 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0601 H02W0601 +arc: S3_V06S0003 N3_V06S0303 +arc: V00B0100 H02E0501 +arc: V00T0000 W1_H02E0201 +arc: V00T0100 W1_H02E0101 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0501 V02N0501 +arc: A6 H00L0000 +arc: A7 N1_V01N0101 +arc: B6 V00B0100 +arc: B7 V00T0000 +arc: C6 E1_H02W0601 +arc: C7 V02N0201 +arc: CE1 V02N0201 +arc: CLK0 G_HPBX0000 +arc: D6 H00R0100 +arc: D7 V02S0601 +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: M2 V00T0100 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 F6 +arc: N1_V01N0101 Q2 +arc: S3_V06S0203 F7 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0001001101011111 +word: SLICED.K1.INIT 0101010100111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R44C5:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0601 N1_V01S0000 +arc: E3_H06E0103 S3_V06N0103 +arc: H00L0000 H02E0201 +arc: H00L0100 V02S0101 +arc: H00R0000 E1_H02W0601 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0501 E1_H02W0501 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0601 V01N0001 +arc: S3_V06S0103 N1_V01S0100 +arc: V00B0000 W1_H02E0401 +arc: V00T0000 V02S0601 +arc: V00T0100 N1_V02S0501 +arc: A1 H00R0000 +arc: A5 S1_V02N0301 +arc: A6 V02N0101 +arc: B1 V00B0000 +arc: B4 V00B0100 +arc: B5 H02W0301 +arc: B6 V00B0100 +arc: C1 H00R0100 +arc: C4 V02S0001 +arc: C5 E1_H02W0401 +arc: C6 H02E0401 +arc: C7 V00T0000 +arc: CE1 H00L0000 +arc: CE2 N1_V02S0601 +arc: CE3 N1_V02S0601 +arc: CLK0 G_HPBX0000 +arc: D1 W1_H02E0001 +arc: D4 H02W0001 +arc: D5 H00L0100 +arc: D6 E1_H01W0100 +arc: D7 H02W0201 +arc: E1_H01E0001 Q2 +arc: E1_H01E0101 Q5 +arc: E1_H02E0501 Q7 +arc: E1_H02E0701 Q5 +arc: E3_H06E0303 Q5 +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q2 +arc: H01W0100 Q2 +arc: M2 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 F1 +arc: N1_V02N0001 Q2 +arc: N3_V06N0103 F1 +arc: S3_V06S0203 Q7 +arc: S3_V06S0303 Q5 +arc: V00B0100 Q5 +arc: V01S0000 Q2 +arc: V01S0100 Q7 +arc: W1_H02W0401 F4 +arc: W1_H02W0501 Q5 +arc: W1_H02W0601 F6 +arc: W1_H02W0701 Q7 +arc: W3_H06W0103 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0011010100110011 +word: SLICED.K0.INIT 0001001101011111 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0011111100000000 +word: SLICEC.K1.INIT 1000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 + +.tile R44C6:PLC2 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0401 W1_H02E0101 +arc: E3_H06E0203 W1_H02E0701 +arc: E3_H06E0303 S3_V06N0303 +arc: H00L0000 V02N0001 +arc: H00L0100 N1_V02S0301 +arc: H00R0100 H02W0701 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0301 H01E0101 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 V01N0101 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 H02E0701 +arc: S1_V02S0001 N1_V01S0000 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0203 N1_V02S0701 +arc: V00B0000 V02N0201 +arc: V00B0100 V02N0301 +arc: V00T0000 V02N0401 +arc: V00T0100 V02N0501 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0601 E1_H01W0000 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 E1_H01W0000 +arc: A1 H01E0001 +arc: A2 V02N0701 +arc: A3 H02E0501 +arc: A5 N1_V02S0101 +arc: A6 N1_V01N0101 +arc: B1 H02E0301 +arc: B2 H02E0101 +arc: B3 W1_H02E0301 +arc: B5 E1_H02W0101 +arc: B6 E1_H02W0301 +arc: C0 H00L0100 +arc: C1 H00L0100 +arc: C2 H00R0100 +arc: C3 E1_H02W0401 +arc: C5 V02S0201 +arc: C6 V00T0000 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0201 +arc: D1 H02W0201 +arc: D2 H02W0001 +arc: D3 H02E0001 +arc: D5 E1_H01W0100 +arc: D6 H02E0201 +arc: E1_H01E0001 F6 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: LSR1 V00B0000 +arc: M0 V00B0100 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: N1_V01N0001 F0 +arc: N1_V01N0101 Q5 +arc: S3_V06S0003 Q3 +arc: V01S0100 Q3 +arc: W1_H02W0001 F2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0010101001101110 +word: SLICEB.K0.INIT 0000011101110111 +word: SLICEB.K1.INIT 1000110100001111 +word: SLICEA.K0.INIT 1111000011111111 +word: SLICEA.K1.INIT 1110000000000000 +word: SLICED.K0.INIT 0000011101110111 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R44C7:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 E1_H01W0000 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0501 N1_V02S0501 +arc: E3_H06E0303 V01N0101 +arc: H00L0100 V02N0101 +arc: H00R0000 W1_H02E0401 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 H06W0303 +arc: N1_V02N0601 E1_H02W0601 +arc: N3_V06N0103 E1_H01W0100 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0100 V02S0101 +arc: V00T0000 V02S0601 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0601 V01N0001 +arc: W1_H02W0701 V01N0101 +arc: A1 V02S0701 +arc: A3 V02S0701 +arc: A5 N1_V02S0101 +arc: A6 W1_H02E0701 +arc: B1 H02E0101 +arc: B3 H02E0101 +arc: B5 V02N0701 +arc: B6 V00B0100 +arc: B7 V00B0000 +arc: C1 V02S0601 +arc: C3 V02S0601 +arc: C5 V00T0000 +arc: C6 H01E0001 +arc: C7 H02E0401 +arc: CE1 H00R0000 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D1 V02N0201 +arc: D3 V02N0201 +arc: D5 H00L0100 +arc: D6 H02W0201 +arc: D7 S1_V02N0601 +arc: E1_H01E0101 Q2 +arc: E3_H06E0103 Q2 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q2 +arc: H01W0100 F6 +arc: M2 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V02N0701 F7 +arc: S3_V06S0103 Q2 +arc: V00B0000 F6 +arc: V01S0000 F5 +arc: V01S0100 F5 +arc: W1_H02W0501 Q7 +arc: W3_H06W0103 F1 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000100000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000001 +word: SLICED.K0.INIT 0111000000000000 +word: SLICED.K1.INIT 0011000000111111 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000010000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R44C8:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 V01N0101 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0701 V02S0701 +arc: H00L0100 H02W0301 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 S1_V02N0501 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 V01N0001 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 S3_V06N0303 +arc: V00B0000 V02S0201 +arc: V00B0100 H02E0501 +arc: V00T0000 V02N0601 +arc: V00T0100 V02N0501 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0601 V06S0303 +arc: W1_H02W0701 V02N0701 +arc: A3 V00T0000 +arc: A6 H00R0000 +arc: B0 H02W0301 +arc: B3 V02N0301 +arc: B4 V00B0100 +arc: B5 H02E0301 +arc: B6 V01S0000 +arc: C0 H00R0100 +arc: C1 H00L0000 +arc: C3 H02W0401 +arc: C4 N1_V02S0001 +arc: C5 E1_H02W0401 +arc: C6 F4 +arc: CE0 S1_V02N0201 +arc: CE1 S1_V02N0201 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0201 +arc: D1 V00T0100 +arc: D3 F0 +arc: D4 H00L0100 +arc: D5 W1_H02E0201 +arc: D6 H02W0001 +arc: E1_H02E0301 F1 +arc: E3_H06E0103 Q2 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 Q0 +arc: H01W0000 F6 +arc: H01W0100 Q2 +arc: M2 E1_H02W0601 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: S3_V06S0003 Q0 +arc: S3_V06S0303 Q6 +arc: V01S0000 F5 +arc: V01S0100 Q0 +arc: W3_H06W0103 Q2 +word: SLICEA.K0.INIT 1111001111000000 +word: SLICEA.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 0000000000111111 +word: SLICEC.K1.INIT 0000000011111100 +word: SLICEB.K0.INIT 1111111111111111 +word: SLICEB.K1.INIT 1111100010001000 +word: SLICED.K0.INIT 1100111101001111 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R44C9:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 E3_H06W0303 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0103 V06S0103 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0100 V02S0301 +arc: H00R0000 N1_V02S0601 +arc: H00R0100 V02S0501 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0601 E1_H02W0601 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0003 N1_V02S0301 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 V02S0101 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 E1_H02W0101 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0101 V06N0103 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0601 S3_V06N0303 +arc: W1_H02W0701 N1_V01S0100 +arc: W3_H06W0103 E3_H06W0103 +arc: A7 H02E0701 +arc: B0 S1_V02N0301 +arc: B2 H00R0100 +arc: B3 H00R0000 +arc: B4 H01E0101 +arc: B6 V01S0000 +arc: B7 H02W0301 +arc: C0 H02W0401 +arc: C2 N1_V02S0601 +arc: C3 V02N0401 +arc: C4 V02N0001 +arc: C5 E1_H01E0101 +arc: C6 W1_H02E0401 +arc: C7 V00B0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0001 +arc: D1 N1_V01S0000 +arc: D2 V02N0201 +arc: D3 W1_H02E0001 +arc: D4 F0 +arc: D5 V00B0000 +arc: D6 E1_H02W0201 +arc: D7 V02S0601 +arc: E1_H01E0101 Q4 +arc: E1_H02E0501 F5 +arc: E3_H06E0203 Q4 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F4 +arc: M0 V00T0000 +arc: M2 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: N3_V06N0303 F6 +arc: S1_V02S0601 Q4 +arc: S3_V06S0103 Q2 +arc: S3_V06S0203 Q7 +arc: V01S0000 Q7 +arc: V01S0100 Q2 +arc: W3_H06W0203 Q7 +word: SLICED.K0.INIT 0011001100001111 +word: SLICED.K1.INIT 1110110010100000 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 1111110000001100 +word: SLICEB.K1.INIT 1111111111000000 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R45C10:PLC2 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0501 S3_V06N0303 +arc: E1_H02E0601 E1_H01W0000 +arc: H00L0100 V02S0301 +arc: H00R0100 V02N0501 +arc: H01W0000 E3_H06W0103 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 H02W0301 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 W1_H02E0601 +arc: V00T0000 V02N0601 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 V06N0303 +arc: W1_H02W0601 S1_V02N0601 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 S3_V06N0203 +arc: A1 H02W0701 +arc: B1 N1_V02S0301 +arc: B3 H00R0100 +arc: B4 V02S0501 +arc: B7 V02S0701 +arc: C1 H00L0100 +arc: C3 E1_H02W0601 +arc: C4 V00B0100 +arc: C5 V02N0001 +arc: C7 V00T0000 +arc: CLK0 G_HPBX0000 +arc: D1 V01S0100 +arc: D3 E1_H02W0001 +arc: D4 H02W0001 +arc: D5 V02N0601 +arc: D7 V00B0000 +arc: E3_H06E0003 F0 +arc: E3_H06E0303 F5 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F4 +arc: LSR0 E1_H02W0301 +arc: M0 H01E0001 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 F5 +arc: N1_V02N0101 F3 +arc: N1_V02N0501 F7 +arc: N3_V06N0303 F5 +arc: S1_V02S0501 F5 +arc: S1_V02S0701 Q7 +arc: V00B0100 F5 +arc: V01S0000 F7 +arc: V01S0100 F4 +arc: W3_H06W0003 F0 +arc: W3_H06W0303 F5 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000011111100 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100000011001111 +word: SLICEC.K0.INIT 0000000000000011 +word: SLICEC.K1.INIT 0000111100000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0001000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 + +.tile R45C11:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0501 V06S0303 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 V02S0201 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 H02W0401 +arc: H01W0000 E3_H06W0103 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 H06E0303 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 H06E0303 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 N1_V02S0201 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 V01N0001 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0100 E1_H02W0701 +arc: V00T0000 V02S0601 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 E1_H01W0000 +arc: W1_H02W0701 V06N0203 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0203 E1_H01W0000 +arc: W3_H06W0303 E3_H06W0203 +arc: A7 V02N0301 +arc: B2 N1_V02S0101 +arc: B3 H00R0000 +arc: B7 N1_V02S0701 +arc: C2 H02W0401 +arc: C3 H00L0000 +arc: C5 V00T0000 +arc: C6 V00T0100 +arc: C7 V00T0100 +arc: CE0 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D2 V02S0201 +arc: D3 E1_H02W0001 +arc: D5 V02N0601 +arc: D6 V02S0401 +arc: D7 V02S0401 +arc: E1_H02E0401 F6 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: M0 H02E0601 +arc: M1 V01S0100 +arc: M2 H02E0601 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: N1_V01N0001 F1 +arc: N3_V06N0103 Q1 +arc: V01S0100 F5 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 1111111111111111 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEB.K0.INIT 1111001100000000 +word: SLICEB.K1.INIT 1111000011000000 +word: SLICED.K0.INIT 1111111100001111 +word: SLICED.K1.INIT 1110000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 + +.tile R45C12:PLC2 +arc: E1_H02E0101 V06N0103 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0601 V01N0001 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 H02E0001 +arc: H00L0100 V02S0101 +arc: H00R0000 E1_H02W0401 +arc: H01W0000 E3_H06W0103 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 H02W0601 +arc: V00B0100 V02N0101 +arc: V00T0000 V02N0601 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0601 E1_H02W0301 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 S3_V06N0203 +arc: W3_H06W0303 E3_H06W0203 +arc: A0 E1_H02W0501 +arc: A5 E1_H01W0000 +arc: B0 H02W0301 +arc: B2 H01W0100 +arc: B5 H02E0301 +arc: B6 H02E0301 +arc: C0 H00L0100 +arc: C1 V02S0601 +arc: C2 N1_V01N0001 +arc: C3 H02W0401 +arc: C5 H02E0601 +arc: C6 H02E0601 +arc: C7 E1_H01E0101 +arc: CE0 V02N0201 +arc: CE1 S1_V02N0201 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 N1_V02S0201 +arc: D2 V00B0100 +arc: D3 H00R0000 +arc: D4 E1_H01W0100 +arc: D5 H02W0201 +arc: D6 H02W0201 +arc: D7 V00B0000 +arc: E1_H01E0001 Q2 +arc: E1_H01E0101 Q6 +arc: E1_H02E0701 F7 +arc: E3_H06E0203 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q0 +arc: M4 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F0 +arc: N1_V01N0101 F1 +arc: S3_V06S0103 Q2 +arc: S3_V06S0203 Q4 +arc: V01S0000 F3 +arc: V01S0100 Q6 +arc: W3_H06W0103 Q2 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 1100110011001010 +word: SLICEA.K1.INIT 0000111111110000 +word: SLICED.K0.INIT 1111001111000000 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 0000000011111111 +word: SLICEC.K1.INIT 1111011111010101 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 + +.tile R45C13:PLC2 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0601 S3_V06N0303 +arc: E1_H02E0701 V06N0203 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 S3_V06N0203 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0100 W1_H02E0101 +arc: H00R0000 V02S0401 +arc: H00R0100 V02N0501 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0701 H06W0203 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 H02W0401 +arc: V00T0100 V02S0501 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 E3_H06W0103 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0601 S3_V06N0303 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0203 +arc: A0 H00L0100 +arc: B0 V02S0101 +arc: B2 E1_H02W0301 +arc: B4 H00L0000 +arc: B6 V02S0701 +arc: B7 V02N0701 +arc: C0 V02N0401 +arc: C1 S1_V02N0601 +arc: C2 V02N0601 +arc: C4 H02W0601 +arc: C5 V02S0201 +arc: C6 S1_V02N0001 +arc: C7 V02S0001 +arc: CE0 H02E0101 +arc: CE2 H00R0000 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 V01S0100 +arc: D2 E1_H02W0001 +arc: D3 H02W0201 +arc: D4 F0 +arc: D5 V00B0000 +arc: D6 E1_H02W0201 +arc: D7 H00R0100 +arc: E1_H01E0101 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H01W0000 F6 +arc: H01W0100 F6 +arc: M2 H02E0601 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q4 +arc: N1_V01N0101 F1 +arc: S1_V02S0601 Q4 +arc: V01S0000 F7 +arc: W1_H02W0201 F2 +arc: W1_H02W0701 Q7 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 1111111000000010 +word: SLICEA.K1.INIT 0000111111110000 +word: SLICED.K0.INIT 0000000000111111 +word: SLICED.K1.INIT 0000110000111111 +word: SLICEB.K0.INIT 1100111100000011 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R45C14:PLC2 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 W1_H02E0701 +arc: E3_H06E0103 H01E0101 +arc: H00L0000 V02S0201 +arc: H00L0100 V02N0301 +arc: H00R0000 H02E0601 +arc: H00R0100 H02E0701 +arc: H01W0000 W3_H06E0103 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 E3_H06W0203 +arc: S3_V06S0003 N3_V06S0303 +arc: V00B0100 S1_V02N0101 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 V06N0003 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0301 E3_H06W0003 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0601 V01N0001 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E1_H01W0000 +arc: W3_H06W0303 E1_H02W0601 +arc: B0 V02N0301 +arc: B2 V02S0101 +arc: B4 H02E0301 +arc: B6 V01S0000 +arc: C0 H02E0401 +arc: C1 H00L0100 +arc: C2 H00L0000 +arc: C3 V02S0401 +arc: C4 V02N0001 +arc: C5 V00T0100 +arc: C6 V00T0000 +arc: C7 E1_H01E0101 +arc: CE0 W1_H02E0101 +arc: CE1 W1_H02E0101 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 H00R0000 +arc: D2 V02N0201 +arc: D3 H00R0000 +arc: D4 F2 +arc: D5 V02N0401 +arc: D6 V01N0001 +arc: D7 V02N0401 +arc: E1_H01E0001 Q6 +arc: E1_H01E0101 Q6 +arc: E1_H02E0501 F5 +arc: E3_H06E0203 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q2 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: N1_V02N0101 F3 +arc: S3_V06S0203 Q4 +arc: S3_V06S0303 Q6 +arc: V00T0000 F0 +arc: V01S0000 Q0 +arc: V01S0100 F1 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 1111110000001100 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICEA.K0.INIT 1100110011110000 +word: SLICEA.K1.INIT 0000111111110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R45C15:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0101 V06N0103 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0401 N1_V02S0401 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0003 W3_H06E0303 +arc: H00L0100 H02W0101 +arc: H00R0100 W1_H02E0501 +arc: H01W0000 E3_H06W0103 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 V01N0101 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 W1_H02E0601 +arc: S3_V06S0003 H01E0001 +arc: S3_V06S0103 N1_V02S0201 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0100 N1_V02S0301 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0601 N1_V01S0000 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E1_H02W0701 +arc: W3_H06W0303 E1_H02W0501 +arc: A1 V02S0501 +arc: B0 S1_V02N0301 +arc: B1 V00T0000 +arc: B4 H02W0301 +arc: B6 V02S0701 +arc: B7 V00B0000 +arc: C0 H02E0601 +arc: C1 H00L0100 +arc: C4 V00T0100 +arc: C6 E1_H02W0601 +arc: C7 H02E0401 +arc: CLK0 G_HPBX0000 +arc: D0 S1_V02N0201 +arc: D1 N1_V01S0000 +arc: D4 S1_V02N0601 +arc: D5 H02E0201 +arc: D6 H00R0100 +arc: D7 V02S0401 +arc: E1_H01E0001 F6 +arc: E1_H02E0601 F4 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: LSR1 H02W0501 +arc: M4 V00B0100 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F7 +arc: N1_V02N0301 F1 +arc: V00B0000 F6 +arc: V00T0000 F0 +word: SLICEA.K0.INIT 1100110011110000 +word: SLICEA.K1.INIT 0000000010101100 +word: SLICED.K0.INIT 1100000011001111 +word: SLICED.K1.INIT 0000000011001111 +word: SLICEC.K0.INIT 1111110000110000 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R45C16:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0201 N1_V01S0000 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0601 V01N0001 +arc: E3_H06E0303 W1_H02E0501 +arc: H00L0000 H02W0001 +arc: H00L0100 H02E0101 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0601 W3_H06E0303 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 V01N0001 +arc: S3_V06S0103 N1_V02S0201 +arc: V00B0000 H02E0601 +arc: V00B0100 V02N0101 +arc: V00T0100 V02N0501 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 E3_H06W0303 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 E1_H02W0101 +arc: W3_H06W0203 V06S0203 +arc: A2 V02S0701 +arc: B2 F3 +arc: B3 S1_V02N0301 +arc: B4 S1_V02N0701 +arc: B5 S1_V02N0701 +arc: B6 N1_V02S0501 +arc: C1 H02E0401 +arc: C2 E1_H02W0401 +arc: C3 H00L0100 +arc: C4 V02N0201 +arc: C5 V02N0001 +arc: C6 V02S0201 +arc: C7 E1_H01E0101 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D1 V00B0100 +arc: D2 H02E0001 +arc: D3 H02E0201 +arc: D4 V02N0401 +arc: D5 H01W0000 +arc: D6 V00B0000 +arc: D7 V02N0601 +arc: E1_H01E0001 F2 +arc: E1_H01E0101 Q6 +arc: E3_H06E0103 F1 +arc: E3_H06E0203 Q4 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: H01W0100 Q4 +arc: M4 V00T0100 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 Q6 +arc: S3_V06S0303 Q6 +arc: W1_H02W0401 Q6 +arc: W3_H06W0303 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1111001111000000 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 1111110000110000 +word: SLICEC.K1.INIT 1111111111000000 +word: SLICEB.K0.INIT 0000000001010011 +word: SLICEB.K1.INIT 1111000011001100 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 + +.tile R45C17:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 V02N0201 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 V02S0501 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 H01E0001 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02N0001 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0101 V06N0103 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 E3_H06W0203 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 E1_H02W0201 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E1_H01W0100 +arc: A4 V00T0100 +arc: B2 H00R0000 +arc: B3 V02S0101 +arc: B4 V00B0100 +arc: B5 S1_V02N0501 +arc: C2 H00R0100 +arc: C3 E1_H01W0000 +arc: C4 H02W0601 +arc: C5 E1_H02W0401 +arc: C7 H02E0401 +arc: CE0 V02S0201 +arc: CLK0 G_HPBX0000 +arc: D2 E1_H02W0001 +arc: D3 V02S0001 +arc: D4 V00B0000 +arc: D5 H02W0201 +arc: D7 H02E0001 +arc: E1_H01E0101 F7 +arc: E3_H06E0203 F7 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: M0 V00T0000 +arc: M1 H00L0000 +arc: M2 V00T0000 +arc: MUXCLK0 CLK0 +arc: N1_V02N0301 Q1 +arc: N3_V06N0203 F4 +arc: S3_V06S0203 F7 +arc: V00B0100 F5 +arc: W1_H02W0501 F7 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0000000001010011 +word: SLICEC.K1.INIT 1111000011001100 +word: SLICEA.K0.INIT 1111111111111111 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEB.K0.INIT 1111001100000000 +word: SLICEB.K1.INIT 1111000011000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R45C18:PLC2 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 H01E0101 +arc: H00L0100 H02E0101 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 V02S0501 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 W1_H02E0601 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 H02W0601 +arc: V00B0100 H02E0501 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0101 E3_H06W0103 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0203 +arc: A1 V02N0501 +arc: A4 V00B0000 +arc: A7 E1_H01W0000 +arc: B0 S1_V02N0301 +arc: B1 V02N0101 +arc: B2 V02S0301 +arc: B3 H00L0000 +arc: B4 H02W0301 +arc: B7 N1_V02S0501 +arc: C0 H00L0100 +arc: C1 V02N0601 +arc: C2 H02E0601 +arc: C3 V02S0601 +arc: C4 H02E0401 +arc: C7 E1_H02W0601 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 E1_H02W0001 +arc: D2 W1_H02E0201 +arc: D3 V00T0100 +arc: D4 H00R0100 +arc: D7 N1_V02S0601 +arc: E1_H01E0001 F3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: H00L0000 F2 +arc: H01W0000 F3 +arc: H01W0100 F4 +arc: LSR1 H02W0501 +arc: M4 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXLSR1 LSR1 +arc: N1_V01N0001 F1 +arc: S3_V06S0103 F2 +arc: V01S0000 Q2 +arc: V01S0100 F0 +arc: W3_H06W0203 F7 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEA.K0.INIT 1100110011110000 +word: SLICEA.K1.INIT 0000000010101100 +word: SLICEB.K0.INIT 1100000011001111 +word: SLICEB.K1.INIT 0000000011001111 +word: SLICEC.K0.INIT 0001001101011111 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R45C19:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0301 E1_H01W0100 +arc: E3_H06E0303 W1_H02E0501 +arc: H00L0000 V02S0201 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 W3_H06E0303 +arc: S1_V02S0401 S3_V06N0203 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 N3_V06S0303 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 N1_V02S0301 +arc: W1_H02W0401 N1_V02S0401 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 E1_H02W0301 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 S3_V06N0103 +arc: A0 H00L0000 +arc: B2 H01W0100 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V00B0100 +arc: B6 V00B0000 +arc: B7 V01S0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q6 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q4 +arc: H01W0000 Q2 +arc: H01W0100 Q2 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q7 +arc: V00B0000 Q6 +arc: V00B0100 Q5 +arc: V01S0000 Q7 +arc: V01S0100 Q3 +arc: W3_H06W0203 Q4 +arc: W3_H06W0303 Q5 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R45C20:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0301 E1_H01W0100 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0601 S3_V06N0303 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0103 H06E0103 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0601 H01E0001 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0003 E1_H02W0001 +arc: W3_H06W0203 S3_V06N0203 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 H02E0301 +arc: B6 V00B0000 +arc: B7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0000 Q6 +arc: H01W0100 Q5 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 Q0 +arc: N1_V02N0301 Q3 +arc: V00B0000 Q6 +arc: V00B0100 Q7 +arc: V00T0000 Q0 +arc: V01S0100 Q7 +arc: W3_H06W0103 Q1 +arc: W3_H06W0303 Q5 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R45C21:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0601 S3_V06N0303 +arc: E3_H06E0003 W3_H06E0003 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 E3_H06W0303 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0301 W3_H06E0003 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 W3_H06E0303 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0601 E1_H02W0601 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0103 E1_H02W0101 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V00B0100 +arc: B6 H02E0301 +arc: B7 H02W0101 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q7 +arc: E3_H06E0103 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0100 Q6 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q3 +arc: N1_V01N0101 Q0 +arc: N1_V02N0701 Q7 +arc: N3_V06N0303 Q5 +arc: V00B0100 Q5 +arc: V00T0000 Q0 +arc: V01S0000 Q4 +arc: V01S0100 Q1 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R45C22:PLC2 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0601 H02W0601 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0601 S3_V06N0303 +arc: S1_V02S0701 H06W0203 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 N1_V02S0601 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0601 E1_H02W0601 +arc: W3_H06W0303 E1_H01W0100 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 H02E0301 +arc: B6 V00B0000 +arc: B7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0100 Q5 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q4 +arc: N1_V01N0101 Q3 +arc: N1_V02N0101 Q1 +arc: N1_V02N0501 Q5 +arc: N1_V02N0701 Q7 +arc: S1_V02S0201 Q0 +arc: V00B0000 Q6 +arc: V00B0100 Q7 +arc: V00T0000 Q0 +arc: V01S0100 Q2 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R45C23:PLC2 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 N1_V01S0000 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0303 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0501 W3_H06E0303 +arc: S1_V02S0601 W1_H02E0601 +arc: S1_V02S0701 W3_H06E0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N1_V02S0201 +arc: S3_V06S0203 W3_H06E0203 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0601 W3_H06E0303 +arc: W3_H06W0103 E1_H01W0100 +arc: W3_H06W0203 E1_H01W0000 +arc: W3_H06W0303 E3_H06W0303 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V01S0000 +arc: B6 V00B0000 +arc: B7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0100 Q4 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q7 +arc: N1_V01N0101 Q0 +arc: S1_V02S0101 Q1 +arc: V00B0000 Q6 +arc: V00B0100 Q7 +arc: V00T0000 Q0 +arc: V01S0000 Q5 +arc: W1_H02W0201 Q2 +arc: W1_H02W0401 Q6 +arc: W3_H06W0003 Q3 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R45C24:PLC2 +arc: E1_H02E0601 W3_H06E0303 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S1_V02N0701 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0301 E1_H01W0100 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 E1_H02W0501 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0203 N3_V06S0203 +arc: W1_H02W0601 N1_V02S0601 +arc: W3_H06W0003 S3_V06N0003 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0203 S3_V06N0203 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V00B0100 +arc: B6 V00B0000 +arc: B7 V01S0000 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0000 Q1 +arc: H01W0100 Q6 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: N1_V02N0201 Q0 +arc: V00B0000 Q6 +arc: V00B0100 Q5 +arc: V00T0000 Q0 +arc: V01S0000 Q7 +arc: V01S0100 Q7 +arc: W1_H02W0201 Q2 +arc: W1_H02W0301 Q3 +arc: W3_H06W0303 Q5 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R45C25:PLC2 +arc: E1_H02E0601 W1_H02E0601 +arc: E1_H02E0701 W1_H02E0701 +arc: E3_H06E0003 W3_H06E0303 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0501 W1_H02E0501 +arc: S1_V02S0601 S3_V06N0303 +arc: S3_V06S0103 E1_H01W0100 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: W1_H02W0301 N1_V02S0301 +arc: W3_H06W0203 V06S0203 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V00B0100 +arc: B6 V00B0000 +arc: B7 V01S0000 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0100 Q7 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q5 +arc: N1_V01N0101 Q6 +arc: N1_V02N0101 Q1 +arc: N1_V02N0201 Q2 +arc: N1_V02N0401 Q4 +arc: N3_V06N0003 Q0 +arc: V00B0000 Q6 +arc: V00B0100 Q5 +arc: V00T0000 Q0 +arc: V01S0000 Q7 +arc: V01S0100 Q3 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R45C26:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0201 S1_V02N0201 +arc: E3_H06E0003 W3_H06E0303 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 N1_V01S0100 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 N1_V01S0100 +arc: W3_H06W0303 E1_H01W0100 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V01S0000 +arc: B6 V00B0000 +arc: B7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0501 Q5 +arc: E1_H02E0701 Q7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0000 Q4 +arc: H01W0100 Q0 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: S1_V02S0201 Q2 +arc: S1_V02S0301 Q1 +arc: S3_V06S0003 Q3 +arc: V00B0000 Q6 +arc: V00B0100 Q7 +arc: V00T0000 Q0 +arc: V01S0000 Q5 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R45C27:PLC2 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0203 N1_V01S0000 +arc: S3_V06S0303 H06E0303 +arc: V00B0100 S1_V02N0301 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0301 S3_V06N0003 +arc: A5 H02E0501 +arc: A7 H02E0701 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B5 V00B0100 +arc: B7 V02N0701 +arc: C5 E1_H02W0601 +arc: C7 E1_H02W0601 +arc: CLK0 G_HPBX0000 +arc: D5 V02S0601 +arc: D7 V02S0601 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F7 +arc: MUXCLK0 CLK0 +arc: N1_V02N0201 Q0 +arc: N1_V02N0301 Q1 +arc: V00T0000 Q0 +arc: W3_H06W0303 F5 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0001001101011111 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001010 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R45C28:PLC2 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0203 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 S3_V06N0303 +arc: S1_V02S0101 N1_V02S0101 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0100 V02N0101 +arc: V00T0100 S1_V02N0701 +arc: A1 E1_H01E0001 +arc: A5 E1_H02W0501 +arc: A7 E1_H01W0000 +arc: B1 H00R0100 +arc: B5 V02N0501 +arc: B7 S1_V02N0701 +arc: C1 V02S0601 +arc: C4 V00T0100 +arc: C5 N1_V02S0201 +arc: C7 E1_H02W0401 +arc: CE1 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D1 W1_H02E0201 +arc: D4 W1_H02E0201 +arc: D5 W1_H02E0201 +arc: D7 W1_H02E0201 +arc: E1_H01E0001 Q2 +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: M2 V00B0100 +arc: MUXCLK1 CLK0 +arc: S1_V02S0401 F4 +arc: S1_V02S0501 F7 +arc: S1_V02S0701 F5 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0101010100111111 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0101010100111111 +word: SLICEC.K0.INIT 0000000011110000 +word: SLICEC.K1.INIT 0001010100111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 + +.tile R45C29:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 N3_V06S0303 +arc: E1_H02E0601 S1_V02N0601 +arc: E3_H06E0203 V06N0203 +arc: H00R0000 V02N0401 +arc: H00R0100 V02N0501 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0401 W3_H06E0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0100 V02N0101 +arc: V00T0000 S1_V02N0401 +arc: V00T0100 H02W0301 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 W3_H06E0303 +arc: W1_H02W0601 W3_H06E0303 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0303 V06N0303 +arc: CE1 H00R0000 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q2 +arc: E1_H01E0101 Q6 +arc: H01W0000 Q4 +arc: M2 V00T0100 +arc: M4 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R45C2:PLC2 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0701 E1_H01W0100 +arc: H00L0000 V02S0001 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0501 N1_V02S0401 +arc: V00B0100 S1_V02N0101 +arc: V00T0000 V02N0601 +arc: CE0 H00L0000 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0003 Q0 +arc: LSR1 V00B0100 +arc: M0 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR1 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R45C30:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0601 S3_V06N0303 +arc: H00L0100 H02W0301 +arc: H00R0000 V02S0401 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0401 N1_V01S0000 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0401 S3_V06N0203 +arc: S3_V06S0103 N3_V06S0103 +arc: V00B0000 H02W0401 +arc: V00B0100 H02E0501 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 H01E0101 +arc: A2 H01E0001 +arc: A3 V00T0000 +arc: A6 N1_V01N0101 +arc: A7 N1_V01N0101 +arc: B2 V02S0301 +arc: B3 H01W0100 +arc: B6 V02N0701 +arc: B7 H02W0101 +arc: C2 E1_H02W0401 +arc: C3 S1_V02N0601 +arc: C6 H02E0401 +arc: C7 H02E0601 +arc: CE0 H00L0100 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D2 V00T0100 +arc: D3 H00R0000 +arc: D6 H02E0201 +arc: D7 S1_V02N0401 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F6 +arc: M0 V00B0000 +arc: M4 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 Q4 +arc: S1_V02S0201 F2 +arc: V00T0000 Q0 +arc: V00T0100 F3 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1001000000000000 +word: SLICEB.K1.INIT 1000010000000000 +word: SLICED.K0.INIT 1010001011110011 +word: SLICED.K1.INIT 1100010011110101 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R45C31:PLC2 +arc: E1_H02E0101 V01N0101 +arc: H00L0000 V02N0201 +arc: H00L0100 V02S0301 +arc: H00R0100 S1_V02N0501 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0601 H02W0601 +arc: N3_V06N0303 S1_V02N0601 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 V02N0001 +arc: V00B0100 S1_V02N0101 +arc: V00T0000 S1_V02N0401 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0401 S3_V06N0203 +arc: A1 H00R0000 +arc: A6 V02N0101 +arc: A7 E1_H01W0000 +arc: B1 H01W0100 +arc: B6 V00B0000 +arc: B7 V00T0000 +arc: C1 H00L0100 +arc: C6 S1_V02N0201 +arc: C7 S1_V02N0201 +arc: CE1 V02N0201 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D1 H02E0001 +arc: D6 H00R0100 +arc: D7 V02N0601 +arc: E1_H01E0001 F6 +arc: F1 F1_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q4 +arc: H01W0000 F7 +arc: H01W0100 Q2 +arc: M2 H02E0601 +arc: M4 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 F1 +arc: V01S0100 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1000110000100011 +word: SLICED.K0.INIT 1000110000100011 +word: SLICED.K1.INIT 1111001101010001 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R45C32:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: H00L0000 N1_V02S0201 +arc: H00L0100 V02N0101 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0103 S1_V02N0101 +arc: V00B0100 V02S0101 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0401 H01E0001 +arc: CE0 H02E0101 +arc: CE1 H00L0100 +arc: CE2 H00L0000 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q0 +arc: H01W0100 Q6 +arc: M0 H02W0601 +arc: M2 V00B0100 +arc: M4 V00T0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q4 +arc: N1_V01N0101 Q0 +arc: N1_V02N0201 Q2 +arc: S3_V06S0203 Q4 +arc: V00B0000 Q4 +arc: W1_H02W0201 Q2 +arc: W1_H02W0601 Q4 +arc: W3_H06W0203 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R45C33:PLC2 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0601 S1_V02N0601 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0301 E1_H01W0100 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0601 N1_V01S0000 + +.tile R45C34:PLC2 +arc: H01W0100 W3_H06E0303 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 W3_H06E0103 + +.tile R45C36:PLC2 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0303 S1_V02N0601 + +.tile R45C3:PLC2 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0701 E3_H06W0203 +arc: H00L0000 V02S0201 +arc: H00L0100 E1_H02W0301 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 H02E0701 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0601 S1_V02N0301 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0203 H06W0203 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 V02S0001 +arc: V00B0100 S1_V02N0101 +arc: V00T0000 W1_H02E0201 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 E3_H06W0003 +arc: A0 E1_H01E0001 +arc: A4 H02E0701 +arc: A5 N1_V01N0101 +arc: B0 N1_V02S0301 +arc: B4 V00B0100 +arc: B5 N1_V01S0000 +arc: C0 V02S0401 +arc: C1 H00L0000 +arc: C2 H00R0100 +arc: C3 H00L0000 +arc: C4 V00T0100 +arc: C5 V00T0000 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0201 +arc: D1 H00R0000 +arc: D2 H02W0001 +arc: D3 E1_H02W0201 +arc: D4 V00B0000 +arc: D5 H01W0000 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 Q1 +arc: E1_H02E0001 F2 +arc: E1_H02E0101 Q3 +arc: E3_H06E0303 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 F4 +arc: H01W0100 Q3 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N1_V01N0101 F0 +arc: S1_V02S0201 F2 +arc: S1_V02S0501 F5 +arc: V01S0000 Q1 +word: SLICEA.K0.INIT 0001010100111111 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 1111000000000000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0000011101110111 +word: SLICEC.K1.INIT 1000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R45C41:PLC2 +arc: N3_V06N0203 S1_V02N0701 + +.tile R45C4:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0501 H01E0101 +arc: E1_H02E0601 V06N0303 +arc: H00L0000 H02E0001 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 H02W0601 +arc: H00R0100 H02E0701 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0401 H06W0203 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0203 S1_V02N0701 +arc: S1_V02S0101 E1_H01W0100 +arc: S1_V02S0501 E1_H02W0501 +arc: V00T0000 E1_H02W0201 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0501 E3_H06W0303 +arc: A1 V02N0701 +arc: A3 H00L0100 +arc: A4 V00T0100 +arc: A5 V00B0000 +arc: A6 H02E0501 +arc: A7 E1_H01W0000 +arc: B1 W1_H02E0301 +arc: B3 S1_V02N0101 +arc: B4 H02E0101 +arc: B5 H00R0000 +arc: B6 H02E0101 +arc: B7 V01S0000 +arc: C1 V02N0401 +arc: C2 H02E0401 +arc: C3 V02N0401 +arc: C4 H01E0001 +arc: C5 V02N0201 +arc: C6 E1_H02W0601 +arc: C7 N1_V02S0001 +arc: CE0 H00L0000 +arc: CE1 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D1 V02S0001 +arc: D2 H02W0001 +arc: D3 V00B0100 +arc: D4 V02N0601 +arc: D5 V02S0401 +arc: D6 H00R0100 +arc: D7 V02S0601 +arc: E1_H02E0701 F5 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q1 +arc: LSR0 V00T0000 +arc: LSR1 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR0 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 F3 +arc: N1_V02N0101 F1 +arc: S1_V02S0201 F2 +arc: S1_V02S0301 Q3 +arc: S3_V06S0103 Q1 +arc: S3_V06S0203 F7 +arc: V00B0000 F4 +arc: V00B0100 F5 +arc: V00T0100 Q3 +arc: V01S0000 F6 +arc: W1_H02W0101 F3 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0100110001101110 +word: SLICED.K0.INIT 0000011101110111 +word: SLICED.K1.INIT 1000000000000000 +word: SLICEB.K0.INIT 0000111111111111 +word: SLICEB.K1.INIT 0010101001101110 +word: SLICEC.K0.INIT 0000011101110111 +word: SLICEC.K1.INIT 1000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R45C5:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 V01N0001 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0601 N1_V01S0000 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0003 N1_V01S0000 +arc: H00L0000 S1_V02N0001 +arc: H00L0100 H02W0301 +arc: H00R0000 V02N0601 +arc: H00R0100 N1_V02S0501 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 N1_V01S0000 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 E1_H01W0000 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0601 E3_H06W0303 +arc: S3_V06S0203 N1_V01S0000 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 V02S0401 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0701 S3_V06N0203 +arc: A0 E1_H01E0001 +arc: A1 H00L0100 +arc: A3 H02E0501 +arc: A5 V02N0101 +arc: A6 N1_V01N0101 +arc: B0 V00T0000 +arc: B1 V02S0301 +arc: B3 E1_H02W0301 +arc: B5 H02W0301 +arc: B6 V02N0501 +arc: C0 H02E0401 +arc: C1 E1_H02W0401 +arc: C3 H00L0000 +arc: C5 H02E0601 +arc: C6 V02S0001 +arc: C7 V00B0100 +arc: CE0 V02S0201 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 H00R0000 +arc: D3 H02E0201 +arc: D5 S1_V02N0401 +arc: D6 H00R0100 +arc: D7 V00B0000 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 Q1 +arc: E1_H02E0501 Q7 +arc: E3_H06E0103 Q1 +arc: E3_H06E0203 Q7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F3 +arc: H01W0100 Q1 +arc: M4 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q7 +arc: V00B0000 F4 +arc: V01S0000 F0 +arc: W1_H02W0401 F4 +arc: W1_H02W0601 F6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0001001101011111 +word: SLICEA.K0.INIT 0001010100111111 +word: SLICEA.K1.INIT 1000000000000000 +word: SLICED.K0.INIT 0001001101011111 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000010 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R45C6:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 S1_V02N0701 +arc: H00R0000 E1_H02W0601 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0601 E3_H06W0303 +arc: S1_V02S0701 E3_H06W0203 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 V02N0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 H01E0001 +arc: W3_H06W0103 N1_V01S0100 +arc: W3_H06W0203 E3_H06W0103 +arc: A0 H02E0501 +arc: A4 H02E0701 +arc: A5 N1_V02S0301 +arc: B0 W1_H02E0101 +arc: B1 V00T0000 +arc: B2 W1_H02E0301 +arc: B3 H02E0101 +arc: B4 H02W0301 +arc: B5 V00B0100 +arc: B7 H02W0101 +arc: C0 V02N0401 +arc: C1 F4 +arc: C2 H00R0100 +arc: C3 H02W0601 +arc: C4 V02S0201 +arc: C5 H02E0401 +arc: C7 N1_V02S0001 +arc: CE2 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V02S0001 +arc: D2 W1_H02E0001 +arc: D3 S1_V02N0001 +arc: D4 E1_H01W0100 +arc: D5 H00L0100 +arc: D7 H02E0201 +arc: E1_H01E0001 F2 +arc: E1_H01E0101 F3 +arc: E1_H02E0101 F1 +arc: E3_H06E0203 Q7 +arc: E3_H06E0303 Q5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 F7 +arc: H01W0100 Q5 +arc: LSR0 V00B0000 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 F3 +arc: N1_V01N0101 F2 +arc: V00T0000 F0 +arc: V01S0000 F7 +arc: V01S0100 F2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100110000001111 +word: SLICEC.K0.INIT 0001001101011111 +word: SLICEC.K1.INIT 0100110001101110 +word: SLICEB.K0.INIT 0000000000000011 +word: SLICEB.K1.INIT 0011000000000000 +word: SLICEA.K0.INIT 0001001101011111 +word: SLICEA.K1.INIT 1100000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 + +.tile R45C7:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0501 S3_V06N0303 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 W1_H02E0601 +arc: H00L0000 H02W0001 +arc: H00L0100 W1_H02E0301 +arc: H00R0100 V02N0501 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0103 E1_H01W0100 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 N1_V02S0301 +arc: S1_V02S0701 H06W0203 +arc: S3_V06S0103 E3_H06W0103 +arc: V00B0100 N1_V02S0301 +arc: V00T0100 V02N0701 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 H01E0001 +arc: W1_H02W0701 H01E0101 +arc: W3_H06W0203 E1_H01W0000 +arc: A1 E1_H01E0001 +arc: A2 V02S0701 +arc: A3 S1_V02N0701 +arc: A5 V00B0000 +arc: A7 S1_V02N0101 +arc: B1 H00R0100 +arc: B2 H02E0301 +arc: B3 V02N0301 +arc: B5 V02N0501 +arc: B7 S1_V02N0501 +arc: C1 H02E0401 +arc: C2 H00L0000 +arc: C3 N1_V01S0100 +arc: C4 E1_H01E0101 +arc: C5 H02E0401 +arc: C6 V02S0201 +arc: C7 V00T0100 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D1 V02N0001 +arc: D2 H02E0001 +arc: D3 V00B0100 +arc: D4 V02N0401 +arc: D5 H01W0000 +arc: D6 V02S0601 +arc: D7 H02W0201 +arc: E1_H01E0001 F6 +arc: E1_H01E0101 Q5 +arc: E3_H06E0003 Q3 +arc: E3_H06E0303 Q5 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: M0 H02E0601 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 Q3 +arc: N3_V06N0203 F4 +arc: S3_V06S0003 Q3 +arc: V00B0000 F6 +arc: V01S0000 F7 +arc: V01S0100 Q0 +arc: W1_H02W0301 Q3 +arc: W3_H06W0103 F2 +word: SLICEC.K0.INIT 0000111111110000 +word: SLICEC.K1.INIT 1100000011010001 +word: SLICEB.K0.INIT 0001001101011111 +word: SLICEB.K1.INIT 0100000000000000 +word: SLICED.K0.INIT 0000111100000000 +word: SLICED.K1.INIT 0000000100110001 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1100000011010001 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 + +.tile R45C8:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 E3_H06W0203 +arc: E3_H06E0103 N1_V01S0100 +arc: E3_H06E0203 W1_H02E0701 +arc: E3_H06E0303 V06N0303 +arc: H00L0000 N1_V02S0001 +arc: H00L0100 H02W0301 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 V02S0501 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 H06W0203 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 E1_H01W0000 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 W3_H06E0003 +arc: S3_V06S0203 N1_V02S0401 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 H02W0501 +arc: V00T0000 W1_H02E0201 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0601 E3_H06W0303 +arc: W1_H02W0701 N1_V02S0701 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 S3_V06N0203 +arc: A1 W1_H02E0701 +arc: A3 S1_V02N0501 +arc: A4 W1_H02E0701 +arc: A7 H02E0701 +arc: B0 W1_H02E0101 +arc: B1 F3 +arc: B3 H02W0101 +arc: B4 H00L0000 +arc: B5 H00R0000 +arc: B7 N1_V02S0501 +arc: C0 E1_H02W0401 +arc: C1 H02E0401 +arc: C3 S1_V02N0601 +arc: C4 H02E0401 +arc: C5 V00T0000 +arc: C6 V02S0201 +arc: C7 V02S0201 +arc: CE0 H00R0100 +arc: CE2 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 H02W0201 +arc: D3 V00B0100 +arc: D4 V00B0000 +arc: D5 H00L0100 +arc: D6 S1_V02N0401 +arc: D7 S1_V02N0401 +arc: E1_H01E0001 Q5 +arc: E1_H01E0101 F4 +arc: E1_H02E0001 F0 +arc: E1_H02E0601 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q0 +arc: H01W0100 F0 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F5 +arc: V00T0100 F1 +arc: V01S0100 F5 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1001011101000011 +word: SLICEC.K0.INIT 0101100011111101 +word: SLICEC.K1.INIT 0000110000111111 +word: SLICEA.K0.INIT 0011000000111111 +word: SLICEA.K1.INIT 0101100011111101 +word: SLICED.K0.INIT 1111111100001111 +word: SLICED.K1.INIT 1110000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 + +.tile R45C9:PLC2 +arc: E1_H02E0201 W1_H02E0201 +arc: E3_H06E0303 N1_V01S0100 +arc: H00L0000 N1_V02S0201 +arc: H00L0100 N1_V02S0301 +arc: H00R0100 V02N0501 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 V01N0001 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0201 N1_V02S0201 +arc: S3_V06S0103 E1_H01W0100 +arc: V00B0000 V02N0001 +arc: V00B0100 S1_V02N0301 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0601 S3_V06N0303 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0203 E3_H06W0203 +arc: A1 E1_H02W0501 +arc: A2 N1_V02S0701 +arc: A5 H02W0501 +arc: B0 V01N0001 +arc: B1 E1_H02W0101 +arc: B2 H02W0101 +arc: B4 V01S0000 +arc: B5 V02S0501 +arc: B6 V02S0701 +arc: C0 W1_H02E0601 +arc: C1 E1_H02W0601 +arc: C2 H00L0000 +arc: C3 V02S0401 +arc: C4 S1_V02N0201 +arc: C5 V00T0100 +arc: C6 V00T0000 +arc: C7 E1_H01E0101 +arc: CE0 H00L0100 +arc: CE1 H00R0100 +arc: CE2 H00L0100 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V00B0100 +arc: D2 V02S0201 +arc: D3 V02N0201 +arc: D4 W1_H02E0201 +arc: D5 H02W0001 +arc: D6 V02N0601 +arc: D7 V00B0000 +arc: E1_H01E0001 Q0 +arc: E1_H01E0101 Q6 +arc: E1_H02E0101 F3 +arc: E3_H06E0203 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F4 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: S1_V02S0401 Q6 +arc: S3_V06S0303 Q5 +arc: V00T0000 F2 +arc: V01S0000 Q5 +arc: V01S0100 F1 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 1100110011001010 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 0011001100001111 +word: SLICEC.K1.INIT 1111100010001000 +word: SLICEA.K0.INIT 1111001111111111 +word: SLICEA.K1.INIT 0000000000000001 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 + +.tile R47C10:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0201 V06N0103 +arc: E1_H02E0501 E3_H06W0303 +arc: E1_H02E0701 N1_V01S0100 +arc: E3_H06E0003 H01E0001 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 V02S0001 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 H02E0601 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 E3_H06W0003 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 E1_H01W0000 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 V02S0601 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 V06N0003 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 E3_H06W0003 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0601 E1_H02W0601 +arc: W1_H02W0701 E1_H02W0601 +arc: W3_H06W0003 S3_V06N0003 +arc: W3_H06W0103 V01N0101 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E1_H01W0100 +arc: A1 E1_H02W0701 +arc: B1 H00R0100 +arc: B2 V01N0001 +arc: B4 E1_H02W0301 +arc: B6 V02N0501 +arc: C1 H00L0000 +arc: C2 V02N0401 +arc: C4 H02W0601 +arc: C6 S1_V02N0001 +arc: D1 H02W0201 +arc: D2 H00R0000 +arc: D3 V00B0100 +arc: D4 S1_V02N0601 +arc: D5 S1_V02N0401 +arc: D6 E1_H02W0201 +arc: D7 H00L0100 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: M2 V00T0100 +arc: M4 V00T0100 +arc: M6 V00T0000 +arc: N1_V01N0101 F6 +arc: N3_V06N0103 F2 +arc: N3_V06N0203 F4 +arc: V01S0100 F1 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0001010100111111 +word: SLICEC.K0.INIT 0011001100001111 +word: SLICEC.K1.INIT 0000000011111111 +word: SLICEB.K0.INIT 0011001100001111 +word: SLICEB.K1.INIT 0000000011111111 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R47C11:PLC2 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0003 V06N0003 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 N1_V02S0001 +arc: H00R0100 V02S0701 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0501 W1_H02E0501 +arc: N3_V06N0003 V01N0001 +arc: N3_V06N0103 V01N0101 +arc: N3_V06N0203 E3_H06W0203 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0301 E1_H01W0100 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 W3_H06E0203 +arc: V00B0100 V02S0301 +arc: V00T0000 H02W0001 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0203 +arc: A5 V00B0000 +arc: B0 V02N0101 +arc: B4 H01E0101 +arc: B5 V02N0701 +arc: B6 H02E0101 +arc: C0 N1_V02S0601 +arc: C1 N1_V01N0001 +arc: C3 H00R0100 +arc: C4 V02N0201 +arc: C5 V00B0100 +arc: C6 E1_H02W0401 +arc: C7 V00T0000 +arc: CE0 V02S0201 +arc: CE2 H00L0000 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V02N0001 +arc: D3 V02N0201 +arc: D4 V02N0401 +arc: D5 H02W0001 +arc: D6 H02W0001 +arc: D7 V02N0601 +arc: E1_H02E0001 Q0 +arc: E3_H06E0103 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 F6 +arc: H01W0000 Q0 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 F7 +arc: N1_V02N0301 F3 +arc: S3_V06S0303 F5 +arc: V00B0000 Q4 +arc: V01S0100 Q6 +arc: W1_H02W0401 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1111110000110000 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 0000000000000001 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 + +.tile R47C12:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 V02N0501 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0000 W1_H02E0201 +arc: H00R0100 V02N0701 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 H06W0203 +arc: N1_V02N0501 W3_H06E0303 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 E1_H01W0100 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 W1_H02E0701 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0101 N3_V06S0103 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 S3_V06N0303 +arc: W1_H02W0701 V01N0101 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E3_H06W0303 +arc: A0 H02W0701 +arc: A1 H02W0701 +arc: A2 H02W0701 +arc: A3 H02W0701 +arc: A4 H02E0701 +arc: A5 S1_V02N0301 +arc: B0 V02N0301 +arc: B1 V02N0301 +arc: B2 V02N0301 +arc: B3 V02N0301 +arc: B4 S1_V02N0701 +arc: B5 H00L0000 +arc: B6 V02S0701 +arc: C0 H00R0100 +arc: C1 H00R0100 +arc: C2 H00R0100 +arc: C3 H00R0100 +arc: C4 V02S0201 +arc: C5 H02W0601 +arc: C6 H02E0601 +arc: CLK1 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 V00T0100 +arc: D2 V00T0100 +arc: D3 V00T0100 +arc: D4 V00B0000 +arc: D5 W1_H02E0001 +arc: D6 S1_V02N0401 +arc: D7 V02N0401 +arc: E1_H01E0001 F6 +arc: E3_H06E0303 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q0 +arc: H01W0100 F6 +arc: LSR1 H02E0301 +arc: M6 V00B0100 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: MUXCLK3 CLK1 +arc: N1_V01N0001 Q2 +arc: N3_V06N0303 F6 +arc: S1_V02S0601 Q6 +arc: V01S0000 Q1 +arc: V01S0100 F6 +arc: W1_H02W0301 Q3 +arc: W1_H02W0401 Q6 +word: SLICED.K0.INIT 0000111111001100 +word: SLICED.K1.INIT 0000000011111111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R47C13:PLC2 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0003 H01E0001 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 S1_V02N0001 +arc: H00L0100 V02S0101 +arc: H00R0000 V02N0601 +arc: H00R0100 N1_V02S0701 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 H06W0003 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 V02S0201 +arc: V00T0100 E1_H02W0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0601 E3_H06W0303 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0003 V01N0001 +arc: W3_H06W0203 E3_H06W0203 +arc: A1 H02W0501 +arc: A7 V02N0101 +arc: B0 E1_H01W0100 +arc: B1 V00T0000 +arc: B2 H00R0100 +arc: B3 H01W0100 +arc: B4 H00L0000 +arc: B6 H02W0301 +arc: B7 V01S0000 +arc: C0 H02W0401 +arc: C1 V02S0601 +arc: C2 H02W0601 +arc: C3 H02E0401 +arc: C4 E1_H02W0401 +arc: C6 V02N0001 +arc: C7 V02S0201 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V00T0100 +arc: D2 W1_H02E0201 +arc: D3 H02E0001 +arc: D4 V02N0601 +arc: D5 S1_V02N0601 +arc: D6 V02N0601 +arc: D7 H00L0100 +arc: E3_H06E0103 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F2 +arc: LSR0 H02E0301 +arc: M4 V00B0000 +arc: MUXCLK1 CLK0 +arc: MUXLSR1 LSR0 +arc: N1_V01N0101 F4 +arc: N1_V02N0301 F3 +arc: N1_V02N0701 F7 +arc: N3_V06N0103 F1 +arc: V00T0000 F0 +arc: V01S0000 F6 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 0000000010101100 +word: SLICEA.K0.INIT 1100110011110000 +word: SLICEA.K1.INIT 0000000010101100 +word: SLICEB.K0.INIT 1100000011001111 +word: SLICEB.K1.INIT 0000000011001111 +word: SLICEC.K0.INIT 0000111100110011 +word: SLICEC.K1.INIT 0000000011111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R47C14:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 S3_V06N0203 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 H02W0001 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 H02W0601 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 E3_H06W0003 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 W3_H06E0103 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 H06W0303 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 H02W0601 +arc: V00B0100 W1_H02E0501 +arc: V00T0100 E1_H02W0301 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 N3_V06S0103 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 N1_V02S0701 +arc: W3_H06W0303 E1_H02W0601 +arc: A0 H02E0701 +arc: A1 H02E0701 +arc: A2 H02E0701 +arc: A3 H02E0701 +arc: A4 V00T0100 +arc: A5 E1_H02W0701 +arc: A7 V02S0101 +arc: B0 V00B0000 +arc: B1 V00B0000 +arc: B2 H00R0000 +arc: B3 H00R0000 +arc: B4 S1_V02N0701 +arc: B5 H00L0000 +arc: B6 V00T0000 +arc: B7 V01S0000 +arc: C0 V02N0601 +arc: C1 V02N0601 +arc: C2 V02N0601 +arc: C3 V02N0601 +arc: C4 S1_V02N0201 +arc: C5 V02S0201 +arc: C6 V02N0001 +arc: C7 H02E0601 +arc: CLK1 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 V00B0100 +arc: D2 V00B0100 +arc: D3 V00B0100 +arc: D4 H02W0201 +arc: D5 S1_V02N0601 +arc: D6 H00L0100 +arc: D7 N1_V02S0601 +arc: E1_H01E0101 Q1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q2 +arc: LSR1 H02W0301 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V02N0501 F7 +arc: V00T0000 Q0 +arc: V01S0000 F6 +arc: W1_H02W0301 Q3 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 0000000010101100 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R47C15:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0501 N3_V06S0303 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0100 H02W0101 +arc: H01W0000 E3_H06W0103 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 H01E0101 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 H06W0303 +arc: N1_V02N0601 H06E0303 +arc: N1_V02N0701 V01N0101 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 V01N0101 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0501 E3_H06W0303 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02S0201 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 W1_H02E0201 +arc: V00T0100 H02E0301 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 V06N0103 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 V01N0001 +arc: W3_H06W0003 E1_H02W0001 +arc: W3_H06W0103 E1_H01W0100 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0303 +arc: A0 W1_H02E0701 +arc: A1 W1_H02E0701 +arc: A2 W1_H02E0701 +arc: A3 W1_H02E0701 +arc: A4 N1_V02S0301 +arc: A5 V02N0301 +arc: B0 V01N0001 +arc: B1 V01N0001 +arc: B2 V01N0001 +arc: B3 V01N0001 +arc: B4 V02S0701 +arc: B5 V02S0501 +arc: B6 H02E0301 +arc: C0 V02S0601 +arc: C1 V02S0601 +arc: C2 V02S0601 +arc: C3 V02S0601 +arc: C4 V00T0000 +arc: C5 H02W0401 +arc: C6 W1_H02E0401 +arc: C7 V00T0100 +arc: CE3 E1_H02W0101 +arc: CLK1 G_HPBX0000 +arc: D0 S1_V02N0201 +arc: D1 S1_V02N0201 +arc: D2 S1_V02N0201 +arc: D3 S1_V02N0201 +arc: D4 V00B0000 +arc: D5 H00L0100 +arc: D6 H02E0201 +arc: D7 N1_V02S0601 +arc: E1_H01E0101 Q6 +arc: E1_H02E0001 Q0 +arc: E1_H02E0301 Q3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F6 +arc: LSR1 V00B0100 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: MUXCLK3 CLK1 +arc: N1_V01N0101 F7 +arc: S3_V06S0103 Q2 +arc: V01S0000 Q1 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R47C16:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0401 N1_V02S0401 +arc: E1_H02E0601 V02S0601 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0203 S3_V06N0203 +arc: H00L0100 W1_H02E0101 +arc: H00R0000 E1_H02W0601 +arc: H00R0100 W1_H02E0701 +arc: H01W0000 E3_H06W0103 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 H06E0003 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 E3_H06W0303 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 V02N0301 +arc: V00T0000 V02S0601 +arc: V00T0100 S1_V02N0501 +arc: V01S0000 S3_V06N0103 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 V06N0003 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0301 N1_V02S0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0701 E1_H02W0701 +arc: W3_H06W0203 N3_V06S0203 +arc: W3_H06W0303 V06S0303 +arc: A3 H00L0100 +arc: A7 V00T0100 +arc: B0 H02W0301 +arc: B2 E1_H01W0100 +arc: B3 H00L0000 +arc: B4 H01E0101 +arc: B6 E1_H02W0301 +arc: B7 V02S0701 +arc: C0 W1_H02E0401 +arc: C2 H02W0601 +arc: C3 V02S0601 +arc: C4 H02W0401 +arc: C5 E1_H01E0101 +arc: C6 V02S0201 +arc: C7 V00T0000 +arc: CE2 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0201 +arc: D1 H00R0000 +arc: D2 N1_V02S0201 +arc: D3 V02S0001 +arc: D4 H00R0100 +arc: D5 V02N0601 +arc: D6 V00B0000 +arc: D7 N1_V02S0601 +arc: E1_H01E0101 Q4 +arc: E1_H02E0201 F0 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 F2 +arc: H01W0100 Q0 +arc: M0 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 F6 +arc: N3_V06N0003 F3 +arc: N3_V06N0203 F7 +arc: N3_V06N0303 F5 +arc: W1_H02W0601 Q4 +arc: W3_H06W0003 F0 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1111000011001100 +word: SLICED.K1.INIT 0000000010101100 +word: SLICEB.K0.INIT 1111000011001100 +word: SLICEB.K1.INIT 0000000010101100 +word: SLICEA.K0.INIT 0000110011111100 +word: SLICEA.K1.INIT 0000000011111111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R47C17:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W1_H02E0701 +arc: E3_H06E0303 W1_H02E0501 +arc: H00L0100 H02W0101 +arc: H00R0000 W1_H02E0401 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0501 S1_V02N0401 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 E3_H06W0103 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0701 H06W0203 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 N1_V01S0100 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 H02W0201 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 V06N0303 +arc: W1_H02W0601 E1_H01W0000 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E1_H02W0701 +arc: W3_H06W0303 E3_H06W0303 +arc: A0 E1_H02W0501 +arc: A1 E1_H02W0501 +arc: A2 E1_H02W0501 +arc: A3 E1_H02W0501 +arc: A4 N1_V02S0101 +arc: A5 H02W0701 +arc: B0 S1_V02N0101 +arc: B1 S1_V02N0101 +arc: B2 S1_V02N0101 +arc: B3 S1_V02N0101 +arc: B4 S1_V02N0701 +arc: B5 H00R0000 +arc: B6 H02E0101 +arc: C0 E1_H02W0601 +arc: C1 E1_H02W0601 +arc: C2 E1_H02W0601 +arc: C3 E1_H02W0601 +arc: C4 V00T0000 +arc: C5 V02N0201 +arc: C6 W1_H02E0601 +arc: CLK1 G_HPBX0000 +arc: D0 V02N0001 +arc: D1 V02N0001 +arc: D2 V02N0001 +arc: D3 V02N0001 +arc: D4 V02N0601 +arc: D5 H02W0001 +arc: D6 V00B0000 +arc: D7 H00L0100 +arc: E1_H01E0001 Q0 +arc: E1_H01E0101 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 Q3 +arc: LSR1 V00B0100 +arc: M6 H02E0401 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V02N0101 Q1 +arc: N1_V02N0401 F6 +word: SLICED.K0.INIT 0000111100110011 +word: SLICED.K1.INIT 0000000011111111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R47C18:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 S3_V06N0303 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 S1_V02N0601 +arc: H00R0100 S1_V02N0501 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 H06W0303 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 H06W0103 +arc: N3_V06N0303 H06W0303 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 H06W0003 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 V02N0201 +arc: V00B0100 H02E0701 +arc: V00T0000 H02E0201 +arc: V00T0100 V02N0701 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 V06N0103 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0601 N1_V01S0000 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 S3_V06N0303 +arc: A0 H02W0501 +arc: A1 H02W0501 +arc: A2 H02W0501 +arc: A3 H02W0501 +arc: A4 S1_V02N0101 +arc: A5 V00T0100 +arc: A7 V02N0301 +arc: B0 H00R0100 +arc: B1 H00R0100 +arc: B2 H00R0100 +arc: B3 H00R0100 +arc: B4 V00B0100 +arc: B5 E1_H02W0301 +arc: B6 V02N0501 +arc: B7 H02W0301 +arc: C0 H02W0601 +arc: C1 H02W0601 +arc: C2 H02W0601 +arc: C3 H02W0601 +arc: C4 V02S0201 +arc: C5 V00T0000 +arc: C6 H01E0001 +arc: C7 W1_H02E0601 +arc: CLK1 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 H00R0000 +arc: D2 H00R0000 +arc: D3 H00R0000 +arc: D4 H02W0201 +arc: D5 H00L0100 +arc: D6 N1_V02S0401 +arc: D7 N1_V02S0601 +arc: E1_H01E0101 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q3 +arc: LSR1 V00B0000 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0001 Q2 +arc: N1_V02N0301 Q1 +arc: N3_V06N0203 F7 +arc: V01S0100 Q0 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 0000000010101100 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R47C19:PLC2 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0201 N1_V01S0000 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 W1_H02E0001 +arc: H00L0100 E1_H02W0101 +arc: H00R0000 V02N0401 +arc: H00R0100 H02W0501 +arc: H01W0000 E3_H06W0103 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 H01E0001 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 W1_H02E0501 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 H02W0601 +arc: V00T0000 H02E0001 +arc: V00T0100 E1_H02W0101 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 E3_H06W0203 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E1_H02W0501 +arc: A3 E1_H01E0001 +arc: B3 E1_H01W0100 +arc: B4 W1_H02E0301 +arc: B7 H02W0101 +arc: C0 N1_V02S0401 +arc: C1 H00R0100 +arc: C3 N1_V01N0001 +arc: C4 W1_H02E0601 +arc: C6 V00B0100 +arc: C7 E1_H01E0101 +arc: CE1 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 S1_V02N0001 +arc: D2 F0 +arc: D3 V00T0100 +arc: D4 V00B0000 +arc: D5 H02W0001 +arc: D6 V02S0601 +arc: D7 H00L0100 +arc: E1_H01E0001 F0 +arc: E1_H01E0101 F1 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: M2 H02E0601 +arc: M4 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F1 +arc: N3_V06N0103 Q2 +arc: N3_V06N0203 F4 +arc: N3_V06N0303 F6 +arc: V00B0100 Q7 +arc: V01S0100 Q2 +arc: W3_H06W0103 Q2 +word: SLICED.K0.INIT 0000111111110000 +word: SLICED.K1.INIT 1111000011111100 +word: SLICEA.K0.INIT 1111000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1111101011111110 +word: SLICEC.K0.INIT 0000111100110011 +word: SLICEC.K1.INIT 0000000011111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R47C20:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 V06S0103 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 S3_V06N0303 +arc: H00L0000 V02N0201 +arc: H00R0000 V02S0601 +arc: H00R0100 V02S0701 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0003 E1_H01W0000 +arc: N3_V06N0103 H06W0103 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 S1_V02N0201 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 V06N0003 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 N1_V02S0601 +arc: W3_H06W0003 S3_V06N0003 +arc: W3_H06W0203 E1_H02W0401 +arc: A3 H02W0701 +arc: A7 H02W0701 +arc: B0 V02S0301 +arc: B1 V02S0101 +arc: B3 W1_H02E0101 +arc: B4 V02N0701 +arc: B5 H00L0000 +arc: B7 W1_H02E0101 +arc: C0 N1_V01S0100 +arc: C1 H00L0000 +arc: C2 H02W0401 +arc: C3 S1_V02N0601 +arc: C4 V00T0100 +arc: C5 F4 +arc: C6 H02W0401 +arc: C7 V00T0000 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0001 +arc: D1 V02N0001 +arc: D2 H00R0000 +arc: D3 H00R0000 +arc: D4 H00R0100 +arc: D5 V02S0401 +arc: D6 V02N0401 +arc: D7 V02N0401 +arc: E1_H01E0101 F5 +arc: E1_H02E0201 F2 +arc: E1_H02E0601 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 F1 +arc: LSR0 H02W0301 +arc: LSR1 H02W0301 +arc: M2 H02E0601 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR2 LSR0 +arc: N1_V01N0101 F0 +arc: S1_V02S0501 F5 +arc: S3_V06S0003 Q0 +arc: S3_V06S0203 Q4 +arc: V01S0000 F0 +arc: V01S0100 F4 +arc: W1_H02W0101 F1 +word: SLICEC.K0.INIT 1100000011001111 +word: SLICEC.K1.INIT 0000000011110011 +word: SLICEA.K0.INIT 1100000011001111 +word: SLICEA.K1.INIT 0000000011001111 +word: SLICED.K0.INIT 1111111100001111 +word: SLICED.K1.INIT 1010100000000000 +word: SLICEB.K0.INIT 1111111100001111 +word: SLICEB.K1.INIT 1010100000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R47C21:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 V01N0101 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0100 V02S0101 +arc: H00R0000 E1_H02W0401 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 H06E0203 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0401 N1_V01S0000 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 V02S0301 +arc: V00T0000 V02S0401 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0701 N3_V06S0203 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 E1_H02W0101 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E1_H02W0501 +arc: A1 H00L0100 +arc: A5 H02E0701 +arc: A7 V02N0301 +arc: B1 V02N0101 +arc: B4 H00L0000 +arc: B5 V02N0501 +arc: B6 H02E0301 +arc: B7 V00B0100 +arc: C1 V02N0401 +arc: C4 H02W0601 +arc: C5 N1_V02S0201 +arc: C6 E1_H01E0101 +arc: C7 V00T0000 +arc: CE1 W1_H02E0101 +arc: CE2 H00R0000 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D1 V02S0001 +arc: D4 S1_V02N0601 +arc: D5 H02E0001 +arc: D6 W1_H02E0201 +arc: D7 H02W0201 +arc: E1_H01E0101 F7 +arc: E3_H06E0203 F7 +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H01W0000 Q6 +arc: H01W0100 Q2 +arc: M2 V00B0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0401 Q4 +arc: N3_V06N0303 F5 +arc: V01S0000 F6 +arc: V01S0100 F6 +arc: W1_H02W0101 F1 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000100000011 +word: SLICED.K0.INIT 0000110000111111 +word: SLICED.K1.INIT 0111000000000000 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1100101010101010 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 + +.tile R47C22:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 W3_H06E0203 +arc: E3_H06E0103 V06S0103 +arc: E3_H06E0303 W1_H02E0501 +arc: H00R0000 V02S0401 +arc: H00R0100 V02N0701 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 W3_H06E0103 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 W1_H02E0601 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 N1_V02S0001 +arc: V00T0100 N1_V02S0701 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0301 E3_H06W0003 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0501 V06S0303 +arc: W1_H02W0601 E3_H06W0303 +arc: W1_H02W0701 N3_V06S0203 +arc: W3_H06W0303 E1_H01W0100 +arc: A6 N1_V02S0301 +arc: A7 V02S0101 +arc: B0 S1_V02N0101 +arc: B1 V00T0000 +arc: B2 H00R0100 +arc: B3 H01W0100 +arc: B4 S1_V02N0501 +arc: B5 V01S0000 +arc: B6 H02E0301 +arc: B7 V00B0000 +arc: C0 V02N0401 +arc: C1 S1_V02N0401 +arc: C2 W1_H02E0401 +arc: C3 N1_V02S0601 +arc: C4 V00T0100 +arc: C5 V02N0201 +arc: C6 V00B0100 +arc: C7 V02N0001 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 S1_V02N0201 +arc: D1 H02W0001 +arc: D2 S1_V02N0201 +arc: D3 H02W0001 +arc: D4 H02W0201 +arc: D5 H02W0001 +arc: D6 E1_H02W0001 +arc: D7 H02E0001 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 F1 +arc: E3_H06E0003 F3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: H01W0100 Q2 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F5 +arc: S3_V06S0303 F5 +arc: V00B0100 F7 +arc: V00T0000 Q0 +arc: V01S0000 Q4 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q4 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 1111000011001100 +word: SLICEC.K0.INIT 1100110011110000 +word: SLICEC.K1.INIT 1111000011001100 +word: SLICED.K0.INIT 0111000000000000 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEA.K0.INIT 1100110011110000 +word: SLICEA.K1.INIT 1111000011001100 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R47C23:PLC2 +arc: E1_H02E0001 V06N0003 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0201 W1_H02E0201 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 H01E0101 +arc: H00L0100 E1_H02W0301 +arc: H00R0100 S1_V02N0701 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0501 H01E0101 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0100 V02S0301 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0001 V06N0003 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0701 V06S0203 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0303 S3_V06N0303 +arc: B0 S1_V02N0301 +arc: B1 V00T0000 +arc: B2 H02W0101 +arc: B3 H00L0000 +arc: B4 V02N0501 +arc: B5 H00R0000 +arc: B6 V02N0701 +arc: B7 V00B0000 +arc: C0 E1_H02W0601 +arc: C1 H02E0401 +arc: C2 H00L0100 +arc: C3 N1_V02S0601 +arc: C4 V02S0201 +arc: C5 V02N0001 +arc: C6 E1_H02W0401 +arc: C7 N1_V02S0001 +arc: CE0 H02E0101 +arc: CE1 H02E0101 +arc: CE2 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 V00B0100 +arc: D2 V00T0100 +arc: D3 V00B0100 +arc: D4 H00R0100 +arc: D5 V02S0601 +arc: D6 H00R0100 +arc: D7 V02S0601 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 F1 +arc: E1_H02E0301 F1 +arc: E1_H02E0501 F5 +arc: E1_H02E0701 F7 +arc: E3_H06E0003 F3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0000 F7 +arc: H01W0100 Q6 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 F3 +arc: N1_V02N0701 F7 +arc: N3_V06N0103 F1 +arc: S3_V06S0003 Q0 +arc: S3_V06S0103 F1 +arc: S3_V06S0303 F5 +arc: V00B0000 Q6 +arc: V00T0000 Q0 +arc: V01S0100 Q2 +arc: W1_H02W0101 F3 +arc: W1_H02W0501 F5 +arc: W3_H06W0103 F1 +arc: W3_H06W0203 Q4 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1111000011001100 +word: SLICEC.K0.INIT 1100110011110000 +word: SLICEC.K1.INIT 1111000011001100 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 1111000011001100 +word: SLICEA.K0.INIT 1100110011110000 +word: SLICEA.K1.INIT 1111000011001100 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R47C24:PLC2 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0301 N1_V02S0301 +arc: H00L0000 S1_V02N0001 +arc: H00L0100 V02S0301 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0301 H01E0101 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 H01E0001 +arc: V00B0100 H02W0501 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 E1_H01W0100 +arc: B0 V01N0001 +arc: B1 V00T0000 +arc: B2 V02N0101 +arc: B3 H01W0100 +arc: B4 V02N0701 +arc: B5 H00R0000 +arc: B6 V02N0501 +arc: B7 V00B0000 +arc: C0 S1_V02N0601 +arc: C1 H00L0000 +arc: C2 H00L0100 +arc: C3 V02S0601 +arc: C4 V00T0100 +arc: C5 V02S0201 +arc: C6 N1_V02S0001 +arc: C7 V02N0001 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 H02E0001 +arc: D2 V00B0100 +arc: D3 H02E0001 +arc: D4 S1_V02N0401 +arc: D5 H02E0001 +arc: D6 S1_V02N0401 +arc: D7 H02E0001 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 F1 +arc: E1_H02E0701 F5 +arc: E3_H06E0003 F3 +arc: E3_H06E0103 F1 +arc: E3_H06E0203 F7 +arc: E3_H06E0303 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q4 +arc: H01W0000 Q4 +arc: H01W0100 Q2 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F7 +arc: N1_V02N0101 F1 +arc: N3_V06N0103 F1 +arc: S3_V06S0003 Q0 +arc: S3_V06S0303 F5 +arc: V00B0000 Q6 +arc: V00T0000 Q0 +arc: V01S0000 Q2 +arc: V01S0100 F7 +arc: W1_H02W0501 F5 +arc: W1_H02W0701 F7 +arc: W3_H06W0003 F3 +arc: W3_H06W0103 F1 +arc: W3_H06W0203 F7 +arc: W3_H06W0303 Q6 +word: SLICEB.K0.INIT 1111000011001100 +word: SLICEB.K1.INIT 1111000011001100 +word: SLICEC.K0.INIT 1100110011110000 +word: SLICEC.K1.INIT 1111000011001100 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1111000011001100 +word: SLICEA.K0.INIT 1111000011001100 +word: SLICEA.K1.INIT 1111000011001100 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R47C25:PLC2 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 W3_H06E0303 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W1_H02E0701 +arc: E3_H06E0303 W1_H02E0501 +arc: H00L0000 N1_V02S0201 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 V02S0601 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 S3_V06N0103 +arc: S1_V02S0001 H06E0003 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 H06W0103 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0601 E1_H01W0000 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0003 E1_H01W0000 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 H01E0001 +arc: S3_V06S0303 H01E0101 +arc: V00T0000 V02N0401 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 V06S0303 +arc: W1_H02W0701 V02S0701 +arc: A3 H02W0501 +arc: A5 E1_H02W0701 +arc: B0 S1_V02N0101 +arc: B1 H02W0301 +arc: B3 H02E0301 +arc: B5 H00L0000 +arc: B6 H02W0101 +arc: B7 V00B0000 +arc: C0 V02N0601 +arc: C1 S1_V02N0601 +arc: C3 H00R0100 +arc: C5 V00T0100 +arc: C6 V00T0000 +arc: C7 S1_V02N0201 +arc: CE0 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 W1_H02E0001 +arc: D3 H00R0000 +arc: D5 V02S0601 +arc: D6 N1_V02S0601 +arc: D7 W1_H02E0001 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F3 +arc: H01W0100 F1 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F1 +arc: N1_V01N0101 F7 +arc: N3_V06N0203 F7 +arc: N3_V06N0303 F5 +arc: V00B0000 Q6 +arc: V01S0100 F1 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 F1 +arc: W3_H06W0203 F7 +arc: W3_H06W0303 Q6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0001010100111111 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0001010100111111 +word: SLICED.K0.INIT 1111000011001100 +word: SLICED.K1.INIT 1111000011001100 +word: SLICEA.K0.INIT 1100110011110000 +word: SLICEA.K1.INIT 1111000011001100 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R47C26:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0401 N3_V06S0203 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0003 H01E0001 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 V02S0001 +arc: H00L0100 V02N0301 +arc: H00R0000 N1_V02S0401 +arc: H00R0100 N1_V02S0501 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0601 W3_H06E0303 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0303 H06E0303 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 H02E0601 +arc: S3_V06S0203 H01E0001 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 H02W0401 +arc: V00B0100 H02E0501 +arc: V00T0000 H02W0001 +arc: V00T0100 S1_V02N0501 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0601 V06S0303 +arc: W1_H02W0701 N3_V06S0203 +arc: W3_H06W0203 E1_H02W0401 +arc: A1 H00L0100 +arc: A4 V00T0000 +arc: A5 E1_H02W0701 +arc: A6 H02E0701 +arc: A7 E1_H01W0000 +arc: B1 N1_V02S0301 +arc: B2 H02E0301 +arc: B4 V02N0701 +arc: B5 V02S0501 +arc: B6 E1_H02W0301 +arc: B7 V02S0701 +arc: C1 H00R0100 +arc: C2 H00L0000 +arc: C4 E1_H02W0601 +arc: C5 H02W0601 +arc: C6 E1_H02W0601 +arc: C7 H02W0601 +arc: CE1 H02W0101 +arc: CE2 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D1 V00B0100 +arc: D2 H02W0201 +arc: D3 S1_V02N0201 +arc: D4 S1_V02N0401 +arc: D5 N1_V02S0601 +arc: D6 S1_V02N0401 +arc: D7 V00B0000 +arc: E1_H01E0001 Q5 +arc: E1_H01E0101 F4 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q2 +arc: H01W0100 Q7 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: M2 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR0 +arc: N1_V02N0001 Q2 +arc: N1_V02N0501 Q5 +arc: S3_V06S0103 Q2 +arc: V01S0000 F6 +arc: W1_H02W0501 Q7 +arc: W3_H06W0103 F1 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0001010100111111 +word: SLICED.K0.INIT 0011001101011111 +word: SLICED.K1.INIT 0010101001101110 +word: SLICEC.K0.INIT 0011001101011111 +word: SLICEC.K1.INIT 0010101001101110 +word: SLICEB.K0.INIT 1100000011111111 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R47C27:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0401 N3_V06S0203 +arc: E1_H02E0601 W3_H06E0303 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 S3_V06N0103 +arc: H00L0000 S1_V02N0001 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 H02E0701 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 W1_H02E0601 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 H06E0203 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0501 S3_V06N0303 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 H01E0101 +arc: V00B0000 H02E0401 +arc: V00B0100 H02E0501 +arc: V00T0000 V02S0601 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0601 V02S0601 +arc: A0 V02S0701 +arc: A1 H02W0501 +arc: A2 H00L0100 +arc: A3 V02S0501 +arc: A6 V02N0101 +arc: A7 V02N0301 +arc: B0 V02S0301 +arc: B1 V00B0000 +arc: B2 E1_H02W0301 +arc: B3 W1_H02E0101 +arc: B4 V02N0701 +arc: B6 H02W0101 +arc: B7 W1_H02E0101 +arc: C0 H02W0601 +arc: C1 V02S0601 +arc: C2 H02W0601 +arc: C3 V02S0601 +arc: C4 V02S0001 +arc: C6 H02W0601 +arc: C7 V00T0000 +arc: CE0 V02S0201 +arc: CE1 V02S0201 +arc: CE2 H00L0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 H02E0201 +arc: D2 V00T0100 +arc: D3 H02W0201 +arc: D4 F2 +arc: D5 V02N0601 +arc: D6 H00R0100 +arc: D7 V02S0401 +arc: E1_H01E0001 F6 +arc: E1_H01E0101 Q4 +arc: E1_H02E0001 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 Q3 +arc: LSR0 H02E0301 +arc: LSR1 H02E0301 +arc: M4 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR0 +arc: N1_V01N0101 Q1 +arc: N1_V02N0301 Q3 +arc: V01S0100 Q7 +arc: W1_H02W0701 Q7 +arc: W3_H06W0203 Q4 +word: SLICED.K0.INIT 0011001101011111 +word: SLICED.K1.INIT 0010101001101110 +word: SLICEB.K0.INIT 0011001101011111 +word: SLICEB.K1.INIT 0010101001101110 +word: SLICEA.K0.INIT 0011001101011111 +word: SLICEA.K1.INIT 0010101001101110 +word: SLICEC.K0.INIT 1100000011111111 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R47C28:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0003 W3_H06E0003 +arc: H00L0000 S1_V02N0201 +arc: H00L0100 V02N0101 +arc: H00R0000 H02E0601 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 H06E0303 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 H01E0101 +arc: S1_V02S0601 H01E0001 +arc: V00B0000 V02S0001 +arc: V00B0100 N1_V02S0101 +arc: V00T0000 V02N0401 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0501 W3_H06E0303 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0303 V06S0303 +arc: B0 V00B0000 +arc: B2 H00R0000 +arc: B4 H02E0101 +arc: B6 V02S0701 +arc: C0 N1_V02S0401 +arc: C2 N1_V02S0401 +arc: C4 S1_V02N0001 +arc: C6 S1_V02N0001 +arc: CE0 S1_V02N0201 +arc: CE1 S1_V02N0201 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 H02W0001 +arc: D2 V00T0100 +arc: D3 V02N0201 +arc: D4 H02E0001 +arc: D5 S1_V02N0401 +arc: D6 H00R0100 +arc: D7 H00L0100 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: LSR0 W1_H02E0301 +arc: LSR1 W1_H02E0301 +arc: M0 V00T0000 +arc: M2 V00T0000 +arc: M4 V00T0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR0 +arc: S1_V02S0001 Q0 +arc: S1_V02S0401 Q4 +arc: S3_V06S0003 Q0 +arc: S3_V06S0103 Q2 +arc: S3_V06S0203 Q4 +arc: S3_V06S0303 Q6 +arc: W1_H02W0401 Q6 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q4 +word: SLICED.K0.INIT 1100000011111111 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 1100000011111111 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1100000011111111 +word: SLICEB.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 1100000011111111 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R47C29:PLC2 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0601 W3_H06E0303 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0203 +arc: H00R0000 S1_V02N0601 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 W3_H06E0303 +arc: N1_V02N0601 S1_V02N0301 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0401 W1_H02E0401 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02N0201 +arc: V00T0000 W1_H02E0201 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 N1_V02S0401 +arc: W3_H06W0103 S3_V06N0103 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 S1_V02N0601 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q2 +arc: H01W0100 Q6 +arc: M0 V00T0100 +arc: M2 H02E0601 +arc: M4 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: V01S0100 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R47C2:PLC2 +arc: E1_H02E0501 N1_V02S0501 +arc: E3_H06E0303 V06S0303 +arc: N1_V02N0101 N3_V06S0103 + +.tile R47C30:PLC2 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0401 N1_V02S0401 +arc: E1_H02E0501 S3_V06N0303 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0100 V02N0301 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0301 W3_H06E0003 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 W3_H06E0303 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0203 S3_V06N0203 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 W3_H06E0203 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 V02S0101 +arc: V00T0000 V02S0601 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 H01E0001 +arc: W3_H06W0103 S3_V06N0103 +arc: A2 V01N0101 +arc: A4 S1_V02N0101 +arc: A5 N1_V01N0101 +arc: A6 E1_H02W0701 +arc: A7 H00R0000 +arc: B2 N1_V02S0101 +arc: B4 V00B0100 +arc: B5 S1_V02N0701 +arc: B6 S1_V02N0501 +arc: B7 H02E0301 +arc: C2 W1_H02E0401 +arc: C4 S1_V02N0201 +arc: C5 V02N0001 +arc: C6 E1_H01E0101 +arc: C7 V00T0000 +arc: CE0 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D2 S1_V02N0201 +arc: D4 W1_H02E0001 +arc: D5 V00B0000 +arc: D6 E1_H02W0201 +arc: D7 V01N0001 +arc: E1_H01E0101 F7 +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q6 +arc: H01W0000 F5 +arc: H01W0100 Q6 +arc: LSR0 V00T0100 +arc: LSR1 V00T0100 +arc: M0 E1_H02W0601 +arc: M2 H02W0601 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0101 F4 +arc: N3_V06N0003 Q0 +arc: N3_V06N0303 Q6 +arc: S1_V02S0201 Q0 +arc: S1_V02S0401 Q6 +arc: S3_V06S0303 Q6 +arc: V01S0000 F2 +arc: W1_H02W0201 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1111111111110100 +word: SLICED.K1.INIT 0000111010101010 +word: SLICEC.K0.INIT 1100010011110101 +word: SLICEC.K1.INIT 1000000000000000 +word: SLICEB.K0.INIT 1010001011110011 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R47C31:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0701 W1_H02E0601 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0203 W3_H06E0203 +arc: H00R0000 H02W0401 +arc: H00R0100 V02S0701 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 W3_H06E0303 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0401 W3_H06E0203 +arc: S3_V06S0003 H06E0003 +arc: V00B0000 S1_V02N0201 +arc: V00T0000 V02N0601 +arc: W1_H02W0601 V06S0303 +arc: CE0 H00R0000 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q0 +arc: M0 V00T0000 +arc: M2 V00B0000 +arc: M4 V00T0000 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 Q6 +arc: N1_V02N0401 Q4 +arc: N3_V06N0303 Q6 +arc: V01S0100 Q4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R47C32:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0601 N1_V01S0000 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 V02N0201 +arc: H00L0100 V02S0101 +arc: H00R0000 W1_H02E0601 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0301 W3_H06E0003 +arc: N1_V02N0601 W3_H06E0303 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0003 H06E0003 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0601 N1_V01S0000 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0103 H06E0103 +arc: V00B0100 W1_H02E0501 +arc: V00T0000 S1_V02N0601 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 S1_V02N0701 +arc: A0 H01E0001 +arc: A1 H01E0001 +arc: A7 H00L0000 +arc: B0 E1_H01W0100 +arc: B1 V01N0001 +arc: B7 S1_V02N0501 +arc: C0 E1_H01W0000 +arc: C1 E1_H01W0000 +arc: C7 V02N0001 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V00B0100 +arc: D7 H02E0001 +arc: E1_H01E0001 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F6 F5D_SLICE +arc: LSR1 W1_H02E0301 +arc: M4 V00T0000 +arc: M6 V00B0000 +arc: MUXCLK2 CLK0 +arc: MUXLSR2 LSR1 +arc: N1_V01N0001 F1 +arc: V00B0000 Q4 +arc: V01S0100 F6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1100010000110001 +word: SLICEA.K1.INIT 1000110000100011 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1000010000100001 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 + +.tile R47C33:PLC2 +arc: E1_H02E0701 W1_H02E0701 +arc: E3_H06E0203 W1_H02E0701 +arc: H00R0000 H02E0601 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 H01E0001 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0203 H06E0203 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0103 H06E0103 +arc: V00B0000 V02N0201 +arc: W1_H02W0201 W3_H06E0103 +arc: CE2 H00R0000 +arc: CLK0 G_HPBX0000 +arc: H01W0100 Q4 +arc: M4 V00B0000 +arc: MUXCLK2 CLK0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R47C34:PLC2 +arc: E3_H06E0003 W1_H02E0001 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0301 W3_H06E0003 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 H06E0003 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0203 H06E0203 + +.tile R47C35:PLC2 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0103 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0401 W3_H06E0203 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0103 W3_H06E0103 +arc: N3_V06N0303 H06E0303 +arc: W1_H02W0101 W3_H06E0103 + +.tile R47C36:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0601 W3_H06E0303 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0601 W3_H06E0303 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0203 W3_H06E0203 + +.tile R47C37:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H01E0101 W3_H06E0203 +arc: E3_H06E0003 W3_H06E0003 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0003 W3_H06E0003 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0701 W3_H06E0203 + +.tile R47C38:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0303 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0303 W3_H06E0303 + +.tile R47C39:PLC2 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 H06E0103 +arc: N3_V06N0303 H06E0303 +arc: W1_H02W0201 H01E0001 + +.tile R47C3:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0501 S3_V06N0303 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 E3_H06W0303 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0203 E3_H06W0203 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 H02E0501 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 N1_V02S0501 +arc: A1 V02S0501 +arc: A2 E1_H02W0701 +arc: A7 N1_V02S0301 +arc: B1 S1_V02N0101 +arc: B2 H00R0100 +arc: B3 H00L0000 +arc: B7 V02S0701 +arc: C1 V02S0401 +arc: C2 H00L0100 +arc: C3 S1_V02N0401 +arc: C7 V00T0000 +arc: CE0 N1_V02S0201 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D1 V00T0100 +arc: D2 N1_V02S0001 +arc: D3 V00B0100 +arc: D7 E1_H02W0001 +arc: E1_H02E0101 Q1 +arc: E1_H02E0201 Q2 +arc: E1_H02E0701 Q7 +arc: E3_H06E0203 Q7 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00L0100 F3 +arc: H00R0100 F7 +arc: LSR0 V00B0000 +arc: LSR1 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V02N0101 Q1 +arc: N1_V02N0301 F1 +arc: S3_V06S0103 Q1 +arc: V01S0000 F1 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0111000001111010 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0010101001101110 +word: SLICEB.K0.INIT 1101100011110000 +word: SLICEB.K1.INIT 0000000011111100 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET SET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 + +.tile R47C40:PLC2 +arc: N3_V06N0003 H06E0003 + +.tile R47C41:PLC2 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0303 H06E0303 + +.tile R47C42:PLC2 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0103 W3_H06E0103 +arc: N3_V06N0303 W3_H06E0303 + +.tile R47C43:PLC2 +arc: N3_V06N0003 W3_H06E0003 + +.tile R47C44:PLC2 +arc: N3_V06N0303 W3_H06E0303 + +.tile R47C4:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0401 V06N0203 +arc: E1_H02E0501 E3_H06W0303 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0203 V06S0203 +arc: H00L0000 H02E0201 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0701 E1_H02W0701 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 V02S0401 +arc: V00T0100 N1_V02S0501 +arc: A2 H02E0701 +arc: A5 N1_V01N0101 +arc: A7 N1_V02S0101 +arc: B2 H00L0000 +arc: B3 H01W0100 +arc: B5 H02E0101 +arc: B7 H02W0301 +arc: C2 H02W0401 +arc: C3 H00R0100 +arc: C5 V00B0100 +arc: C7 V02N0201 +arc: CLK0 G_HPBX0000 +arc: D2 H02W0201 +arc: D3 V02S0001 +arc: D5 S1_V02N0401 +arc: D7 V02S0601 +arc: E1_H01E0001 F3 +arc: E3_H06E0003 F3 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: H01W0100 F2 +arc: LSR0 V00B0000 +arc: M0 V00T0000 +arc: M4 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 Q0 +arc: S1_V02S0601 F4 +arc: S3_V06S0203 F4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0001010100111111 +word: SLICEB.K0.INIT 0001010100111111 +word: SLICEB.K1.INIT 1100000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1110110010100000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R47C5:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 H01E0101 +arc: E1_H02E0301 E3_H06W0003 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 V02S0601 +arc: E3_H06E0303 V06S0303 +arc: H00L0100 V02S0301 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 E1_H02W0501 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 H02W0201 +arc: V00B0000 H02W0401 +arc: V00B0100 H02W0501 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0301 N1_V02S0301 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0701 V06S0203 +arc: A3 S1_V02N0501 +arc: A6 H02E0701 +arc: B0 H02W0301 +arc: B3 V02N0301 +arc: B4 H01E0101 +arc: B6 V00B0100 +arc: B7 V02S0501 +arc: C0 V02S0401 +arc: C2 V02N0401 +arc: C3 V02N0401 +arc: C4 E1_H01E0101 +arc: C5 V02N0201 +arc: C6 S1_V02N0201 +arc: C7 E1_H02W0401 +arc: CE2 S1_V02N0601 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 W1_H02E0001 +arc: D1 N1_V02S0001 +arc: D2 V00T0100 +arc: D3 V00T0100 +arc: D4 E1_H01W0100 +arc: D5 H00R0100 +arc: D6 H00L0100 +arc: D7 H01W0000 +arc: E1_H01E0001 F6 +arc: E1_H01E0101 F0 +arc: E3_H06E0103 F2 +arc: E3_H06E0203 Q4 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F4 +arc: H01W0100 Q7 +arc: M0 H02W0601 +arc: M2 V00B0000 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N3_V06N0203 Q7 +arc: S3_V06S0203 Q4 +arc: V01S0000 Q4 +arc: V01S0100 Q7 +word: SLICED.K0.INIT 0000000011100000 +word: SLICED.K1.INIT 1100111100001111 +word: SLICEC.K0.INIT 1111110000110000 +word: SLICEC.K1.INIT 0000111111110000 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111000011111111 +word: SLICEB.K1.INIT 1110000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R47C6:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0701 N1_V02S0701 +arc: E3_H06E0103 V01N0101 +arc: H00L0100 V02S0101 +arc: H00R0000 H02E0601 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0501 S3_V06N0303 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N1_V01S0000 +arc: V00B0000 H02W0601 +arc: V00T0000 H02W0201 +arc: V01S0000 N3_V06S0103 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 N3_V06S0103 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 N1_V01S0000 +arc: W1_H02W0701 E3_H06W0203 +arc: A0 V02N0701 +arc: A4 N1_V01N0101 +arc: A5 W1_H02E0501 +arc: B0 V00T0000 +arc: B1 V00B0000 +arc: B2 E1_H01W0100 +arc: B4 W1_H02E0101 +arc: B5 H00R0000 +arc: B7 W1_H02E0301 +arc: C0 N1_V02S0601 +arc: C1 H00L0000 +arc: C2 H00L0100 +arc: C4 E1_H02W0401 +arc: C5 V02N0201 +arc: C6 V00B0100 +arc: C7 E1_H01E0101 +arc: CE0 V02S0201 +arc: CE2 V02N0601 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V02S0001 +arc: D1 V02N0001 +arc: D2 H02E0001 +arc: D3 V02S0001 +arc: D4 V02S0401 +arc: D5 H00R0100 +arc: D6 H02W0001 +arc: D7 F2 +arc: E1_H01E0101 F1 +arc: E3_H06E0203 Q7 +arc: E3_H06E0303 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 F0 +arc: H00R0100 F7 +arc: H01W0100 F1 +arc: M2 E1_H02W0601 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q5 +arc: N1_V02N0301 Q1 +arc: N1_V02N0501 Q5 +arc: S1_V02S0201 F0 +arc: S1_V02S0601 F4 +arc: S1_V02S0701 Q5 +arc: S3_V06S0303 Q5 +arc: V00B0100 Q7 +arc: W1_H02W0501 Q5 +word: SLICEC.K0.INIT 1000011100001000 +word: SLICEC.K1.INIT 1100111000001010 +word: SLICEA.K0.INIT 0111000000000000 +word: SLICEA.K1.INIT 0000110000111111 +word: SLICED.K0.INIT 0000111111110000 +word: SLICED.K1.INIT 1111001111000000 +word: SLICEB.K0.INIT 1111110000001100 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R47C7:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0601 V01N0001 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0103 V06S0103 +arc: E3_H06E0203 N3_V06S0203 +arc: H00L0000 H02W0201 +arc: H00R0000 S1_V02N0601 +arc: H00R0100 E1_H02W0701 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0203 E3_H06W0203 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 H06W0003 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 V02S0201 +arc: V00B0100 E1_H02W0701 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0601 S3_V06N0303 +arc: W1_H02W0701 S1_V02N0701 +arc: A7 H00R0000 +arc: B2 V02N0301 +arc: B4 W1_H02E0301 +arc: B7 V02N0501 +arc: C2 H00L0000 +arc: C4 V02N0001 +arc: C7 W1_H02E0401 +arc: CLK0 G_HPBX0000 +arc: D2 V00B0100 +arc: D3 V01S0100 +arc: D4 H00R0100 +arc: D5 V02S0601 +arc: D7 E1_H02W0001 +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: H01W0100 F4 +arc: M0 E1_H02W0601 +arc: M2 V00B0000 +arc: M4 V00B0000 +arc: MUXCLK0 CLK0 +arc: N3_V06N0103 F2 +arc: V01S0000 Q0 +arc: V01S0100 Q0 +arc: W1_H02W0501 F7 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000001000 +word: SLICEC.K0.INIT 1100110011110000 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R47C8:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0501 S3_V06N0303 +arc: E1_H02E0601 N3_V06S0303 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0100 V02N0101 +arc: H00R0000 S1_V02N0601 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 V01N0001 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 V01N0101 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 H06W0303 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 E3_H06W0303 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 W1_H02E0401 +arc: V00B0100 H02E0701 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 V02S0701 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0601 N3_V06S0303 +arc: W1_H02W0701 S3_V06N0203 +arc: A5 W1_H02E0701 +arc: A6 S1_V02N0101 +arc: B0 H02W0101 +arc: B1 V00B0000 +arc: B2 H02E0101 +arc: B4 V01S0000 +arc: B5 V02N0701 +arc: B6 H02E0301 +arc: C0 S1_V02N0401 +arc: C1 V02N0401 +arc: C2 H02E0601 +arc: C3 H00L0000 +arc: C4 H02W0401 +arc: C5 E1_H02W0601 +arc: C6 E1_H02W0401 +arc: C7 V00T0000 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0201 +arc: D1 F2 +arc: D2 S1_V02N0001 +arc: D3 V00B0100 +arc: D4 H02E0201 +arc: D5 H00L0100 +arc: D6 H00R0100 +arc: D7 V00B0000 +arc: E1_H01E0001 Q2 +arc: E3_H06E0003 Q0 +arc: E3_H06E0103 Q2 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H01W0000 F6 +arc: H01W0100 F7 +arc: M0 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 F4 +arc: N1_V02N0301 F3 +arc: N3_V06N0203 F7 +arc: S1_V02S0201 Q0 +arc: S3_V06S0003 Q0 +arc: S3_V06S0303 Q5 +arc: V01S0000 Q5 +word: SLICED.K0.INIT 0000011101110111 +word: SLICED.K1.INIT 0000000000001111 +word: SLICEC.K0.INIT 0011001100001111 +word: SLICEC.K1.INIT 1111100010001000 +word: SLICEB.K0.INIT 1111001111000000 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 1111111111000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R47C9:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 V06N0003 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0501 E3_H06W0303 +arc: E1_H02E0601 S1_V02N0601 +arc: E3_H06E0203 V06N0203 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0100 V02N0101 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 E1_H02W0501 +arc: H01W0000 E3_H06W0103 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0003 H01E0001 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 W1_H02E0701 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E3_H06W0303 +arc: B0 H01W0100 +arc: B2 H00R0000 +arc: B4 V02S0701 +arc: B6 V02N0701 +arc: C0 H00L0000 +arc: C1 V02N0401 +arc: C2 H00L0100 +arc: C3 E1_H02W0401 +arc: C4 H02E0401 +arc: C6 V00T0100 +arc: CE0 V02S0201 +arc: CE1 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 V02N0001 +arc: D2 N1_V02S0201 +arc: D3 V00B0100 +arc: D4 S1_V02N0601 +arc: D5 H01W0000 +arc: D6 H02E0201 +arc: D7 H01W0000 +arc: E1_H01E0001 F3 +arc: E3_H06E0003 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 F2 +arc: H01W0100 Q2 +arc: M4 W1_H02E0401 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N1_V01N0101 F6 +arc: N1_V02N0401 F4 +arc: V01S0000 Q0 +arc: W3_H06W0103 F1 +word: SLICEA.K0.INIT 1100110011110000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 1100110011110000 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R48C10:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0101 V06N0103 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0203 S3_V06N0203 +arc: H00R0000 V02S0401 +arc: H00R0100 H02W0701 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0000 V02N0201 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 H02E0201 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 N3_V06S0103 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0601 E1_H01W0000 +arc: W3_H06W0103 V01N0101 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 N1_V01S0100 +arc: A0 E1_H02W0701 +arc: A1 E1_H02W0701 +arc: A2 E1_H02W0701 +arc: A3 E1_H02W0701 +arc: A4 V00T0100 +arc: A5 S1_V02N0101 +arc: B0 W1_H02E0301 +arc: B1 W1_H02E0301 +arc: B2 W1_H02E0301 +arc: B3 W1_H02E0301 +arc: B4 V02N0501 +arc: B5 H00R0000 +arc: B6 V01S0000 +arc: C0 H00R0100 +arc: C1 H00R0100 +arc: C2 H00R0100 +arc: C3 H00R0100 +arc: C4 V00T0000 +arc: C5 S1_V02N0001 +arc: C6 H02E0401 +arc: CLK1 G_HPBX0000 +arc: D0 E1_H02W0001 +arc: D1 E1_H02W0001 +arc: D2 E1_H02W0001 +arc: D3 E1_H02W0001 +arc: D4 V00B0000 +arc: D5 S1_V02N0601 +arc: D6 V02N0601 +arc: D7 W1_H02E0001 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q0 +arc: LSR1 H02W0301 +arc: M6 V00B0100 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 F6 +arc: V01S0000 Q3 +arc: V01S0100 Q1 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R48C11:PLC2 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 E3_H06W0003 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0601 E3_H06W0303 +arc: E3_H06E0103 N3_V06S0103 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 H02W0201 +arc: H00R0100 S1_V02N0701 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 H06W0303 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 W3_H06E0303 +arc: S1_V02S0601 E3_H06W0303 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0000 W1_H02E0601 +arc: V00B0100 V02S0101 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 E1_H02W0701 +arc: W3_H06W0103 E1_H02W0101 +arc: W3_H06W0203 V01N0001 +arc: A0 V02S0701 +arc: A1 V02S0701 +arc: A2 V02S0701 +arc: A3 V02S0701 +arc: A4 V00T0100 +arc: A5 H02E0501 +arc: B0 H02E0301 +arc: B1 H02E0301 +arc: B2 H02E0301 +arc: B3 H02E0301 +arc: B4 V02N0501 +arc: B5 H02E0101 +arc: B6 H02W0101 +arc: C0 H00L0000 +arc: C1 H00L0000 +arc: C2 H00L0000 +arc: C3 H00L0000 +arc: C4 V00B0100 +arc: C5 V02S0001 +arc: C6 H02W0601 +arc: CLK1 G_HPBX0000 +arc: D0 H02W0001 +arc: D1 H02W0001 +arc: D2 H02W0001 +arc: D3 H02W0001 +arc: D4 H02E0201 +arc: D5 H00R0100 +arc: D6 V00B0000 +arc: D7 V02S0401 +arc: E1_H01E0001 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q1 +arc: LSR1 E1_H02W0301 +arc: M6 V00T0000 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0101 F6 +arc: V01S0000 Q3 +arc: W3_H06W0003 Q0 +word: SLICED.K0.INIT 1111000011001100 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R48C12:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 S3_V06N0303 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 H02E0601 +arc: H01W0100 E3_H06W0303 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 W3_H06E0303 +arc: S1_V02S0001 E3_H06W0003 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0501 N1_V01S0100 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 W3_H06E0203 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02N0001 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 N1_V02S0601 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 V01N0001 +arc: W1_H02W0701 V02S0701 +arc: W3_H06W0203 N1_V01S0000 +arc: A0 V02S0701 +arc: A1 V02S0701 +arc: A2 V02S0701 +arc: A3 V02S0701 +arc: A4 H02E0501 +arc: A5 N1_V01S0100 +arc: B0 E1_H02W0301 +arc: B1 E1_H02W0301 +arc: B2 E1_H02W0301 +arc: B3 E1_H02W0301 +arc: B4 V02N0701 +arc: B5 H00R0000 +arc: B6 V00B0000 +arc: C0 E1_H01W0000 +arc: C1 E1_H01W0000 +arc: C2 E1_H01W0000 +arc: C3 E1_H01W0000 +arc: C4 V02S0201 +arc: C5 V01N0101 +arc: C6 E1_H02W0401 +arc: CLK1 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 V00B0100 +arc: D2 V00B0100 +arc: D3 V00B0100 +arc: D4 W1_H02E0201 +arc: D5 H00L0100 +arc: D6 H02E0201 +arc: D7 V02S0601 +arc: E1_H01E0001 Q0 +arc: E1_H01E0101 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q3 +arc: LSR1 H02W0301 +arc: M6 V00T0000 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: V01S0100 Q2 +arc: W1_H02W0101 Q1 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R48C13:PLC2 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0401 V02S0401 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 N3_V06S0103 +arc: H00L0000 H02E0201 +arc: H00L0100 V02N0101 +arc: H00R0100 S1_V02N0501 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 N1_V02S0301 +arc: S3_V06S0203 N1_V02S0401 +arc: V00B0000 V02S0001 +arc: V00B0100 H02E0701 +arc: V00T0100 H02E0101 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0601 V06N0303 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0303 +arc: A0 V02N0701 +arc: A1 V02N0701 +arc: A2 V02N0701 +arc: A3 V02N0701 +arc: A4 H02W0701 +arc: A5 V02N0301 +arc: B0 H02W0301 +arc: B1 H02W0301 +arc: B2 H02W0301 +arc: B3 H02W0301 +arc: B4 V00B0100 +arc: B5 H00L0000 +arc: B7 S1_V02N0701 +arc: C0 H02E0401 +arc: C1 H02E0401 +arc: C2 H02E0401 +arc: C3 H02E0401 +arc: C4 V02N0201 +arc: C5 V00T0100 +arc: C7 H02W0601 +arc: CLK1 G_HPBX0000 +arc: D0 E1_H02W0001 +arc: D1 E1_H02W0001 +arc: D2 E1_H02W0001 +arc: D3 E1_H02W0001 +arc: D4 H00L0100 +arc: D5 V00B0000 +arc: D7 H00R0100 +arc: E1_H01E0001 F7 +arc: E1_H02E0501 F7 +arc: E1_H02E0701 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: LSR1 E1_H02W0301 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 Q3 +arc: V01S0100 F7 +arc: W1_H02W0101 Q1 +arc: W1_H02W0701 F7 +arc: W3_H06W0003 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100110000001111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R48C14:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0601 W1_H02E0301 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 S3_V06N0103 +arc: H00L0000 V02S0001 +arc: H00R0100 H02E0501 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0601 H01E0001 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 E1_H01W0100 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0301 E1_H01W0100 +arc: S1_V02S0401 V01N0001 +arc: S1_V02S0601 S3_V06N0303 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 V02N0001 +arc: V00T0100 H02W0301 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 V01N0101 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 E1_H02W0201 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E1_H02W0501 +arc: A0 V02N0501 +arc: A1 V02N0501 +arc: A2 V02N0501 +arc: A3 V02N0501 +arc: A4 V02S0301 +arc: A5 E1_H02W0701 +arc: B0 E1_H01W0100 +arc: B1 E1_H01W0100 +arc: B2 E1_H01W0100 +arc: B3 E1_H01W0100 +arc: B4 V02N0701 +arc: B5 H00L0000 +arc: B7 V02S0501 +arc: C0 H00R0100 +arc: C1 H00R0100 +arc: C2 H00R0100 +arc: C3 H00R0100 +arc: C4 V02N0201 +arc: C5 N1_V02S0201 +arc: C7 H02E0401 +arc: CLK1 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 V00B0100 +arc: D2 V00B0100 +arc: D3 V01S0100 +arc: D4 H02W0201 +arc: D5 V02N0601 +arc: D7 V00B0000 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 F7 +arc: E1_H02E0501 F7 +arc: E3_H06E0203 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q3 +arc: LSR1 V00T0100 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0001 Q2 +arc: N1_V02N0001 Q0 +arc: N1_V02N0301 Q1 +arc: V00B0100 F7 +arc: V01S0000 F7 +arc: V01S0100 F7 +arc: W1_H02W0501 F7 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100110000001111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R48C15:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0601 W3_H06E0303 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 S3_V06N0303 +arc: H00R0100 W1_H02E0701 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0201 H01E0001 +arc: S1_V02S0301 E3_H06W0003 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 H06W0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 N1_V02S0201 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 V02S0501 +arc: W1_H02W0601 V02N0601 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 E1_H01W0100 +arc: W3_H06W0203 E1_H01W0000 +arc: W3_H06W0303 E1_H02W0601 +arc: A0 V02S0701 +arc: A1 V02S0701 +arc: A2 V02S0701 +arc: A3 V02S0701 +arc: A4 V02S0301 +arc: A5 S1_V02N0101 +arc: B0 H01W0100 +arc: B1 H01W0100 +arc: B2 H01W0100 +arc: B3 H01W0100 +arc: B4 E1_H02W0101 +arc: B5 H02E0101 +arc: B7 H02E0301 +arc: C0 H00R0100 +arc: C1 H00R0100 +arc: C2 H00R0100 +arc: C3 H00R0100 +arc: C4 S1_V02N0001 +arc: C5 V02N0001 +arc: C7 V00T0100 +arc: CLK1 G_HPBX0000 +arc: D0 H01E0101 +arc: D1 H01E0101 +arc: D2 H01E0101 +arc: D3 H01E0101 +arc: D4 V00B0000 +arc: D5 V02N0401 +arc: D7 H02E0001 +arc: E1_H01E0101 Q1 +arc: E1_H02E0301 Q3 +arc: E1_H02E0501 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: H01W0100 F7 +arc: LSR1 E1_H02W0301 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0001 F7 +arc: S1_V02S0001 Q0 +arc: S3_V06S0103 Q2 +arc: V01S0100 F7 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100110000001111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R48C16:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0401 W1_H02E0401 +arc: E3_H06E0003 N1_V01S0000 +arc: E3_H06E0103 H01E0101 +arc: H00L0100 V02N0301 +arc: H00R0000 H02W0601 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 W1_H02E0501 +arc: S3_V06S0003 N3_V06S0303 +arc: V00B0000 H02W0401 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 V02S0501 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0201 E3_H06W0103 +arc: W1_H02W0301 N1_V01S0100 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 E1_H02W0601 +arc: W3_H06W0203 E1_H02W0701 +arc: W3_H06W0303 E1_H01W0100 +arc: A0 H02W0501 +arc: A1 H02W0501 +arc: A2 H02W0501 +arc: A3 H02W0501 +arc: A4 V00B0000 +arc: A5 V02S0301 +arc: B0 E1_H02W0301 +arc: B1 E1_H02W0301 +arc: B2 E1_H02W0301 +arc: B3 E1_H02W0301 +arc: B4 H02W0101 +arc: B5 H00R0000 +arc: B7 S1_V02N0501 +arc: C0 H00L0100 +arc: C1 H00L0100 +arc: C2 H00L0100 +arc: C3 H00L0100 +arc: C4 V02S0201 +arc: C5 W1_H02E0601 +arc: C6 V00T0000 +arc: C7 V00T0100 +arc: CLK1 G_HPBX0000 +arc: D0 V02N0001 +arc: D1 V02N0001 +arc: D2 V02N0001 +arc: D3 V02N0001 +arc: D4 H02E0201 +arc: D5 E1_H02W0201 +arc: D6 H00R0100 +arc: D7 N1_V02S0401 +arc: E1_H02E0601 F6 +arc: E3_H06E0203 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: H01W0000 Q0 +arc: H01W0100 Q2 +arc: LSR1 H02W0301 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N3_V06N0203 F7 +arc: S3_V06S0203 F7 +arc: W1_H02W0101 Q1 +arc: W1_H02W0501 F7 +arc: W3_H06W0003 Q3 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1111000000000000 +word: SLICED.K1.INIT 0011000000000000 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R48C17:PLC2 +arc: E1_H02E0001 V01N0001 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 W1_H02E0601 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 S3_V06N0303 +arc: H00L0100 H02W0101 +arc: H00R0100 W1_H02E0501 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 H06W0003 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0501 E3_H06W0303 +arc: S1_V02S0601 S3_V06N0303 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N1_V02S0601 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 V02N0301 +arc: V00T0000 W1_H02E0001 +arc: V00T0100 S1_V02N0701 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0501 V02N0501 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0103 +arc: A0 W1_H02E0701 +arc: A1 W1_H02E0701 +arc: A2 W1_H02E0701 +arc: A3 W1_H02E0701 +arc: A4 V02S0101 +arc: A5 V00B0000 +arc: B0 H00R0100 +arc: B1 H00R0100 +arc: B2 H00R0100 +arc: B3 H00R0100 +arc: B4 V02N0701 +arc: B5 S1_V02N0501 +arc: B6 V00T0000 +arc: C0 H02E0401 +arc: C1 H02E0401 +arc: C2 H02E0401 +arc: C3 H02E0401 +arc: C4 V02S0201 +arc: C5 V00T0100 +arc: C6 H02W0401 +arc: CLK1 G_HPBX0000 +arc: D0 H02E0001 +arc: D1 H02E0001 +arc: D2 H02E0001 +arc: D3 H02E0001 +arc: D4 S1_V02N0601 +arc: D5 H02E0201 +arc: D6 V02N0601 +arc: D7 H00L0100 +arc: E1_H01E0001 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 Q6 +arc: LSR1 V00B0100 +arc: M6 E1_H02W0401 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: MUXCLK3 CLK1 +arc: N1_V01N0101 Q3 +arc: N3_V06N0103 Q1 +arc: N3_V06N0303 F6 +arc: V01S0100 Q2 +arc: W1_H02W0601 F6 +arc: W3_H06W0303 F6 +word: SLICED.K0.INIT 0000110011111100 +word: SLICED.K1.INIT 0000000011111111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R48C18:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 N1_V02S0401 +arc: E1_H02E0501 S3_V06N0303 +arc: E1_H02E0601 W1_H02E0601 +arc: E1_H02E0701 W1_H02E0601 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 V02S0001 +arc: H00L0100 V02S0101 +arc: H00R0000 V02N0601 +arc: H00R0100 H02W0501 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 W3_H06E0303 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0103 H06W0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0401 W3_H06E0203 +arc: S1_V02S0501 W3_H06E0303 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0003 H01E0001 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 H02E0401 +arc: V00B0100 H02E0501 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 V06N0103 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 E1_H02W0601 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E3_H06W0303 +arc: A0 V02S0501 +arc: A1 V02S0501 +arc: A2 V02S0501 +arc: A3 V02S0501 +arc: A4 V00B0000 +arc: A5 S1_V02N0301 +arc: B0 V01N0001 +arc: B1 V01N0001 +arc: B2 V01N0001 +arc: B3 V01N0001 +arc: B4 V00B0100 +arc: B5 H00L0000 +arc: B6 V00T0000 +arc: C0 V02S0601 +arc: C1 V02S0601 +arc: C2 V02S0601 +arc: C3 V02S0601 +arc: C4 V02S0201 +arc: C5 V02N0201 +arc: C6 S1_V02N0001 +arc: CLK1 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 H00R0000 +arc: D2 H00R0000 +arc: D3 H00R0000 +arc: D4 S1_V02N0401 +arc: D5 H00R0100 +arc: D6 V02N0401 +arc: D7 H00L0100 +arc: E3_H06E0103 Q1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F6 +arc: LSR1 H02E0301 +arc: M6 V00T0100 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: V00T0000 Q0 +arc: V01S0000 Q3 +arc: V01S0100 Q2 +word: SLICED.K0.INIT 0000111100110011 +word: SLICED.K1.INIT 0000000011111111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R48C19:PLC2 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 H01E0101 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W1_H02E0701 +arc: H00L0000 H02E0201 +arc: H00L0100 V02N0301 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0601 E1_H01W0000 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 N1_V02S0301 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N1_V01S0100 +arc: V00B0100 S1_V02N0101 +arc: V00T0000 W1_H02E0201 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0003 S3_V06N0003 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0303 E1_H02W0501 +arc: A0 V02N0501 +arc: A1 V02N0501 +arc: A2 V02N0501 +arc: A3 V02N0501 +arc: A4 H02W0501 +arc: A5 H02W0701 +arc: B0 H02E0301 +arc: B1 H02E0301 +arc: B2 H02E0301 +arc: B3 H02E0301 +arc: B4 V02S0701 +arc: B5 H00L0000 +arc: B6 H02E0101 +arc: C0 V02N0601 +arc: C1 V02N0601 +arc: C2 V02N0601 +arc: C3 V02N0601 +arc: C4 V02S0201 +arc: C5 S1_V02N0201 +arc: C6 V00B0100 +arc: CLK1 G_HPBX0000 +arc: D0 W1_H02E0001 +arc: D1 W1_H02E0001 +arc: D2 W1_H02E0001 +arc: D3 W1_H02E0001 +arc: D4 H00L0100 +arc: D5 H02W0001 +arc: D6 V02N0401 +arc: D7 H02W0201 +arc: E1_H01E0001 F6 +arc: E1_H02E0201 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 Q3 +arc: LSR1 V00T0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: S1_V02S0201 Q0 +arc: V01S0000 Q1 +word: SLICED.K0.INIT 0011001100001111 +word: SLICED.K1.INIT 0000000011111111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R48C20:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0301 E3_H06W0003 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0501 V02S0501 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0303 N1_V01S0100 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 V02N0501 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 W1_H02E0501 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 V02S0401 +arc: V00T0100 S1_V02N0501 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0601 S3_V06N0303 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0003 V01N0001 +arc: A1 H00L0100 +arc: A3 W1_H02E0701 +arc: B0 V00T0000 +arc: B1 V00T0000 +arc: B3 H01W0100 +arc: B5 E1_H02W0301 +arc: B7 H02E0101 +arc: C0 N1_V02S0401 +arc: C1 H00R0100 +arc: C3 F4 +arc: C4 V00T0100 +arc: C5 V02S0201 +arc: C6 E1_H01E0101 +arc: C7 F4 +arc: CE1 H02W0101 +arc: CE2 E1_H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 S1_V02N0201 +arc: D2 H00R0000 +arc: D3 V02S0001 +arc: D4 H02W0201 +arc: D5 H02W0001 +arc: D6 E1_H02W0201 +arc: D7 V02S0601 +arc: E1_H01E0001 F5 +arc: E1_H01E0101 Q7 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q5 +arc: H01W0100 F0 +arc: M2 H02E0601 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F1 +arc: N1_V01N0101 Q2 +arc: N3_V06N0103 Q2 +arc: V01S0000 Q2 +arc: W3_H06W0103 Q2 +arc: W3_H06W0303 F6 +word: SLICEA.K0.INIT 0000111100000011 +word: SLICEA.K1.INIT 0000000100000011 +word: SLICED.K0.INIT 0000111111110000 +word: SLICED.K1.INIT 1111000011111100 +word: SLICEC.K0.INIT 1111000000000000 +word: SLICEC.K1.INIT 0000110000111111 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1111101011111110 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 + +.tile R48C21:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 N3_V06S0303 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 W1_H02E0701 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0100 V02N0101 +arc: H00R0000 H02W0401 +arc: H00R0100 V02S0501 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0303 W3_H06E0303 +arc: S1_V02S0001 H01E0001 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 N1_V01S0100 +arc: S3_V06S0103 N1_V02S0201 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0100 H02E0501 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 N1_V01S0000 +arc: W3_H06W0103 E3_H06W0003 +arc: A1 E1_H01E0001 +arc: A7 N1_V02S0101 +arc: B1 V02S0101 +arc: B5 V00B0100 +arc: B7 H02E0101 +arc: C1 N1_V01N0001 +arc: C2 H02W0601 +arc: C3 H00R0100 +arc: C4 V02N0001 +arc: C5 E1_H01E0101 +arc: C7 W1_H02E0401 +arc: CE0 H00L0100 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 F2 +arc: D1 V00T0100 +arc: D2 H00R0000 +arc: D3 N1_V01S0000 +arc: D4 H02W0201 +arc: D5 H01W0000 +arc: D7 V02N0601 +arc: E1_H01E0001 F2 +arc: E1_H01E0101 F7 +arc: E3_H06E0003 Q0 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F3 +arc: M0 W1_H02E0601 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 Q0 +arc: N1_V02N0501 Q5 +arc: S3_V06S0003 Q0 +arc: V00T0100 F3 +arc: V01S0000 Q5 +arc: W3_H06W0003 Q0 +arc: W3_H06W0203 F4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000100000011 +word: SLICEC.K0.INIT 0000111111110000 +word: SLICEC.K1.INIT 1111111100001100 +word: SLICEB.K0.INIT 1111000000000000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1111111110101110 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 + +.tile R48C22:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0701 N1_V01S0100 +arc: E3_H06E0203 V06N0203 +arc: H00L0000 V02N0001 +arc: H00L0100 W1_H02E0101 +arc: H00R0000 V02N0601 +arc: H00R0100 V02N0701 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 H06W0203 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 H06E0003 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0201 W3_H06E0103 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 E1_H01W0000 +arc: V00B0000 H02E0401 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 H02W0001 +arc: V00T0100 H02E0301 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 E1_H02W0601 +arc: W3_H06W0203 E1_H02W0701 +arc: A6 V02N0301 +arc: A7 V02S0301 +arc: B2 V01N0001 +arc: B3 W1_H02E0101 +arc: B4 H02W0101 +arc: B6 V00T0000 +arc: B7 E1_H02W0101 +arc: C2 H00L0100 +arc: C3 S1_V02N0601 +arc: C4 W1_H02E0401 +arc: C6 E1_H01E0101 +arc: C7 V00T0100 +arc: CE0 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D2 S1_V02N0001 +arc: D3 H00R0000 +arc: D4 N1_V02S0601 +arc: D5 W1_H02E0001 +arc: D6 H02W0201 +arc: D7 H02E0001 +arc: E1_H01E0101 F7 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: M0 V00B0000 +arc: M1 H00R0100 +arc: M2 V00B0000 +arc: M4 V00B0100 +arc: MUXCLK0 CLK0 +arc: N3_V06N0103 Q1 +arc: N3_V06N0203 F4 +arc: S1_V02S0401 F4 +arc: S1_V02S0601 F6 +arc: V01S0000 F6 +arc: W3_H06W0103 F1 +word: SLICED.K0.INIT 0111000000000000 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEA.K0.INIT 1111111111111111 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEB.K0.INIT 1111001100000000 +word: SLICEB.K1.INIT 1111000011000000 +word: SLICEC.K0.INIT 1100111100000011 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R48C23:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 W1_H02E0701 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0000 V02S0001 +arc: H00L0100 V02N0101 +arc: H00R0100 V02S0701 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 V01N0101 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 V02N0001 +arc: V00B0100 H02E0501 +arc: V00T0000 V02S0401 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0501 N3_V06S0303 +arc: W1_H02W0601 W3_H06E0303 +arc: W3_H06W0103 N3_V06S0103 +arc: W3_H06W0303 N1_V01S0100 +arc: A0 E1_H01E0001 +arc: A1 V02N0501 +arc: A3 H00L0100 +arc: A4 V00T0100 +arc: A5 V00T0000 +arc: B0 E1_H02W0301 +arc: B1 V02S0101 +arc: B3 H02E0301 +arc: B4 V00B0100 +arc: B5 S1_V02N0501 +arc: C0 V02N0601 +arc: C1 W1_H02E0601 +arc: C3 H00L0000 +arc: C4 E1_H01E0101 +arc: C5 S1_V02N0001 +arc: CE0 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0201 +arc: D1 V01S0100 +arc: D3 V00B0100 +arc: D4 V02N0401 +arc: D5 V00B0000 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 F5 +arc: E3_H06E0003 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 F4 +arc: H01W0100 F3 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR3 LSR0 +arc: S1_V02S0601 Q6 +arc: V00T0100 Q1 +arc: V01S0100 F4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0001010100111111 +word: SLICEA.K0.INIT 0011001101011111 +word: SLICEA.K1.INIT 0010101001101110 +word: SLICEC.K0.INIT 0111000000000000 +word: SLICEC.K1.INIT 0001001101011111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET SET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R48C24:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0501 V02S0501 +arc: H00L0000 H02W0001 +arc: H00R0000 H02E0401 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0701 V01N0101 +arc: N3_V06N0003 S1_V02N0301 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 E3_H06W0003 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 H02W0601 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0203 N1_V02S0701 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 W1_H02E0501 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 V02S0501 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0501 V02S0501 +arc: W1_H02W0601 S3_V06N0303 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0203 N1_V01S0000 +arc: W3_H06W0303 V06N0303 +arc: A3 H02W0501 +arc: A4 H02E0501 +arc: A5 H02E0701 +arc: A6 N1_V01N0101 +arc: A7 W1_H02E0701 +arc: B0 V02S0301 +arc: B3 H02W0101 +arc: B4 H00L0000 +arc: B5 H02E0101 +arc: B6 V00B0000 +arc: B7 S1_V02N0701 +arc: C0 H02W0401 +arc: C3 H00R0100 +arc: C4 H02E0601 +arc: C5 S1_V02N0001 +arc: C6 H02E0601 +arc: C7 S1_V02N0001 +arc: CE0 V02N0201 +arc: CE2 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0001 +arc: D1 S1_V02N0201 +arc: D3 V00B0100 +arc: D4 V02S0401 +arc: D5 H02E0201 +arc: D6 V02S0401 +arc: D7 V02S0601 +arc: E1_H01E0101 F4 +arc: E1_H02E0401 F6 +arc: E3_H06E0003 Q0 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F3 +arc: H01W0100 Q5 +arc: LSR0 V00T0100 +arc: LSR1 V00T0100 +arc: M0 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 Q7 +arc: N3_V06N0203 Q7 +arc: N3_V06N0303 Q5 +arc: S1_V02S0001 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0001010100111111 +word: SLICED.K0.INIT 0011001101011111 +word: SLICED.K1.INIT 0010101001101110 +word: SLICEC.K0.INIT 0011001101011111 +word: SLICEC.K1.INIT 0010101001101110 +word: SLICEA.K0.INIT 1100000011111111 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R48C25:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0303 N1_V01S0100 +arc: H00L0000 N1_V02S0001 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 H02E0401 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0601 N3_V06S0303 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N1_V01S0100 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 V02N0301 +arc: V00T0000 S1_V02N0401 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0601 V06N0303 +arc: W1_H02W0701 N3_V06S0203 +arc: W3_H06W0003 S3_V06N0003 +arc: B0 V02S0101 +arc: B2 N1_V02S0301 +arc: B4 H02E0101 +arc: B6 V00B0000 +arc: C0 H00L0000 +arc: C2 H00L0000 +arc: C4 N1_V02S0001 +arc: C6 N1_V02S0001 +arc: CE0 H02W0101 +arc: CE1 H02W0101 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V00T0100 +arc: D2 H01E0101 +arc: D3 V00B0100 +arc: D4 H00R0100 +arc: D5 H00L0100 +arc: D6 H02E0001 +arc: D7 V02N0401 +arc: E1_H01E0001 Q0 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 Q2 +arc: LSR0 H02E0501 +arc: LSR1 H02E0501 +arc: M0 V00T0000 +arc: M2 V00T0000 +arc: M4 V00T0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 Q6 +arc: N1_V01N0101 Q0 +arc: S1_V02S0001 Q2 +arc: S1_V02S0601 Q4 +arc: S3_V06S0103 Q2 +arc: V01S0000 Q0 +arc: V01S0100 Q6 +arc: W3_H06W0203 Q4 +word: SLICEB.K0.INIT 1100000011111111 +word: SLICEB.K1.INIT 1111111100000000 +word: SLICED.K0.INIT 1100000011111111 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 1100000011111111 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 1100000011111111 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R48C26:PLC2 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 H02E0201 +arc: H00L0100 E1_H02W0101 +arc: H00R0000 V02S0401 +arc: H00R0100 V02S0501 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 W3_H06E0203 +arc: S1_V02S0001 H01E0001 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 N1_V01S0100 +arc: S1_V02S0401 N1_V01S0000 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 N1_V02S0601 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 H01E0001 +arc: V00B0000 H02E0401 +arc: V00B0100 V02S0301 +arc: V00T0000 V02S0401 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0601 E1_H01W0000 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0003 V06S0003 +arc: A0 E1_H01E0001 +arc: A1 H02E0701 +arc: A2 H02E0501 +arc: A3 H00L0100 +arc: A5 V00B0000 +arc: A6 N1_V01N0101 +arc: A7 H00L0000 +arc: B0 E1_H01W0100 +arc: B1 V00T0000 +arc: B2 E1_H02W0301 +arc: B3 H00R0000 +arc: B5 V00B0100 +arc: B6 H02W0301 +arc: B7 H02E0101 +arc: C0 E1_H02W0601 +arc: C1 H02W0601 +arc: C2 E1_H02W0601 +arc: C3 H02W0601 +arc: C5 V02S0001 +arc: C6 E1_H02W0601 +arc: C7 H02W0601 +arc: CE0 V02S0201 +arc: CE1 V02S0201 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 H02E0001 +arc: D2 V00T0100 +arc: D3 N1_V02S0201 +arc: D5 V02S0601 +arc: D6 V02N0401 +arc: D7 W1_H02E0201 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 F6 +arc: E1_H02E0201 F2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q7 +arc: H01W0100 Q3 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 Q7 +arc: N1_V02N0301 Q3 +arc: V01S0000 F0 +arc: V01S0100 Q1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0001010100111111 +word: SLICEB.K0.INIT 0011001101011111 +word: SLICEB.K1.INIT 0010101001101110 +word: SLICEA.K0.INIT 0011001101011111 +word: SLICEA.K1.INIT 0010101001101110 +word: SLICED.K0.INIT 0011001101011111 +word: SLICED.K1.INIT 0010101001101110 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R48C27:PLC2 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0103 W3_H06E0003 +arc: H00R0000 E1_H02W0601 +arc: H00R0100 V02N0701 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 N3_V06S0203 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0501 H01E0101 +arc: S1_V02S0601 H02E0601 +arc: S3_V06S0003 H06E0003 +arc: V00B0000 H02W0401 +arc: V00B0100 H02W0501 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 V02N0501 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0501 V02S0501 +arc: W1_H02W0601 N1_V02S0601 +arc: W3_H06W0003 S3_V06N0003 +arc: A5 V00B0000 +arc: B5 H00R0000 +arc: C5 V02S0001 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D5 H00R0100 +arc: F5 F5_SLICE +arc: H01W0000 Q6 +arc: H01W0100 Q2 +arc: M0 V00B0100 +arc: M2 V00T0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: W1_H02W0201 Q0 +arc: W1_H02W0701 F5 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0101010100111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R48C28:PLC2 +arc: E3_H06E0203 W3_H06E0203 +arc: H00R0000 V02N0601 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0501 N1_V02S0401 +arc: S3_V06S0003 N3_V06S0303 +arc: V00B0100 V02N0101 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 H02W0301 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0203 V01N0001 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 V02N0601 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q4 +arc: H01W0100 Q0 +arc: M0 V00B0100 +arc: M2 V00T0000 +arc: M4 H02E0401 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V01S0100 Q2 +arc: W1_H02W0401 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R48C29:PLC2 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0701 W3_H06E0203 +arc: H00L0000 V02N0001 +arc: H00L0100 V02N0101 +arc: H00R0000 V02S0401 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 N1_V01S0100 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0101 N3_V06S0103 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 S1_V02N0301 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 V06N0203 +arc: W1_H02W0601 V02N0601 +arc: A1 H00L0000 +arc: B1 V02S0301 +arc: C1 H00L0100 +arc: CE2 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D1 H00R0000 +arc: E1_H01E0001 F1 +arc: F1 F1_SLICE +arc: H01W0100 Q4 +arc: M4 V00B0100 +arc: M6 V00B0000 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: N3_V06N0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000011101110111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 + +.tile R48C30:PLC2 +arc: E1_H02E0201 N1_V01S0000 +arc: E1_H02E0501 S1_V02N0501 +arc: H00R0100 H02W0701 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0201 H01E0001 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 H02E0501 +arc: V00T0000 V02N0401 +arc: CE0 E1_H02W0101 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q6 +arc: E1_H01E0101 Q2 +arc: M0 V00T0000 +arc: M2 V00B0000 +arc: M4 V00B0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: N1_V02N0201 Q0 +arc: S3_V06S0003 Q0 +arc: V01S0000 Q6 +arc: V01S0100 Q4 +arc: W3_H06W0003 Q0 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R48C31:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0201 W3_H06E0103 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0303 W3_H06E0303 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0501 W3_H06E0303 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0501 N1_V02S0501 +arc: S1_V02S0601 W3_H06E0303 +arc: S3_V06S0303 N1_V02S0601 +arc: V00B0000 H02W0601 +arc: V00B0100 V02N0301 +arc: W1_H02W0701 N1_V02S0701 +arc: A3 V00B0000 +arc: B2 H00R0000 +arc: B3 H00L0000 +arc: C2 V02N0401 +arc: C3 H02W0401 +arc: CE2 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D2 E1_H02W0001 +arc: D3 H02E0201 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: H00L0000 F2 +arc: H00R0000 Q4 +arc: H01W0000 F3 +arc: LSR1 H02E0501 +arc: M4 V00B0100 +arc: MUXCLK2 CLK0 +arc: MUXLSR2 LSR1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1100000011001100 +word: SLICEB.K1.INIT 1000010000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 + +.tile R48C32:PLC2 +arc: H00R0000 V02N0401 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0003 S3_V06N0003 +arc: S1_V02S0501 V01N0101 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 S1_V02N0001 +arc: V00T0000 H02E0201 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0401 H01E0001 +arc: CE0 V02S0201 +arc: CE1 V02S0201 +arc: CE2 V02S0601 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: M0 V00T0100 +arc: M2 V00B0000 +arc: M4 V00T0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V02N0201 Q0 +arc: V01S0100 Q4 +arc: W1_H02W0601 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R48C33:PLC2 +arc: N1_V02N0201 S1_V02N0201 +arc: W1_H02W0001 W3_H06E0003 + +.tile R48C34:PLC2 +arc: E3_H06E0303 W3_H06E0203 +arc: N3_V06N0003 H06E0003 +arc: S3_V06S0003 H06E0003 + +.tile R48C36:PLC2 +arc: N1_V02N0601 H02W0601 + +.tile R48C37:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E3_H06E0003 W3_H06E0003 +arc: N1_V02N0601 H06E0303 +arc: N3_V06N0003 W3_H06E0003 +arc: W1_H02W0601 W3_H06E0303 + +.tile R48C38:PLC2 +arc: N1_V02N0601 H01E0001 + +.tile R48C3:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 N3_V06S0303 +arc: E1_H02E0601 W1_H02E0601 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 H06W0003 +arc: N3_V06N0003 H06W0003 +arc: S1_V02S0401 N3_V06S0203 + +.tile R48C40:PLC2 +arc: N3_V06N0003 H06E0003 + +.tile R48C43:PLC2 +arc: N3_V06N0003 W3_H06E0003 + +.tile R48C4:PLC2 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0201 V01N0001 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 E3_H06W0303 +arc: E1_H02E0701 V06S0203 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0303 S3_V06N0203 +arc: V01S0000 N3_V06S0103 + +.tile R48C5:PLC2 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0301 E3_H06W0003 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 V01N0101 +arc: E3_H06E0303 N1_V01S0100 +arc: H00R0000 W1_H02E0401 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 H02W0501 +arc: N3_V06N0203 E1_H01W0000 +arc: N3_V06N0303 E1_H01W0100 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0501 W1_H02E0501 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 V02N0301 +arc: V00T0000 H02E0201 +arc: V00T0100 H02W0101 +arc: A3 V02N0501 +arc: B3 S1_V02N0101 +arc: C2 N1_V01N0001 +arc: C3 N1_V01N0001 +arc: CE0 H00R0000 +arc: CE2 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D2 V00T0100 +arc: D3 V00T0100 +arc: E1_H01E0001 Q6 +arc: E1_H01E0101 Q4 +arc: E1_H02E0001 F2 +arc: F2 F5B_SLICE +arc: M0 V00B0100 +arc: M2 W1_H02E0601 +arc: M4 V00T0000 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0601 Q6 +arc: N3_V06N0003 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1111000011111111 +word: SLICEB.K1.INIT 1110000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R48C6:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 H01E0001 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 V02N0701 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 H02E0601 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 E1_H01W0000 +arc: N3_V06N0103 H06W0103 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0601 H02E0601 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 V02N0501 +arc: W1_H02W0101 N1_V01S0100 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 N1_V01S0000 +arc: A1 W1_H02E0501 +arc: A5 V00T0100 +arc: A6 N1_V01N0101 +arc: B1 V02S0301 +arc: B2 H02W0301 +arc: B4 H02W0301 +arc: B5 V02S0501 +arc: B6 V00B0100 +arc: C1 W1_H02E0401 +arc: C2 H02W0401 +arc: C3 N1_V01N0001 +arc: C4 V02S0001 +arc: C5 H02E0401 +arc: C6 V02N0001 +arc: C7 V00T0000 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D1 F2 +arc: D2 V02N0201 +arc: D3 H02W0001 +arc: D4 V02N0601 +arc: D5 V00B0000 +arc: D6 H00L0100 +arc: D7 S1_V02N0401 +arc: E1_H01E0001 F6 +arc: E3_H06E0003 F3 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q7 +arc: E3_H06E0303 Q5 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q0 +arc: H01W0100 F6 +arc: M0 W1_H02E0601 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 Q7 +arc: N3_V06N0203 Q4 +arc: N3_V06N0303 Q5 +arc: S1_V02S0701 Q7 +arc: S3_V06S0103 Q2 +arc: V00B0000 F4 +arc: V01S0000 Q0 +arc: V01S0100 Q5 +arc: W1_H02W0001 Q0 +arc: W3_H06W0003 Q0 +word: SLICED.K0.INIT 0011010100110011 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1100111000001010 +word: SLICEA.K0.INIT 1111111111111111 +word: SLICEA.K1.INIT 1111100010001000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 + +.tile R48C7:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0601 S3_V06N0303 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0003 V06S0003 +arc: H00L0000 V02S0201 +arc: H00L0100 W1_H02E0301 +arc: H00R0000 H02W0401 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0301 H01E0101 +arc: N1_V02N0501 H02W0501 +arc: N3_V06N0203 H01E0001 +arc: S1_V02S0001 E1_H01W0000 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0601 E1_H01W0000 +arc: V00B0000 H02E0601 +arc: V00B0100 S1_V02N0101 +arc: V00T0000 H02E0201 +arc: V00T0100 V02S0701 +arc: V01S0000 S3_V06N0103 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0601 E3_H06W0303 +arc: W3_H06W0103 V06S0103 +arc: A4 H02E0701 +arc: B0 W1_H02E0101 +arc: B2 S1_V02N0301 +arc: B4 E1_H02W0301 +arc: B5 H00L0000 +arc: B6 E1_H02W0101 +arc: C0 H00L0100 +arc: C2 H02E0401 +arc: C4 N1_V02S0001 +arc: C5 V00T0000 +arc: C6 V02N0001 +arc: CE2 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 V00B0100 +arc: D2 H02W0201 +arc: D3 N1_V01S0000 +arc: D4 H00R0100 +arc: D5 H02W0001 +arc: D6 H02W0201 +arc: D7 H02E0001 +arc: E1_H01E0001 F4 +arc: E1_H01E0101 F5 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F2 +arc: H01W0100 F6 +arc: M0 E1_H02W0601 +arc: M2 H02E0601 +arc: M6 V00B0000 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F5 +arc: N3_V06N0003 F0 +arc: W3_H06W0303 Q5 +word: SLICEC.K0.INIT 0101100011111101 +word: SLICEC.K1.INIT 0000110000111111 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 1100110011110000 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R48C8:PLC2 +arc: E1_H02E0001 V06N0003 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 E3_H06W0003 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0701 N3_V06S0203 +arc: E3_H06E0003 S3_V06N0003 +arc: H00L0000 V02S0201 +arc: H00L0100 H02E0301 +arc: H00R0000 V02N0601 +arc: H00R0100 H02W0501 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0203 E3_H06W0203 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0601 E1_H01W0000 +arc: S1_V02S0701 H06W0203 +arc: S3_V06S0003 N3_V06S0003 +arc: V00B0000 V02S0001 +arc: V00B0100 W1_H02E0501 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0401 V06N0203 +arc: W1_H02W0501 E1_H02W0401 +arc: A4 N1_V01N0101 +arc: B0 S1_V02N0301 +arc: B2 N1_V02S0101 +arc: B4 H00L0000 +arc: B6 V02N0501 +arc: C0 S1_V02N0601 +arc: C2 N1_V01S0100 +arc: C4 N1_V02S0201 +arc: C5 S1_V02N0001 +arc: C6 N1_V02S0001 +arc: CE1 H00R0000 +arc: CE2 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 V00T0100 +arc: D2 E1_H02W0001 +arc: D3 H01E0101 +arc: D4 N1_V02S0401 +arc: D5 H00L0100 +arc: D6 H00R0100 +arc: D7 H02W0001 +arc: E1_H01E0101 F4 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q5 +arc: M0 V00B0100 +arc: M2 V00T0000 +arc: M6 V00B0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 Q5 +arc: N1_V01N0101 Q5 +arc: N3_V06N0103 Q2 +arc: N3_V06N0303 Q5 +arc: S1_V02S0001 F2 +arc: S1_V02S0201 F0 +arc: S3_V06S0303 Q5 +arc: V01S0000 F6 +word: SLICEC.K0.INIT 1001011101000011 +word: SLICEC.K1.INIT 0000000011110000 +word: SLICEB.K0.INIT 0000000011001111 +word: SLICEB.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 1100110011110000 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICED.K0.INIT 1111110000001100 +word: SLICED.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R48C9:PLC2 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0203 N3_V06S0203 +arc: E3_H06E0303 V06S0303 +arc: H00R0000 S1_V02N0601 +arc: H00R0100 V02N0701 +arc: H01W0000 E3_H06W0103 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 V01N0101 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 W1_H02E0601 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N1_V01S0000 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0601 E1_H02W0601 +arc: W1_H02W0701 V02S0701 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 E3_H06W0003 +arc: A1 H02E0501 +arc: B0 H02W0101 +arc: B1 S1_V02N0101 +arc: B2 V02S0101 +arc: B4 E1_H02W0101 +arc: B6 V00T0000 +arc: C0 N1_V02S0601 +arc: C1 V02S0601 +arc: C2 H02W0601 +arc: C4 E1_H02W0401 +arc: C6 S1_V02N0001 +arc: CLK0 G_HPBX0000 +arc: D0 W1_H02E0001 +arc: D1 V00B0100 +arc: D2 V02S0001 +arc: D3 H00R0000 +arc: D4 H02E0201 +arc: D5 E1_H01W0100 +arc: D6 H00R0100 +arc: D7 E1_H01W0100 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 F0 +arc: E1_H02E0001 F2 +arc: E3_H06E0003 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 F6 +arc: LSR0 H02W0301 +arc: M2 E1_H02W0601 +arc: M4 V00T0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR0 +arc: V01S0100 F4 +arc: W1_H02W0001 F0 +word: SLICEA.K0.INIT 1100000011001111 +word: SLICEA.K1.INIT 0000000100001101 +word: SLICED.K0.INIT 1111000011001100 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 0011001100001111 +word: SLICEB.K1.INIT 0000000011111111 +word: SLICEC.K0.INIT 1100110011110000 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R49C10:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0601 E1_H01W0000 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 W1_H02E0701 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0601 N1_V02S0301 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N1_V02S0601 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 N1_V02S0101 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0001 V06N0003 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0301 E3_H06W0003 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 W3_H06E0303 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0303 E3_H06W0303 +arc: A0 E1_H02W0701 +arc: A1 E1_H02W0701 +arc: A2 E1_H02W0701 +arc: A3 E1_H02W0701 +arc: A4 H02W0701 +arc: A5 V02S0301 +arc: B0 E1_H01W0100 +arc: B1 E1_H01W0100 +arc: B2 H00R0000 +arc: B3 H00R0000 +arc: B4 V02S0501 +arc: B5 V02S0701 +arc: B6 V01S0000 +arc: C0 E1_H01W0000 +arc: C1 E1_H01W0000 +arc: C2 E1_H01W0000 +arc: C3 E1_H01W0000 +arc: C4 V00B0100 +arc: C5 V02N0201 +arc: C6 H01E0001 +arc: CLK1 G_HPBX0000 +arc: D0 H02E0001 +arc: D1 H02E0001 +arc: D2 H02E0001 +arc: D3 H02E0001 +arc: D4 H02W0201 +arc: D5 V00B0000 +arc: D6 H00R0100 +arc: D7 V01N0001 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 Q2 +arc: LSR1 E1_H02W0301 +arc: M6 V00T0100 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0101 Q1 +arc: N3_V06N0303 F6 +arc: V01S0000 Q3 +arc: W3_H06W0003 Q0 +word: SLICED.K0.INIT 1111000011001100 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R49C11:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 N3_V06S0203 +arc: E3_H06E0003 H01E0001 +arc: E3_H06E0303 S3_V06N0303 +arc: H00L0000 E1_H02W0201 +arc: H00L0100 V02S0101 +arc: H00R0000 V02S0601 +arc: H00R0100 V02N0501 +arc: H01W0000 E3_H06W0103 +arc: H01W0100 E3_H06W0303 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0701 E3_H06W0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0003 +arc: V00B0000 W1_H02E0601 +arc: V00B0100 V02N0101 +arc: V00T0000 H02W0201 +arc: V00T0100 H02E0301 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 N3_V06S0203 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 E1_H01W0100 +arc: W3_H06W0203 S3_V06N0203 +arc: A0 H02W0701 +arc: A1 H02W0701 +arc: A2 H02W0701 +arc: A3 H02W0701 +arc: A4 N1_V01N0101 +arc: A5 N1_V02S0301 +arc: B0 E1_H02W0101 +arc: B1 E1_H02W0101 +arc: B2 E1_H02W0101 +arc: B3 E1_H02W0101 +arc: B4 H00L0000 +arc: B5 H00R0000 +arc: B6 H02E0101 +arc: C0 H02E0601 +arc: C1 H02E0601 +arc: C2 H02E0401 +arc: C3 H02E0601 +arc: C4 V00T0000 +arc: C5 H02W0601 +arc: C6 V02S0001 +arc: CLK1 G_HPBX0000 +arc: D0 H02E0001 +arc: D1 H02E0001 +arc: D2 H02E0001 +arc: D3 H02E0001 +arc: D4 H00L0100 +arc: D5 H00R0100 +arc: D6 V00B0000 +arc: D7 E1_H02W0001 +arc: E1_H01E0001 Q1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: LSR1 V00B0100 +arc: M6 V00T0100 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0001 Q0 +arc: N1_V02N0101 Q3 +arc: V01S0100 Q2 +arc: W3_H06W0303 F6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1111111100000000 +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R49C12:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 H01E0101 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0701 E3_H06W0203 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0100 H02E0101 +arc: H00R0000 V02S0601 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0203 N1_V02S0401 +arc: V00B0000 H02E0401 +arc: V00T0000 V02N0601 +arc: V00T0100 V02S0501 +arc: W1_H02W0101 N1_V01S0100 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0501 H01E0101 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0303 +arc: A0 H02W0701 +arc: A1 H02W0701 +arc: A2 H02W0701 +arc: A3 H02W0701 +arc: A4 H02E0701 +arc: A5 V00T0100 +arc: B0 E1_H02W0301 +arc: B1 E1_H02W0301 +arc: B2 E1_H02W0301 +arc: B3 E1_H02W0301 +arc: B4 H01E0101 +arc: B5 H00R0000 +arc: B6 V00B0000 +arc: C0 V02S0401 +arc: C1 V02S0401 +arc: C2 V02S0401 +arc: C3 V02S0401 +arc: C4 N1_V02S0201 +arc: C5 F6 +arc: C6 H02W0401 +arc: CLK1 G_HPBX0000 +arc: D0 E1_H02W0001 +arc: D1 E1_H02W0001 +arc: D2 E1_H02W0001 +arc: D3 E1_H02W0001 +arc: D4 H02E0201 +arc: D5 H00R0100 +arc: D6 V02N0401 +arc: D7 H00L0100 +arc: E1_H01E0001 Q6 +arc: E3_H06E0303 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q6 +arc: H01W0100 F6 +arc: LSR1 H02E0301 +arc: M6 V00T0000 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: MUXCLK3 CLK1 +arc: N1_V01N0001 Q1 +arc: N1_V01N0101 F6 +arc: V01S0000 Q3 +arc: V01S0100 F6 +arc: W1_H02W0001 Q2 +arc: W1_H02W0601 F6 +arc: W3_H06W0003 Q0 +word: SLICED.K0.INIT 0000110011111100 +word: SLICED.K1.INIT 0000000011111111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R49C13:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0601 W1_H02E0601 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0303 N1_V01S0100 +arc: H00R0100 V02N0501 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 V01N0001 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 W3_H06E0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 H02W0501 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N1_V02S0701 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 H02W0601 +arc: V00B0100 V02S0301 +arc: V00T0000 V02N0601 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0401 E1_H01W0000 +arc: W3_H06W0003 E1_H02W0001 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0203 S3_V06N0203 +arc: W3_H06W0303 E1_H01W0100 +arc: A0 F7 +arc: A1 F7 +arc: A2 F7 +arc: A3 F7 +arc: A4 H02W0701 +arc: A5 V00T0000 +arc: B0 H02W0301 +arc: B1 H02W0301 +arc: B2 H02W0301 +arc: B3 H02W0301 +arc: B4 H02E0101 +arc: B5 V02S0501 +arc: B7 H02E0301 +arc: C0 N1_V01S0100 +arc: C1 N1_V01S0100 +arc: C2 N1_V01S0100 +arc: C3 N1_V01S0100 +arc: C4 N1_V02S0201 +arc: C5 V02N0201 +arc: C7 S1_V02N0201 +arc: CLK1 G_HPBX0000 +arc: D0 H02W0001 +arc: D1 H02W0001 +arc: D2 H02W0001 +arc: D3 H02W0001 +arc: D4 H02W0201 +arc: D5 V00B0000 +arc: D7 H00R0100 +arc: E1_H01E0101 F7 +arc: E1_H02E0001 Q2 +arc: E1_H02E0701 F7 +arc: E3_H06E0003 Q3 +arc: E3_H06E0203 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: LSR1 V00B0100 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V02N0001 Q0 +arc: N1_V02N0701 F7 +arc: V01S0100 Q1 +arc: W1_H02W0701 F7 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100110000001111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R49C14:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 N1_V01S0000 +arc: E1_H02E0501 S3_V06N0303 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0103 W3_H06E0003 +arc: H00L0000 W1_H02E0001 +arc: H00L0100 E1_H02W0301 +arc: H00R0000 W1_H02E0401 +arc: H00R0100 W1_H02E0701 +arc: H01W0000 E3_H06W0103 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 H06E0303 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0003 H01E0001 +arc: N3_V06N0103 E1_H01W0100 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 N1_V02S0201 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N1_V02S0101 +arc: S3_V06S0203 E1_H01W0000 +arc: V00B0100 H02W0701 +arc: V00T0000 W1_H02E0001 +arc: V00T0100 H02W0101 +arc: W1_H02W0001 N1_V01S0000 +arc: W1_H02W0101 N3_V06S0103 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0501 V06N0303 +arc: W1_H02W0601 E1_H02W0601 +arc: W1_H02W0701 N3_V06S0203 +arc: W3_H06W0003 V01N0001 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0203 +arc: A0 H00L0000 +arc: A1 H00L0000 +arc: A2 V00T0000 +arc: A3 V00T0000 +arc: A4 N1_V01N0101 +arc: A5 H02E0501 +arc: B0 H00R0100 +arc: B1 H00R0100 +arc: B2 H00R0000 +arc: B3 H00R0000 +arc: B4 V00B0100 +arc: B5 S1_V02N0701 +arc: B6 V02N0501 +arc: B7 V00B0000 +arc: C0 H00L0100 +arc: C1 H00L0100 +arc: C2 H00L0100 +arc: C3 H00L0100 +arc: C4 V00T0100 +arc: C5 H02E0601 +arc: C6 S1_V02N0001 +arc: C7 V02N0001 +arc: CE3 H02E0101 +arc: CLK1 G_HPBX0000 +arc: D0 E1_H02W0001 +arc: D1 E1_H02W0001 +arc: D2 E1_H02W0001 +arc: D3 E1_H02W0001 +arc: D4 N1_V02S0401 +arc: D5 V02N0401 +arc: D6 V02S0601 +arc: D7 S1_V02N0601 +arc: E1_H01E0001 Q2 +arc: E3_H06E0203 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q1 +arc: LSR1 H02E0301 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: MUXCLK3 CLK1 +arc: S3_V06S0303 Q6 +arc: V00B0000 Q6 +arc: V01S0000 Q3 +arc: V01S0100 Q0 +arc: W3_H06W0203 F7 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1100000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R49C15:PLC2 +arc: E1_H02E0001 E1_H01W0000 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 W1_H02E0701 +arc: E3_H06E0003 W1_H02E0001 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 S3_V06N0303 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 V02S0701 +arc: H01W0000 E3_H06W0103 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 V01N0001 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 N1_V01S0100 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 E3_H06W0303 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 N1_V02S0101 +arc: S3_V06S0203 N1_V02S0401 +arc: S3_V06S0303 N1_V02S0601 +arc: V00B0000 V02S0001 +arc: V00B0100 H02W0701 +arc: V00T0000 V02N0601 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 N3_V06S0103 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0501 N3_V06S0303 +arc: W1_H02W0701 E1_H02W0701 +arc: W3_H06W0003 E1_H02W0001 +arc: A0 W1_H02E0701 +arc: A1 W1_H02E0701 +arc: A2 W1_H02E0701 +arc: A3 W1_H02E0701 +arc: A4 N1_V02S0301 +arc: A5 S1_V02N0101 +arc: B0 H02E0101 +arc: B1 H02E0101 +arc: B2 H02E0101 +arc: B3 H02E0101 +arc: B4 H00R0000 +arc: B5 V02N0701 +arc: B6 V00B0000 +arc: C0 H00R0100 +arc: C1 H00R0100 +arc: C2 H00R0100 +arc: C3 H00R0100 +arc: C4 V02N0001 +arc: C5 E1_H02W0601 +arc: C6 V00B0100 +arc: CLK1 G_HPBX0000 +arc: D0 V02S0201 +arc: D1 V02S0201 +arc: D2 V02S0201 +arc: D3 V02S0201 +arc: D4 E1_H02W0201 +arc: D5 H02E0001 +arc: D6 S1_V02N0601 +arc: D7 W1_H02E0201 +arc: E1_H01E0001 Q2 +arc: E1_H01E0101 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 F6 +arc: LSR1 W1_H02E0301 +arc: M6 V00T0000 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: S1_V02S0101 Q1 +arc: V01S0100 Q3 +word: SLICED.K0.INIT 0000111100110011 +word: SLICED.K1.INIT 0000000011111111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R49C16:PLC2 +arc: E1_H02E0001 V06N0003 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0501 E3_H06W0303 +arc: E1_H02E0601 V01N0001 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0203 S3_V06N0203 +arc: H00L0000 E1_H02W0201 +arc: H00R0000 H02W0401 +arc: H00R0100 H02E0501 +arc: H01W0000 E3_H06W0103 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 E1_H01W0000 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 H06W0303 +arc: V00B0000 H02E0601 +arc: V00T0000 H02E0201 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0601 E3_H06W0303 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0303 E3_H06W0203 +arc: A0 H02E0701 +arc: A1 H02E0701 +arc: A2 H02E0701 +arc: A3 H02E0701 +arc: A4 S1_V02N0101 +arc: A5 E1_H02W0501 +arc: A6 N1_V01S0100 +arc: B0 H02E0101 +arc: B1 H02E0101 +arc: B2 H02E0301 +arc: B3 H02E0301 +arc: B4 H00R0000 +arc: B5 H00L0000 +arc: B6 V00B0100 +arc: B7 H01E0101 +arc: C0 H02E0401 +arc: C1 H02E0401 +arc: C2 H02E0401 +arc: C3 H02E0401 +arc: C4 V00T0000 +arc: C5 V02N0201 +arc: C6 W1_H02E0601 +arc: C7 V02N0001 +arc: CLK1 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 V00T0100 +arc: D2 V00T0100 +arc: D3 V00T0100 +arc: D4 H02W0201 +arc: D5 H00R0100 +arc: D6 V02N0401 +arc: D7 V00B0000 +arc: E1_H01E0001 F6 +arc: E1_H02E0301 Q1 +arc: E3_H06E0003 Q3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: LSR1 H02W0301 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0001 Q0 +arc: V00B0100 F7 +arc: V01S0000 Q2 +word: SLICED.K0.INIT 0000000010100011 +word: SLICED.K1.INIT 0000111100110011 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R49C17:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0701 E3_H06W0203 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0203 S3_V06N0203 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0000 H02W0001 +arc: H00R0000 V02S0401 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 H01E0001 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 N1_V01S0100 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N1_V02S0701 +arc: V00B0100 V02N0301 +arc: V00T0000 W1_H02E0001 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 E3_H06W0203 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 E1_H02W0201 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E1_H01W0100 +arc: A0 E1_H02W0701 +arc: A1 E1_H02W0701 +arc: A2 E1_H02W0701 +arc: A3 E1_H02W0701 +arc: A4 N1_V02S0101 +arc: A5 V02N0101 +arc: B0 W1_H02E0301 +arc: B1 W1_H02E0101 +arc: B2 W1_H02E0101 +arc: B3 W1_H02E0301 +arc: B4 V02S0701 +arc: B5 H00L0000 +arc: B7 E1_H02W0301 +arc: C0 E1_H02W0601 +arc: C1 E1_H02W0601 +arc: C2 E1_H02W0601 +arc: C3 E1_H02W0601 +arc: C4 N1_V02S0201 +arc: C5 V00T0000 +arc: C7 S1_V02N0001 +arc: CLK1 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 H00R0000 +arc: D2 H00R0000 +arc: D3 H00R0000 +arc: D4 V02N0601 +arc: D5 E1_H02W0001 +arc: D7 S1_V02N0601 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 Q2 +arc: E1_H02E0301 Q1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: H01W0100 Q0 +arc: LSR1 V00B0100 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0001 F7 +arc: V01S0100 Q3 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100110000001111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R49C18:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0201 H01E0001 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 S3_V06N0203 +arc: E3_H06E0303 W3_H06E0203 +arc: H00R0100 V02N0501 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0001 E1_H01W0000 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 N1_V02S0201 +arc: S1_V02S0301 H01E0101 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0501 N1_V01S0100 +arc: S1_V02S0601 N1_V01S0000 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0003 N1_V02S0301 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0100 W1_H02E0701 +arc: V00T0100 W1_H02E0101 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 S3_V06N0303 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0203 +arc: A5 V00B0000 +arc: B1 S1_V02N0101 +arc: B3 S1_V02N0301 +arc: B4 H02W0101 +arc: B5 S1_V02N0501 +arc: B7 S1_V02N0701 +arc: C1 E1_H02W0401 +arc: C3 E1_H02W0401 +arc: C4 W1_H02E0601 +arc: C5 V02S0001 +arc: C7 E1_H02W0401 +arc: D1 V00B0100 +arc: D3 V00T0100 +arc: D4 S1_V02N0401 +arc: D5 W1_H02E0001 +arc: D7 H00R0100 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 F3 +arc: E1_H02E0101 F3 +arc: E1_H02E0501 F7 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: H01W0100 F7 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 F7 +arc: N1_V02N0501 F7 +arc: N3_V06N0303 F5 +arc: V00B0000 F4 +arc: V01S0000 F3 +arc: V01S0100 F1 +arc: W1_H02W0301 F1 +arc: W3_H06W0003 F3 +arc: W3_H06W0203 F7 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100000011001111 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1100000011001111 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1100000011001111 +word: SLICEC.K0.INIT 1111000011001100 +word: SLICEC.K1.INIT 0000000011001010 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 + +.tile R49C19:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0501 N3_V06S0303 +arc: E1_H02E0701 W1_H02E0701 +arc: H00L0100 H02E0101 +arc: H00R0000 V02S0401 +arc: H00R0100 H02E0501 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 E1_H01W0000 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 H06W0003 +arc: S1_V02S0401 N1_V01S0000 +arc: S1_V02S0501 H01E0101 +arc: S1_V02S0601 H06W0303 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N1_V02S0401 +arc: S3_V06S0303 E3_H06W0303 +arc: V00T0000 W1_H02E0201 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0501 H01E0101 +arc: W1_H02W0601 W3_H06E0303 +arc: W1_H02W0701 W3_H06E0203 +arc: W3_H06W0003 E1_H02W0301 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0203 E1_H02W0401 +arc: W3_H06W0303 E1_H01W0100 +arc: A0 H00L0100 +arc: A1 H00L0100 +arc: A2 H00L0100 +arc: A3 H00L0100 +arc: A4 H02W0701 +arc: A5 V02N0301 +arc: A7 H00R0000 +arc: B0 H00R0100 +arc: B1 H00R0100 +arc: B2 H00R0100 +arc: B3 H00R0100 +arc: B4 N1_V02S0701 +arc: B5 V02N0501 +arc: B6 H02E0301 +arc: B7 V00B0000 +arc: C0 W1_H02E0401 +arc: C1 W1_H02E0401 +arc: C2 W1_H02E0401 +arc: C3 W1_H02E0401 +arc: C4 N1_V02S0201 +arc: C5 V00T0100 +arc: C6 H02E0601 +arc: C7 V02N0001 +arc: CLK1 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 H02E0201 +arc: D2 H02E0201 +arc: D3 H02E0201 +arc: D4 H02W0201 +arc: D5 H02W0001 +arc: D6 V02N0601 +arc: D7 V02N0401 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q2 +arc: LSR1 V00T0000 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0001 F7 +arc: V00B0000 F6 +arc: V01S0000 Q0 +arc: V01S0100 Q1 +arc: W1_H02W0101 Q3 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 0000000010101100 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R49C20:PLC2 +arc: E1_H02E0001 E1_H01W0000 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 H01E0001 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0501 N1_V01S0100 +arc: E1_H02E0601 S3_V06N0303 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 N1_V01S0000 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0100 W1_H02E0101 +arc: H00R0100 V02S0501 +arc: H01W0100 E3_H06W0303 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0601 H06E0303 +arc: N3_V06N0203 W3_H06E0203 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 S3_V06N0303 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 N1_V02S0301 +arc: S3_V06S0103 E1_H01W0100 +arc: S3_V06S0203 N1_V01S0000 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0100 H02E0701 +arc: V00T0000 V02N0401 +arc: V00T0100 H02E0101 +arc: V01S0000 N3_V06S0103 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 V06N0003 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0301 N3_V06S0003 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0701 N3_V06S0203 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0203 E3_H06W0203 +arc: A0 H00L0100 +arc: A1 H00L0100 +arc: A2 H00L0100 +arc: A3 H00L0100 +arc: A4 V02N0101 +arc: A5 W1_H02E0701 +arc: A7 H02W0701 +arc: B0 V02S0301 +arc: B1 V02S0301 +arc: B2 V02S0301 +arc: B3 V02S0301 +arc: B4 V00B0100 +arc: B5 E1_H02W0301 +arc: B6 H02E0301 +arc: B7 V00B0000 +arc: C0 H02E0401 +arc: C1 H02E0401 +arc: C2 H02E0401 +arc: C3 H02E0401 +arc: C4 V00T0100 +arc: C5 H02W0601 +arc: C6 E1_H02W0401 +arc: C7 V02N0001 +arc: CLK1 G_HPBX0000 +arc: D0 W1_H02E0201 +arc: D1 W1_H02E0201 +arc: D2 W1_H02E0201 +arc: D3 W1_H02E0201 +arc: D4 H02W0001 +arc: D5 H00R0100 +arc: D6 E1_H02W0201 +arc: D7 V02N0601 +arc: E1_H01E0001 Q3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q2 +arc: LSR1 V00T0000 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0001 Q1 +arc: N1_V02N0501 F7 +arc: S1_V02S0001 Q0 +arc: V00B0000 F6 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 0000000010101100 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R49C21:PLC2 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 W3_H06E0303 +arc: H00R0000 V02N0401 +arc: H00R0100 N1_V02S0701 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 H06E0003 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 H01E0001 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 N1_V02S0301 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 H02E0401 +arc: V00B0100 H02E0501 +arc: V00T0000 H02W0001 +arc: V00T0100 H02W0101 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 E3_H06W0103 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 V01N0101 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 E1_H02W0401 +arc: A3 E1_H01E0001 +arc: A5 H02E0701 +arc: B0 V00B0000 +arc: B3 E1_H01W0100 +arc: B4 H00R0000 +arc: B5 V01S0000 +arc: B6 E1_H02W0101 +arc: C0 V02N0601 +arc: C1 H00R0100 +arc: C3 E1_H01W0000 +arc: C4 V00T0000 +arc: C5 V02N0201 +arc: C6 V00T0100 +arc: C7 E1_H01E0101 +arc: CE1 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 S1_V02N0201 +arc: D1 V02S0001 +arc: D2 F0 +arc: D3 H02E0001 +arc: D4 H02E0201 +arc: D5 V02S0401 +arc: D6 H00L0100 +arc: D7 S1_V02N0601 +arc: E1_H01E0001 F0 +arc: E1_H01E0101 Q6 +arc: E3_H06E0103 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 F1 +arc: H01W0100 Q2 +arc: M2 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N3_V06N0203 F7 +arc: V01S0000 F4 +arc: W1_H02W0401 Q6 +arc: W3_H06W0103 Q2 +arc: W3_H06W0303 Q6 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 0000000010101100 +word: SLICEA.K0.INIT 0000000000111111 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1111111100001100 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 0000000011111111 +word: SLICEB.K1.INIT 1111111101011101 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 + +.tile R49C22:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0601 S1_V02N0601 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 H02E0001 +arc: H00L0100 V02S0101 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 V02N0501 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 V01N0101 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 S3_V06N0303 +arc: S3_V06S0003 E3_H06W0003 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 H02W0501 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 H02E0301 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0301 N1_V01S0100 +arc: W1_H02W0401 S1_V02N0401 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 S3_V06N0303 +arc: A1 H00L0100 +arc: B1 V02N0101 +arc: B2 H00R0000 +arc: B4 H02W0301 +arc: B5 H02E0101 +arc: B6 N1_V02S0701 +arc: B7 V02S0701 +arc: C1 H00L0000 +arc: C2 V02N0401 +arc: C4 V00B0100 +arc: C5 F4 +arc: C6 V00B0100 +arc: C7 V00T0000 +arc: CLK0 G_HPBX0000 +arc: D1 V02N0201 +arc: D2 V00T0100 +arc: D3 H02E0201 +arc: D4 N1_V02S0601 +arc: D5 H00R0100 +arc: D6 V00B0000 +arc: D7 H02E0001 +arc: E1_H01E0101 F7 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: H01W0100 F7 +arc: LSR0 E1_H02W0501 +arc: LSR1 E1_H02W0501 +arc: M2 H02W0601 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 F2 +arc: N1_V01N0101 F6 +arc: S1_V02S0401 F4 +arc: S3_V06S0203 Q4 +arc: S3_V06S0303 Q6 +arc: V01S0000 F5 +arc: W1_H02W0101 F1 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000100000011 +word: SLICED.K0.INIT 1100000011001111 +word: SLICED.K1.INIT 0000110000001111 +word: SLICEC.K0.INIT 1100000011001111 +word: SLICEC.K1.INIT 0000000011110011 +word: SLICEB.K0.INIT 0000110000111111 +word: SLICEB.K1.INIT 0000000011111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R49C23:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0601 W1_H02E0601 +arc: E3_H06E0103 W3_H06E0103 +arc: H00L0100 S1_V02N0301 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 W3_H06E0203 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 N1_V01S0000 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 E1_H01W0100 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02N0201 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 V02S0701 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 V06S0303 +arc: W1_H02W0601 V06N0303 +arc: W1_H02W0701 W3_H06E0203 +arc: W3_H06W0203 N3_V06S0203 +arc: W3_H06W0303 S3_V06N0303 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q4 +arc: H01W0100 Q6 +arc: M0 V00B0000 +arc: M2 V00B0100 +arc: M4 V00T0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: V01S0100 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R49C24:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 V01N0101 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 E3_H06W0303 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0303 W3_H06E0303 +arc: H00R0100 H02W0701 +arc: H01W0000 W3_H06E0103 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0401 H02W0401 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0303 E3_H06W0303 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 N1_V02S0701 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 V02S0001 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 E3_H06W0303 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 S3_V06N0103 +arc: B6 S1_V02N0701 +arc: B7 V01S0000 +arc: C6 V02S0201 +arc: C7 W1_H02E0601 +arc: CE0 H02W0101 +arc: CE1 H02W0101 +arc: CE2 H02W0101 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D6 V02S0601 +arc: D7 V02N0401 +arc: E1_H01E0001 F7 +arc: E3_H06E0203 F7 +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: M0 E1_H02W0601 +arc: M2 H02W0601 +arc: M4 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: N1_V02N0601 Q4 +arc: N3_V06N0203 F7 +arc: S1_V02S0501 F7 +arc: V01S0000 Q6 +arc: V01S0100 Q2 +arc: W3_H06W0203 F7 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1111000011001100 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R49C25:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 E1_H01W0000 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0003 H01E0001 +arc: H00L0000 V02S0201 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 H02W0701 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 W1_H02E0601 +arc: N3_V06N0103 H01E0101 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0501 N1_V01S0100 +arc: S1_V02S0601 N1_V02S0301 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 E1_H01W0100 +arc: V00B0000 H02W0401 +arc: V00B0100 H02W0701 +arc: V00T0000 V02N0601 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 N1_V01S0000 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 N1_V02S0601 +arc: W1_H02W0701 V06N0203 +arc: W3_H06W0303 E1_H01W0100 +arc: A2 H00L0100 +arc: A3 V00T0000 +arc: A4 H02E0701 +arc: A5 V02N0101 +arc: B0 H02W0301 +arc: B2 H02W0101 +arc: B3 W1_H02E0101 +arc: B4 E1_H02W0301 +arc: B5 N1_V02S0701 +arc: C0 V02S0401 +arc: C2 H02E0601 +arc: C3 W1_H02E0401 +arc: C4 H02E0601 +arc: C5 W1_H02E0401 +arc: CE0 H00R0000 +arc: CE1 V02S0201 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 F2 +arc: D1 E1_H02W0001 +arc: D2 V00B0100 +arc: D3 W1_H02E0001 +arc: D4 H00R0100 +arc: D5 H02E0001 +arc: E1_H01E0001 F4 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00L0100 Q3 +arc: H01W0100 Q5 +arc: LSR0 H02E0501 +arc: LSR1 H02E0501 +arc: M0 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR1 +arc: N1_V01N0101 Q5 +arc: N3_V06N0003 Q3 +arc: V01S0100 Q0 +arc: W3_H06W0003 Q0 +word: SLICEB.K0.INIT 0011001101011111 +word: SLICEB.K1.INIT 0010101001101110 +word: SLICEC.K0.INIT 0011001101011111 +word: SLICEC.K1.INIT 0010101001101110 +word: SLICEA.K0.INIT 1100000011111111 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R49C26:PLC2 +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0501 S3_V06N0303 +arc: E1_H02E0601 N1_V01S0000 +arc: E1_H02E0701 N3_V06S0203 +arc: H00L0000 E1_H02W0001 +arc: H00L0100 S1_V02N0101 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 V02S0701 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 V01N0001 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 W3_H06E0303 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0100 V02S0101 +arc: V00T0000 S1_V02N0401 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0301 V06S0003 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 N3_V06S0203 +arc: W3_H06W0103 N1_V01S0100 +arc: W3_H06W0203 S3_V06N0203 +arc: A3 S1_V02N0501 +arc: B0 V02S0301 +arc: B3 H00R0000 +arc: B4 V02S0501 +arc: B6 V00B0100 +arc: C0 H00L0000 +arc: C3 H00L0100 +arc: C4 V02N0001 +arc: C6 V02N0001 +arc: CE0 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 W1_H02E0201 +arc: D1 H02W0201 +arc: D3 V00T0100 +arc: D4 V02S0401 +arc: D5 V02N0401 +arc: D6 V02S0601 +arc: D7 H02E0001 +arc: E1_H01E0001 F2 +arc: E1_H01E0101 F2 +arc: E1_H02E0001 F2 +arc: E1_H02E0201 F2 +arc: E3_H06E0003 Q0 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 Q4 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: M0 V00T0000 +arc: M2 H02E0601 +arc: M4 V00T0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0101 F2 +arc: N3_V06N0103 F2 +arc: V01S0000 Q0 +arc: V01S0100 Q6 +arc: W1_H02W0201 F2 +arc: W3_H06W0003 Q0 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 1100000011111111 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 1100000011111111 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 1100000011111111 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0011001100110111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R49C27:PLC2 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0201 W3_H06E0103 +arc: H00L0000 H02E0001 +arc: H00L0100 H02W0301 +arc: H00R0000 H02W0401 +arc: H00R0100 V02S0501 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 H06W0103 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0003 E1_H01W0000 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 W1_H02E0501 +arc: V00T0000 H02W0001 +arc: V00T0100 H02W0301 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 N1_V02S0501 +arc: B0 S1_V02N0101 +arc: B2 V02S0301 +arc: B4 N1_V01S0000 +arc: B6 V00B0000 +arc: C0 H00L0100 +arc: C2 H00L0100 +arc: C4 V00T0100 +arc: C6 V00T0000 +arc: CE0 H00L0000 +arc: CE1 H00L0000 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 S1_V02N0001 +arc: D1 V02N0001 +arc: D2 V02S0201 +arc: D3 H00R0000 +arc: D4 V02S0601 +arc: D5 V02N0401 +arc: D6 H00R0100 +arc: D7 H02W0201 +arc: E1_H01E0101 Q0 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: LSR0 H02E0301 +arc: LSR1 H02E0301 +arc: M0 V00B0100 +arc: M2 V00B0100 +arc: M4 E1_H02W0401 +arc: M6 E1_H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR0 +arc: S1_V02S0001 Q2 +arc: S3_V06S0303 Q6 +arc: V01S0000 Q4 +arc: V01S0100 Q6 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q4 +arc: W3_H06W0303 Q6 +word: SLICEC.K0.INIT 1100000011111111 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1100000011111111 +word: SLICEB.K1.INIT 1111111100000000 +word: SLICED.K0.INIT 1100000011111111 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 1100000011111111 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R49C28:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0701 S1_V02N0701 +arc: H00L0000 W1_H02E0001 +arc: H00R0000 W1_H02E0601 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0701 H01E0101 +arc: S3_V06S0003 N1_V02S0301 +arc: S3_V06S0103 N1_V02S0201 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 H02W0401 +arc: V00B0100 V02N0301 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 N3_V06S0003 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 W3_H06E0303 +arc: B0 H02E0101 +arc: B2 V02S0101 +arc: B6 V02S0501 +arc: C0 N1_V01N0001 +arc: C2 N1_V01N0001 +arc: C6 V02S0201 +arc: CE0 H00L0000 +arc: CE1 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V00B0100 +arc: D2 V02S0001 +arc: D3 V00T0100 +arc: D6 N1_V02S0601 +arc: D7 S1_V02N0601 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q0 +arc: LSR0 E1_H02W0301 +arc: LSR1 E1_H02W0301 +arc: M0 V00B0000 +arc: M2 V00B0000 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: MUXLSR3 LSR0 +arc: N1_V01N0101 Q0 +arc: S1_V02S0401 Q6 +arc: V01S0000 Q2 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q2 +arc: W3_H06W0303 Q6 +word: SLICEA.K0.INIT 1100000011111111 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICED.K0.INIT 1100000011111111 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1100000011111111 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET SET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R49C29:PLC2 +arc: E1_H02E0201 V01N0001 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0601 S1_V02N0601 +arc: E3_H06E0203 V01N0001 +arc: H00R0000 H02W0601 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 H06E0003 +arc: S1_V02S0201 H06W0103 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0100 H02E0701 +arc: V00T0000 H02W0001 +arc: W1_H02W0401 S1_V02N0401 +arc: C7 S1_V02N0201 +arc: CE1 H00R0000 +arc: CE2 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D7 E1_H01W0100 +arc: E1_H02E0701 F7 +arc: F7 F7_SLICE +arc: H01W0100 Q4 +arc: M2 V00T0000 +arc: M4 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: W1_H02W0201 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000111111111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 + +.tile R49C2:PLC2 +arc: S1_V02S0101 E3_H06W0103 +arc: S1_V02S0201 E3_H06W0103 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 E1_H02W0601 + +.tile R49C30:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0000 N1_V02S0201 +arc: H00R0000 N1_V02S0401 +arc: H00R0100 H02E0701 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0701 E1_H01W0100 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 W3_H06E0203 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 E1_H02W0201 +arc: S3_V06S0203 N1_V01S0000 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 S1_V02N0301 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0301 S1_V02N0301 +arc: A2 E1_H01E0001 +arc: A6 N1_V01N0101 +arc: B2 H00R0000 +arc: B6 V01S0000 +arc: C0 H00L0100 +arc: C1 H02E0401 +arc: C2 H00L0000 +arc: C3 N1_V01N0001 +arc: C5 V00T0000 +arc: C6 S1_V02N0001 +arc: C7 F6 +arc: CE0 H02W0101 +arc: CE1 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V00T0100 +arc: D2 H02E0201 +arc: D3 W1_H02E0001 +arc: D5 H01W0000 +arc: D6 V00B0000 +arc: D7 S1_V02N0601 +arc: E1_H01E0001 Q7 +arc: E1_H01E0101 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 Q3 +arc: H01W0000 F3 +arc: H01W0100 F3 +arc: LSR1 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F2 +arc: N1_V01N0101 Q5 +arc: N3_V06N0303 F6 +arc: V00T0000 Q0 +arc: V01S0000 Q7 +arc: V01S0100 F3 +arc: W1_H02W0601 F6 +arc: W3_H06W0103 F2 +arc: W3_H06W0303 F6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 0000000000001000 +word: SLICED.K1.INIT 0000111100000000 +word: SLICEB.K0.INIT 0000000000000010 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 1111000000000000 +word: SLICEA.K1.INIT 1111111100001111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R49C31:PLC2 +arc: E1_H02E0401 V06S0203 +arc: H00L0000 H02E0001 +arc: H00L0100 W1_H02E0301 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 E1_H01W0100 +arc: N3_V06N0003 E1_H01W0000 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0401 H02W0401 +arc: S3_V06S0203 N1_V02S0401 +arc: V00B0000 V02N0201 +arc: V00B0100 H02W0501 +arc: V00T0000 H02W0001 +arc: W1_H02W0101 H01E0101 +arc: A0 H02W0701 +arc: A1 V01N0101 +arc: A3 V00T0000 +arc: B0 V02N0101 +arc: B1 V02N0301 +arc: B3 V02S0101 +arc: C0 H00L0000 +arc: C1 H00L0100 +arc: C3 W1_H02E0601 +arc: CE2 H02W0101 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V02S0001 +arc: D3 V01S0100 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: H00R0000 Q6 +arc: H01W0100 F2 +arc: M2 N1_V01N0001 +arc: M4 V00B0000 +arc: M6 V00B0100 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F0 +arc: N1_V02N0401 Q6 +arc: N3_V06N0203 Q4 +arc: V01S0100 F1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1011000010111011 +word: SLICEA.K1.INIT 1111010100110001 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0100010100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R49C32:PLC2 +arc: H00R0000 H02E0401 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0401 H02E0401 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0100 V02N0101 +arc: V00T0100 V02S0501 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0701 V01N0101 +arc: W3_H06W0103 N3_V06S0103 +arc: CE0 V02N0201 +arc: CE1 H00R0000 +arc: CE2 N1_V02S0601 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q6 +arc: H01W0100 Q6 +arc: M0 V00T0100 +arc: M2 V00T0000 +arc: M4 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q4 +arc: N1_V02N0001 Q0 +arc: N1_V02N0601 Q6 +arc: S1_V02S0001 Q0 +arc: S3_V06S0303 Q6 +arc: V00T0000 Q0 +arc: W1_H02W0001 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R49C33:PLC2 +arc: N3_V06N0203 H06E0203 +arc: S3_V06S0203 H06E0203 + +.tile R49C36:PLC2 +arc: E1_H01E0101 W3_H06E0203 + +.tile R49C37:PLC2 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0303 H01E0101 + +.tile R49C3:PLC2 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0401 H06W0203 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0303 H06W0303 + +.tile R49C4:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0601 N1_V01S0000 +arc: N1_V02N0401 S1_V02N0101 +arc: V00T0000 N1_V02S0601 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0601 E3_H06W0303 +arc: CE2 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0303 F5 +arc: F5 F5_SLICE +arc: LSR0 V00T0000 +arc: MUXCLK2 CLK0 +arc: MUXLSR2 LSR0 +arc: N1_V01N0001 Q5 +arc: N3_V06N0303 F5 +arc: S3_V06S0303 F5 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111111111111111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R49C5:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 E1_H01W0100 +arc: H00L0100 V02S0101 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 S1_V02N0701 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 S1_V02N0301 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0203 H06W0203 +arc: S1_V02S0001 H02E0001 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 N1_V02S0101 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 V02S0701 +arc: A1 H00R0000 +arc: A3 H02W0501 +arc: A5 H02W0701 +arc: B1 V02N0101 +arc: B3 V02N0301 +arc: B5 V00B0100 +arc: B7 V02S0501 +arc: C0 H02E0601 +arc: C1 H02E0601 +arc: C2 H02W0601 +arc: C3 H02W0601 +arc: C5 H02W0401 +arc: C7 V02S0201 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0001 +arc: D1 V02N0001 +arc: D2 N1_V02S0001 +arc: D3 N1_V02S0001 +arc: D5 H02W0201 +arc: D7 H00L0100 +arc: E1_H01E0001 F2 +arc: E1_H01E0101 F7 +arc: E3_H06E0203 Q7 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: LSR0 V00B0000 +arc: LSR1 V00B0000 +arc: M0 V00T0000 +arc: M2 V00T0100 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F0 +arc: N1_V01N0101 Q5 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0010101001101110 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100110000001111 +word: SLICEA.K0.INIT 1111000011111111 +word: SLICEA.K1.INIT 1110000000000000 +word: SLICEB.K0.INIT 1111000011111111 +word: SLICEB.K1.INIT 0110000001100110 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R49C6:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 V06N0203 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 N1_V01S0000 +arc: E3_H06E0203 N1_V01S0000 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0000 V02N0201 +arc: H00L0100 V02S0301 +arc: H00R0000 V02S0601 +arc: H00R0100 S1_V02N0501 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 H06W0303 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0601 S3_V06N0303 +arc: V00B0100 E1_H02W0501 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0601 N1_V02S0601 +arc: W1_H02W0701 N1_V02S0701 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E3_H06W0303 +arc: A4 H02E0701 +arc: B0 V02S0101 +arc: B3 W1_H02E0301 +arc: B4 H02E0301 +arc: B6 W1_H02E0101 +arc: C0 W1_H02E0401 +arc: C3 H00L0000 +arc: C4 H01E0001 +arc: C5 S1_V02N0201 +arc: C6 H02W0401 +arc: CE1 H00R0000 +arc: CE2 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 H01E0101 +arc: D3 V02N0001 +arc: D4 H00R0100 +arc: D5 H00L0100 +arc: D6 H02E0201 +arc: D7 W1_H02E0201 +arc: E1_H01E0101 F5 +arc: E1_H02E0701 Q5 +arc: E3_H06E0003 Q3 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q5 +arc: H01W0100 Q5 +arc: M0 V00T0100 +arc: M6 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F4 +arc: N1_V02N0201 F0 +arc: N1_V02N0601 F6 +arc: N1_V02N0701 Q5 +arc: S1_V02S0701 Q5 +arc: S3_V06S0303 Q5 +arc: V01S0000 F3 +arc: V01S0100 Q5 +arc: W1_H02W0501 Q5 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1100000011110011 +word: SLICEC.K0.INIT 1111000010110000 +word: SLICEC.K1.INIT 0000000011110000 +word: SLICED.K0.INIT 1111110000001100 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R49C7:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0601 E3_H06W0303 +arc: H00L0000 H02E0201 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 V02N0401 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0103 H01E0101 +arc: N3_V06N0203 S1_V02N0701 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 N1_V01S0000 +arc: S1_V02S0701 E1_H01W0100 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 V02S0001 +arc: V00B0100 H02W0701 +arc: V00T0000 H02W0001 +arc: V00T0100 H02W0101 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0401 E1_H02W0101 +arc: A1 H02E0701 +arc: A5 V00B0000 +arc: A6 H02W0701 +arc: B0 H00R0100 +arc: B1 H01W0100 +arc: B2 H02W0301 +arc: B5 H02E0101 +arc: B6 V00T0000 +arc: C0 V02N0601 +arc: C1 W1_H02E0601 +arc: C2 F6 +arc: C3 N1_V01N0001 +arc: C5 H02E0601 +arc: C6 V00T0100 +arc: C7 V00B0100 +arc: CE0 H00L0100 +arc: CE1 V02S0201 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V02N0201 +arc: D2 H00R0000 +arc: D3 V02N0001 +arc: D5 S1_V02N0401 +arc: D6 S1_V02N0401 +arc: D7 S1_V02N0601 +arc: E1_H01E0101 Q6 +arc: E3_H06E0003 F3 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F5 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 F0 +arc: S3_V06S0103 Q2 +arc: V01S0100 F1 +arc: W1_H02W0201 Q0 +arc: W3_H06W0103 Q2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1001011101000011 +word: SLICED.K0.INIT 1010101010101100 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0000110000111111 +word: SLICEA.K1.INIT 0101100011111101 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 + +.tile R49C8:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0701 S1_V02N0701 +arc: H00L0100 S1_V02N0101 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0003 E1_H01W0000 +arc: N3_V06N0103 S3_V06N0103 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 E3_H06W0303 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0303 N1_V02S0601 +arc: V00B0100 W1_H02E0501 +arc: V00T0000 V02N0401 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0203 +arc: B2 V02N0101 +arc: B4 E1_H02W0101 +arc: B6 V02S0701 +arc: C2 V02S0601 +arc: C4 E1_H02W0401 +arc: C6 H02E0401 +arc: CLK0 G_HPBX0000 +arc: D2 V00T0100 +arc: D3 S1_V02N0001 +arc: D4 H00L0100 +arc: D5 S1_V02N0401 +arc: D6 H00R0100 +arc: D7 H02E0001 +arc: E3_H06E0003 Q0 +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 F4 +arc: LSR1 E1_H02W0501 +arc: M0 E1_H02W0601 +arc: M2 V00B0100 +arc: M4 V00T0000 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR1 +arc: N1_V01N0101 F6 +arc: V01S0100 F2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0011001100001111 +word: SLICEC.K1.INIT 0000000011111111 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R49C9:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0601 S1_V02N0601 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0203 V06S0203 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 V02N0601 +arc: H00R0100 E1_H02W0501 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0000 V02S0001 +arc: V00B0100 H02E0501 +arc: V00T0100 H02W0301 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 V06N0103 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 S3_V06N0303 +arc: W1_H02W0701 V06S0203 +arc: W3_H06W0303 E1_H02W0601 +arc: A0 H02W0701 +arc: A1 H02W0701 +arc: A2 H02W0701 +arc: A3 H02W0701 +arc: A4 E1_H02W0701 +arc: A5 V00B0000 +arc: A6 H00R0000 +arc: B0 H00R0100 +arc: B1 H00R0100 +arc: B2 H00R0100 +arc: B3 H00R0100 +arc: B4 S1_V02N0701 +arc: B5 V02N0701 +arc: B6 V01S0000 +arc: B7 E1_H02W0101 +arc: C0 V02N0401 +arc: C1 V02N0401 +arc: C2 V02N0401 +arc: C3 V02N0401 +arc: C4 N1_V02S0201 +arc: C5 V02N0201 +arc: C6 V00B0100 +arc: C7 W1_H02E0601 +arc: CLK1 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 V00T0100 +arc: D2 V00T0100 +arc: D3 V00T0100 +arc: D4 E1_H02W0201 +arc: D5 H00L0100 +arc: D6 H02W0001 +arc: D7 H02W0201 +arc: E1_H01E0001 Q3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: H01W0100 Q0 +arc: LSR1 E1_H02W0301 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0101 Q2 +arc: V01S0000 F7 +arc: V01S0100 Q1 +word: SLICED.K0.INIT 0000000001010011 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R50C10:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 H01E0101 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 E3_H06W0203 +arc: E3_H06E0003 V06N0003 +arc: E3_H06E0203 V01N0001 +arc: E3_H06E0303 N3_V06S0303 +arc: H00R0000 H02E0601 +arc: H00R0100 E1_H02W0501 +arc: H01W0000 E3_H06W0103 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 S3_V06N0003 +arc: S1_V02S0001 H01E0001 +arc: S3_V06S0003 N3_V06S0303 +arc: V00B0100 W1_H02E0501 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 H02W0301 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 N1_V02S0601 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0203 V06S0203 +arc: A1 W1_H02E0701 +arc: A4 N1_V02S0101 +arc: A5 V00B0000 +arc: B1 V02N0301 +arc: B2 V02S0301 +arc: B4 S1_V02N0701 +arc: B5 V02N0701 +arc: B6 V02S0701 +arc: C1 V02S0601 +arc: C2 W1_H02E0401 +arc: C4 V02N0201 +arc: C5 E1_H02W0401 +arc: C6 W1_H02E0401 +arc: CE0 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D1 V01S0100 +arc: D2 H02W0001 +arc: D3 V00T0100 +arc: D4 H00R0100 +arc: D5 V02N0401 +arc: D6 H02E0001 +arc: D7 V02S0401 +arc: E1_H01E0101 F6 +arc: E1_H02E0201 F2 +arc: E3_H06E0103 Q1 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 F2 +arc: M2 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 Q6 +arc: N1_V02N0601 F6 +arc: N3_V06N0103 F2 +arc: N3_V06N0303 F6 +arc: S1_V02S0401 F6 +arc: V00B0000 F4 +arc: V01S0100 F5 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000010110100 +word: SLICEC.K0.INIT 0000000000000001 +word: SLICEC.K1.INIT 1000000000000000 +word: SLICEB.K0.INIT 0000110011111100 +word: SLICEB.K1.INIT 0000000011111111 +word: SLICED.K0.INIT 0000000011111100 +word: SLICED.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R50C11:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0101 V01N0101 +arc: E1_H02E0401 N1_V02S0401 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0601 V01N0001 +arc: E3_H06E0003 W1_H02E0301 +arc: E3_H06E0103 H01E0101 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 V06N0303 +arc: H00L0000 H02W0201 +arc: H00L0100 V02N0301 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 W3_H06E0103 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 H06W0003 +arc: S1_V02S0101 E1_H01W0100 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 H06E0003 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 N1_V02S0501 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0003 H06W0003 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 S1_V02N0101 +arc: V00T0000 H02W0001 +arc: V00T0100 S1_V02N0501 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 N1_V01S0000 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0601 V06S0303 +arc: W3_H06W0103 N1_V01S0100 +arc: A0 H02E0701 +arc: A1 H02E0701 +arc: A2 H02E0701 +arc: A3 H02E0701 +arc: A4 W1_H02E0701 +arc: A5 H02E0501 +arc: B0 V02S0101 +arc: B1 V02S0101 +arc: B2 V02S0101 +arc: B3 V02S0101 +arc: B4 V02S0701 +arc: B5 H02E0101 +arc: B6 V01S0000 +arc: C0 H00L0000 +arc: C1 H00L0000 +arc: C2 H00L0000 +arc: C3 H00L0000 +arc: C4 V02S0201 +arc: C5 S1_V02N0001 +arc: C6 V00T0000 +arc: CLK1 G_HPBX0000 +arc: D0 V02S0001 +arc: D1 V02S0001 +arc: D2 V02S0001 +arc: D3 V02S0001 +arc: D4 V00B0000 +arc: D5 V02N0401 +arc: D6 S1_V02N0601 +arc: D7 H00L0100 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q2 +arc: LSR1 V00B0100 +arc: M6 V00T0100 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0101 Q1 +arc: V01S0000 Q3 +arc: W3_H06W0003 Q0 +arc: W3_H06W0303 F6 +word: SLICED.K0.INIT 1100110011110000 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R50C12:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0501 V06S0303 +arc: E1_H02E0601 E1_H01W0000 +arc: E1_H02E0701 S3_V06N0203 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 N1_V02S0201 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 H02W0401 +arc: H00R0100 E1_H02W0701 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0601 H02E0601 +arc: S3_V06S0003 E1_H01W0000 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 N1_V02S0701 +arc: S3_V06S0303 H06E0303 +arc: V00B0100 H02W0501 +arc: V00T0000 V02S0401 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0201 E3_H06W0103 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0701 W3_H06E0203 +arc: W3_H06W0003 N1_V01S0000 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 V06N0303 +arc: A3 E1_H01E0001 +arc: B0 V00T0000 +arc: B1 E1_H02W0101 +arc: B3 F1 +arc: B4 V02S0501 +arc: B6 V01S0000 +arc: C0 S1_V02N0401 +arc: C1 H02W0601 +arc: C3 W1_H02E0401 +arc: C4 V00T0100 +arc: C5 V00T0100 +arc: C6 H02E0401 +arc: C7 V02S0201 +arc: CE0 H00L0100 +arc: CE1 H02E0101 +arc: CE2 H00L0000 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 V02N0001 +arc: D2 F0 +arc: D3 H00R0000 +arc: D4 H00R0100 +arc: D5 V02N0401 +arc: D6 V00B0000 +arc: D7 H02W0201 +arc: E1_H01E0001 F0 +arc: E3_H06E0103 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: H01W0100 Q6 +arc: M2 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N3_V06N0203 F7 +arc: N3_V06N0303 F5 +arc: V00B0000 F4 +arc: V01S0000 Q4 +arc: V01S0100 Q2 +arc: W3_H06W0103 Q1 +word: SLICEC.K0.INIT 1111000011001100 +word: SLICEC.K1.INIT 0000111111110000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0000000000111111 +word: SLICEA.K1.INIT 0000110000111111 +word: SLICEB.K0.INIT 0000000011111111 +word: SLICEB.K1.INIT 1101111111010101 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 + +.tile R50C13:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0301 V02N0301 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0303 N1_V01S0100 +arc: H00L0100 N1_V02S0101 +arc: H00R0100 H02E0501 +arc: H01W0100 E3_H06W0303 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0303 V01N0101 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0601 S3_V06N0303 +arc: S3_V06S0103 E1_H01W0100 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 H01E0101 +arc: V00B0000 H02E0401 +arc: V00B0100 H02W0701 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0501 V02S0501 +arc: W1_H02W0601 E1_H02W0601 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0003 E1_H02W0301 +arc: B0 V00B0000 +arc: B2 H00L0000 +arc: B4 H02E0301 +arc: C0 E1_H02W0401 +arc: C1 E1_H02W0401 +arc: C2 N1_V02S0401 +arc: C3 H02E0601 +arc: C4 S1_V02N0001 +arc: C7 N1_V02S0201 +arc: CE0 H02E0101 +arc: CE1 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 V00T0100 +arc: D2 F0 +arc: D3 E1_H02W0201 +arc: D4 H02E0001 +arc: D5 H00R0100 +arc: D7 E1_H02W0001 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H01W0000 Q2 +arc: M4 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N3_V06N0003 F3 +arc: N3_V06N0103 F1 +arc: N3_V06N0203 F7 +arc: W1_H02W0401 F4 +arc: W3_H06W0103 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 1111000011001100 +word: SLICEA.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 1111001100000011 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R50C14:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 S1_V02N0001 +arc: H00R0100 W1_H02E0701 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 V01N0101 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 E3_H06W0003 +arc: S1_V02S0501 W3_H06E0303 +arc: S1_V02S0601 W3_H06E0303 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 E3_H06W0003 +arc: V00B0000 V02S0201 +arc: V00B0100 V02S0101 +arc: V00T0000 V02S0601 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 N1_V01S0000 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0301 E3_H06W0003 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 E3_H06W0303 +arc: W1_H02W0701 V06S0203 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0303 N1_V01S0100 +arc: A3 H00L0100 +arc: B0 V02S0301 +arc: B3 H00R0100 +arc: B4 H02E0301 +arc: B5 H00R0000 +arc: B6 V00B0000 +arc: C0 H00L0000 +arc: C1 N1_V01N0001 +arc: C2 N1_V02S0401 +arc: C3 V02S0401 +arc: C4 S1_V02N0001 +arc: C5 E1_H01E0101 +arc: C6 V00B0100 +arc: C7 V00T0000 +arc: CE0 N1_V02S0201 +arc: CE1 V02N0201 +arc: CE2 H02W0101 +arc: CE3 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 H02W0201 +arc: D2 H02W0201 +arc: D3 V01S0100 +arc: D4 H02E0001 +arc: D5 V02N0601 +arc: D6 V02S0601 +arc: D7 V02N0401 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 F2 +arc: E1_H02E0301 F3 +arc: E3_H06E0003 F3 +arc: E3_H06E0103 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 Q3 +arc: H00R0000 Q4 +arc: H01W0000 F6 +arc: H01W0100 Q3 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 Q6 +arc: N1_V02N0001 F2 +arc: N1_V02N0101 F3 +arc: N1_V02N0201 Q0 +arc: N3_V06N0203 F7 +arc: N3_V06N0303 F5 +arc: S1_V02S0101 F3 +arc: S3_V06S0103 F2 +arc: S3_V06S0203 Q4 +arc: V01S0000 F3 +arc: W3_H06W0003 F3 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1100000000000000 +word: SLICED.K0.INIT 1111110000001100 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 1111000000000000 +word: SLICEB.K1.INIT 1011100010001000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R50C15:PLC2 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0601 N1_V01S0000 +arc: E3_H06E0103 V01N0101 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0100 S1_V02N0101 +arc: H00R0100 N1_V02S0701 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 E1_H01W0000 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 N1_V02S0301 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 N1_V02S0601 +arc: V00B0000 V02N0201 +arc: V00B0100 V02S0101 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0601 N3_V06S0303 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 E3_H06W0103 +arc: A0 H02E0701 +arc: A1 H02E0701 +arc: A2 H02E0701 +arc: A3 H02E0701 +arc: A4 V00B0000 +arc: A5 V02N0101 +arc: A6 H02W0501 +arc: B0 V02S0301 +arc: B1 V02S0301 +arc: B2 V02S0301 +arc: B3 V02S0301 +arc: B4 V02S0701 +arc: B5 S1_V02N0701 +arc: B6 H02E0101 +arc: B7 V01S0000 +arc: C0 H00R0100 +arc: C1 H00R0100 +arc: C2 H00R0100 +arc: C3 H00R0100 +arc: C4 V02S0201 +arc: C5 E1_H02W0601 +arc: C6 H02W0601 +arc: C7 V00B0100 +arc: CLK1 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 V00T0100 +arc: D2 V00T0100 +arc: D3 V00T0100 +arc: D4 E1_H02W0001 +arc: D5 H02E0001 +arc: D6 H00L0100 +arc: D7 V02N0601 +arc: E1_H01E0001 Q0 +arc: E1_H01E0101 Q3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F7 +arc: LSR1 V00T0000 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V02N0201 Q2 +arc: N1_V02N0401 F6 +arc: V01S0000 Q1 +word: SLICED.K0.INIT 0000000010100011 +word: SLICED.K1.INIT 0011001100001111 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R50C16:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0501 E3_H06W0303 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0203 N1_V01S0000 +arc: H00L0100 N1_V02S0101 +arc: H00R0000 E1_H02W0401 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 W3_H06E0103 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 E3_H06W0103 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 H02W0501 +arc: V00T0000 H02W0201 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0301 E3_H06W0003 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 N3_V06S0203 +arc: W3_H06W0003 E1_H02W0301 +arc: W3_H06W0103 E1_H02W0201 +arc: W3_H06W0203 E1_H02W0401 +arc: A0 H00R0000 +arc: A1 H00R0000 +arc: A2 V00B0000 +arc: A3 V00B0000 +arc: A4 V02N0101 +arc: A5 E1_H02W0701 +arc: B0 N1_V02S0301 +arc: B1 N1_V02S0301 +arc: B2 N1_V02S0301 +arc: B3 N1_V02S0301 +arc: B4 V02S0701 +arc: B5 V02S0501 +arc: B6 H02E0301 +arc: C0 H02W0401 +arc: C1 H02W0401 +arc: C2 H02W0401 +arc: C3 H02W0401 +arc: C4 H02E0601 +arc: C5 E1_H02W0601 +arc: C6 H02E0401 +arc: CLK1 G_HPBX0000 +arc: D0 V02S0001 +arc: D1 V02S0001 +arc: D2 V02S0001 +arc: D3 V02S0001 +arc: D4 H02W0001 +arc: D5 H00L0100 +arc: D6 V02N0401 +arc: D7 E1_H02W0001 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F6 +arc: H01W0100 Q1 +arc: LSR1 V00T0000 +arc: M6 V00B0100 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0001 Q3 +arc: V01S0000 Q2 +arc: V01S0100 Q0 +word: SLICED.K0.INIT 0011001100001111 +word: SLICED.K1.INIT 0000000011111111 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R50C17:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0401 N1_V01S0000 +arc: E1_H02E0501 E3_H06W0303 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0103 W1_H02E0101 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 V02S0201 +arc: H00L0100 V02S0101 +arc: H00R0000 H02W0401 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 E1_H01W0100 +arc: N3_V06N0003 H01E0001 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 H06W0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0000 V02N0201 +arc: V00B0100 V02S0301 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 N1_V01S0000 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 N1_V02S0601 +arc: W3_H06W0003 V01N0001 +arc: A0 H00R0000 +arc: A1 H00R0000 +arc: A2 V02S0501 +arc: A3 V02S0501 +arc: A4 N1_V02S0101 +arc: A5 V02N0101 +arc: B0 N1_V02S0301 +arc: B1 N1_V02S0301 +arc: B2 N1_V02S0301 +arc: B3 N1_V02S0301 +arc: B4 N1_V02S0701 +arc: B5 S1_V02N0701 +arc: B6 V01S0000 +arc: C0 H00L0000 +arc: C1 H00L0000 +arc: C2 H00L0000 +arc: C3 H00L0000 +arc: C4 V00B0100 +arc: C5 V00T0000 +arc: C6 N1_V02S0001 +arc: CLK1 G_HPBX0000 +arc: D0 N1_V02S0201 +arc: D1 N1_V02S0201 +arc: D2 N1_V02S0201 +arc: D3 N1_V02S0201 +arc: D4 H00L0100 +arc: D5 H02E0001 +arc: D6 V02N0401 +arc: D7 S1_V02N0401 +arc: E1_H01E0001 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: LSR1 V00B0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0001 Q2 +arc: N1_V02N0401 F6 +arc: V01S0000 Q1 +arc: V01S0100 Q3 +word: SLICED.K0.INIT 1111000011001100 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R50C18:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0601 N1_V01S0000 +arc: E3_H06E0003 W1_H02E0301 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 V02N0001 +arc: H00L0100 S1_V02N0101 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0000 S1_V02N0201 +arc: V00T0000 H02E0201 +arc: V00T0100 E1_H02W0301 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0601 E3_H06W0303 +arc: W1_H02W0701 E1_H02W0601 +arc: W3_H06W0003 V06N0003 +arc: W3_H06W0103 N1_V01S0100 +arc: W3_H06W0203 N1_V01S0000 +arc: W3_H06W0303 N3_V06S0303 +arc: A0 N1_V02S0701 +arc: A1 N1_V02S0701 +arc: A2 N1_V02S0701 +arc: A3 N1_V02S0701 +arc: A4 V02S0101 +arc: A5 V00T0100 +arc: A7 H00R0000 +arc: B0 N1_V02S0301 +arc: B1 N1_V02S0301 +arc: B2 N1_V02S0301 +arc: B3 N1_V02S0301 +arc: B4 V02S0701 +arc: B5 H00L0000 +arc: B6 H02E0101 +arc: B7 V00B0000 +arc: C0 N1_V01S0100 +arc: C1 N1_V01S0100 +arc: C2 N1_V01S0100 +arc: C3 N1_V01S0100 +arc: C4 V02S0201 +arc: C5 N1_V02S0201 +arc: C6 W1_H02E0601 +arc: C7 S1_V02N0001 +arc: CLK1 G_HPBX0000 +arc: D0 H02W0001 +arc: D1 H02W0001 +arc: D2 H02W0001 +arc: D3 H02W0001 +arc: D4 H02E0001 +arc: D5 V02S0401 +arc: D6 N1_V02S0601 +arc: D7 H00L0100 +arc: E1_H01E0001 Q1 +arc: E1_H02E0701 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 F6 +arc: LSR1 V00T0000 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V02N0001 Q0 +arc: S1_V02S0101 Q3 +arc: V01S0100 Q2 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 0000000011001010 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R50C19:PLC2 +arc: E1_H02E0201 H01E0001 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0401 V02N0401 +arc: E3_H06E0103 V06N0103 +arc: H00L0100 H02W0101 +arc: H00R0000 H02E0601 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 W3_H06E0303 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N1_V02S0701 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 V02N0101 +arc: V00T0100 S1_V02N0501 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0601 N3_V06S0303 +arc: W1_H02W0701 V06S0203 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 N1_V01S0100 +arc: A0 H00R0000 +arc: A1 H00R0000 +arc: A2 V02S0501 +arc: A3 V02S0501 +arc: A4 H02W0701 +arc: A5 H02W0501 +arc: B0 N1_V02S0301 +arc: B1 N1_V02S0301 +arc: B2 N1_V02S0301 +arc: B3 H02E0301 +arc: B4 V02S0701 +arc: B5 V02N0701 +arc: B6 V00T0000 +arc: C0 H02W0401 +arc: C1 H02W0401 +arc: C2 H02W0401 +arc: C3 H02W0401 +arc: C4 V02S0201 +arc: C5 V00B0100 +arc: C6 N1_V02S0201 +arc: CLK1 G_HPBX0000 +arc: D0 N1_V02S0001 +arc: D1 N1_V02S0001 +arc: D2 N1_V02S0001 +arc: D3 N1_V02S0001 +arc: D4 H02W0001 +arc: D5 V02S0601 +arc: D6 V02N0401 +arc: D7 H00L0100 +arc: E1_H01E0101 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: LSR1 V00B0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V02N0101 Q3 +arc: V00T0000 Q0 +arc: V01S0000 Q1 +arc: W3_H06W0303 F6 +word: SLICED.K0.INIT 0000111100110011 +word: SLICED.K1.INIT 0000000011111111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R50C20:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0501 H01E0101 +arc: E1_H02E0701 E3_H06W0203 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 N3_V06S0203 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 V02S0201 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 V02N0601 +arc: H00R0100 W1_H02E0701 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0103 E1_H01W0100 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 H06E0303 +arc: V00B0100 H02W0501 +arc: V00T0000 S1_V02N0401 +arc: W1_H02W0001 N1_V01S0000 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 V02S0701 +arc: W3_H06W0303 N1_V01S0100 +arc: A1 F7 +arc: A3 H00L0100 +arc: A5 N1_V01N0101 +arc: B1 V02N0301 +arc: B2 V02N0301 +arc: B3 N1_V02S0101 +arc: B4 H00L0000 +arc: B5 H02W0301 +arc: C1 F6 +arc: C2 F6 +arc: C3 H00R0100 +arc: C4 V02N0201 +arc: C5 S1_V02N0201 +arc: C6 E1_H02W0601 +arc: C7 V00B0100 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V00T0100 +arc: D2 V00T0100 +arc: D3 V02N0001 +arc: D4 N1_V02S0601 +arc: D5 H02W0001 +arc: D6 V01N0001 +arc: D7 N1_V02S0401 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F5 +arc: M0 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q4 +arc: N3_V06N0103 Q2 +arc: S3_V06S0003 Q0 +arc: V00T0100 F3 +arc: V01S0000 Q0 +arc: V01S0100 F7 +arc: W3_H06W0003 Q0 +arc: W3_H06W0203 Q4 +word: SLICEB.K0.INIT 1111000011111100 +word: SLICEB.K1.INIT 0000000100000011 +word: SLICEC.K0.INIT 1111110000110000 +word: SLICEC.K1.INIT 0000000000000001 +word: SLICED.K0.INIT 1111000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1111101011111110 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 + +.tile R50C21:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 E1_H01W0000 +arc: E3_H06E0203 H01E0001 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 W1_H02E0201 +arc: H00L0100 V02N0301 +arc: H00R0100 V02S0701 +arc: H01W0100 W3_H06E0303 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 E3_H06W0303 +arc: N3_V06N0103 W3_H06E0103 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 H06E0003 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0601 N1_V02S0301 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 H06W0203 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 V02N0001 +arc: V00B0100 H02E0501 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 V06S0303 +arc: W1_H02W0701 V01N0101 +arc: W3_H06W0103 N1_V01S0100 +arc: A1 V02S0501 +arc: A2 H00L0100 +arc: A3 S1_V02N0501 +arc: A6 V02S0301 +arc: B0 H02E0301 +arc: B1 V00T0000 +arc: B2 H00R0100 +arc: B3 V02S0301 +arc: B4 V00B0100 +arc: B6 W1_H02E0301 +arc: C0 H00L0000 +arc: C1 E1_H02W0401 +arc: C2 V02N0401 +arc: C3 E1_H02W0601 +arc: C4 W1_H02E0401 +arc: C6 V00T0100 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V02S0201 +arc: D1 S1_V02N0001 +arc: D2 V01S0100 +arc: D3 E1_H02W0201 +arc: D4 H02E0201 +arc: D5 V00B0000 +arc: D6 F2 +arc: E1_H01E0101 F1 +arc: E1_H02E0401 F4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: M4 E1_H02W0401 +arc: M6 H02W0401 +arc: MUXCLK3 CLK0 +arc: V00T0000 F0 +arc: V01S0100 F3 +arc: W3_H06W0303 Q6 +word: SLICEA.K0.INIT 1111001111000000 +word: SLICEA.K1.INIT 0000000010101100 +word: SLICEB.K0.INIT 1100110100000000 +word: SLICEB.K1.INIT 0000010000000000 +word: SLICEC.K0.INIT 0000001111110011 +word: SLICEC.K1.INIT 0000000011111111 +word: SLICED.K0.INIT 1111111100100000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R50C22:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0601 E3_H06W0303 +arc: E1_H02E0701 W1_H02E0701 +arc: E3_H06E0003 W3_H06E0003 +arc: H00L0000 V02N0001 +arc: H00R0100 V02S0501 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 W3_H06E0203 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 E1_H01W0100 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 N1_V02S0301 +arc: V00T0100 V02N0501 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 N3_V06S0303 +arc: W1_H02W0601 N3_V06S0303 +arc: W3_H06W0003 E1_H02W0301 +arc: W3_H06W0303 V06N0303 +arc: A3 V00T0000 +arc: B0 V00B0000 +arc: B1 S1_V02N0301 +arc: B3 H00R0100 +arc: B4 V02S0501 +arc: B6 H02W0301 +arc: C0 E1_H02W0401 +arc: C1 N1_V02S0601 +arc: C3 H02E0601 +arc: C4 E1_H01E0101 +arc: C5 E1_H02W0601 +arc: C6 H02E0401 +arc: CE0 H02E0101 +arc: CE1 H00L0000 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 E1_H02W0001 +arc: D2 F0 +arc: D3 H00R0000 +arc: D4 H02W0001 +arc: D5 H01W0000 +arc: D6 V02S0601 +arc: D7 V02S0401 +arc: E1_H01E0001 F6 +arc: E1_H01E0101 F1 +arc: E3_H06E0103 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00R0000 F6 +arc: H01W0000 F1 +arc: H01W0100 Q4 +arc: M2 V00T0100 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 F5 +arc: S3_V06S0103 Q2 +arc: V00T0000 F0 +arc: V01S0100 Q1 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q4 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0000000000111111 +word: SLICEA.K1.INIT 0000110000111111 +word: SLICEB.K0.INIT 0000000011111111 +word: SLICEB.K1.INIT 1111011111010101 +word: SLICED.K0.INIT 1100111100000011 +word: SLICED.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R50C23:PLC2 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 V01N0001 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0203 N3_V06S0203 +arc: H00L0100 V02N0301 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 V01N0101 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0201 W3_H06E0103 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0601 N1_V02S0601 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0103 N1_V02S0101 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 H02E0701 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 V02S0501 +arc: W1_H02W0601 V02S0601 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0303 S3_V06N0303 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q4 +arc: H01W0100 Q2 +arc: M0 V00B0100 +arc: M2 H02E0601 +arc: M4 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: V01S0100 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R50C24:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0101 N3_V06S0103 +arc: E3_H06E0103 N3_V06S0103 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 E1_H01W0100 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 N1_V02S0501 +arc: S1_V02S0601 N1_V02S0301 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 V02N0301 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0601 V02N0601 +arc: W3_H06W0003 N1_V01S0000 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 S3_V06N0303 +arc: CE0 H02W0101 +arc: CE2 H02W0101 +arc: CLK0 G_HPBX0000 +arc: M0 V00B0100 +arc: M4 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q0 +arc: V01S0100 Q4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R50C25:PLC2 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0701 N1_V02S0701 +arc: E3_H06E0303 N1_V01S0100 +arc: H00L0000 H02E0001 +arc: H00R0000 N1_V02S0601 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 V01N0101 +arc: N3_V06N0003 E1_H01W0000 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0501 W1_H02E0501 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0303 N1_V02S0601 +arc: V00B0000 N1_V02S0001 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0601 N1_V02S0601 +arc: A0 W1_H02E0501 +arc: A2 V02N0701 +arc: B2 H00R0100 +arc: B3 H00R0000 +arc: B4 H02E0101 +arc: B5 H00L0000 +arc: B6 V00B0000 +arc: B7 V02S0501 +arc: E3_H06E0103 F2 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F5 +arc: H01W0100 F4 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 F6 +arc: N1_V02N0301 F3 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R50C26:PLC2 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0601 N1_V01S0000 +arc: E3_H06E0103 N1_V01S0100 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 N1_V02S0001 +arc: H00R0100 H02E0701 +arc: H01W0100 W3_H06E0303 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00T0000 V02N0601 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0701 E1_H02W0601 +arc: W3_H06W0203 N3_V06S0203 +arc: B0 V02N0301 +arc: B1 V00T0000 +arc: B2 H00R0100 +arc: B3 H02E0301 +arc: B4 E1_H02W0101 +arc: B5 H00L0000 +arc: B6 V02S0701 +arc: B7 V01S0000 +arc: E1_H01E0001 F4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F2 +arc: N1_V01N0001 F3 +arc: N1_V02N0501 F7 +arc: N1_V02N0601 F6 +arc: N3_V06N0003 F0 +arc: N3_V06N0103 F1 +arc: W1_H02W0501 F5 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R50C27:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 V01N0001 +arc: E3_H06E0103 S3_V06N0103 +arc: H00R0000 H02E0601 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0601 E1_H01W0000 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 W3_H06E0303 +arc: S1_V02S0601 N1_V01S0000 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 V02S0001 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0601 W3_H06E0303 +arc: W3_H06W0303 E1_H02W0601 +arc: B0 H02E0301 +arc: B1 H00R0100 +arc: B2 N1_V02S0101 +arc: B3 H00R0000 +arc: B4 W1_H02E0101 +arc: B5 V02S0701 +arc: B6 N1_V01S0000 +arc: B7 V00B0000 +arc: E1_H01E0001 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F5 +arc: N1_V01N0001 F4 +arc: N1_V02N0101 F1 +arc: N1_V02N0401 F6 +arc: N3_V06N0103 F2 +arc: S1_V02S0001 F0 +arc: V01S0000 F3 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R50C28:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0601 V01N0001 +arc: H00R0000 V02S0401 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 N1_V01S0000 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0303 H06E0303 +arc: V00B0100 V02S0101 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0601 V06S0303 +arc: W3_H06W0303 V06S0303 +arc: B0 H02E0301 +arc: B1 N1_V02S0301 +arc: B2 H00R0000 +arc: B3 V02S0301 +arc: B4 V02S0701 +arc: B5 V02S0501 +arc: B6 V00B0100 +arc: B7 N1_V01S0000 +arc: E1_H01E0001 F4 +arc: E1_H01E0101 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F3 +arc: N1_V01N0001 F5 +arc: N1_V02N0201 F0 +arc: N1_V02N0301 F1 +arc: N1_V02N0701 F7 +arc: V01S0000 F2 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R50C29:PLC2 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0103 S3_V06N0103 +arc: H00L0000 V02S0201 +arc: H00R0000 H02E0601 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0701 S1_V02N0601 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 W1_H02E0501 +arc: S1_V02S0601 E1_H02W0601 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 H06E0103 +arc: V00B0000 W1_H02E0401 +arc: V00B0100 N1_V02S0101 +arc: V00T0000 H02W0001 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0601 S1_V02N0601 +arc: A4 F5 +arc: B4 H00R0000 +arc: B5 H02W0301 +arc: C4 V00B0100 +arc: C5 V00T0000 +arc: CE1 H02E0101 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D4 V00B0000 +arc: D5 H02E0201 +arc: E3_H06E0303 Q6 +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: M2 W1_H02E0601 +arc: M6 E1_H02W0401 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 Q2 +arc: N1_V02N0001 Q2 +arc: N3_V06N0203 Q4 +arc: N3_V06N0303 F5 +arc: W1_H02W0701 F5 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1111111111110111 +word: SLICEC.K1.INIT 0000000011001111 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000001010 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R50C2:PLC2 +arc: H00R0000 H02W0401 +arc: H00R0100 S1_V02N0701 +arc: N3_V06N0103 H06W0103 +arc: V00B0100 V02S0101 +arc: B1 V00B0000 +arc: B5 V01S0000 +arc: C1 V02N0401 +arc: C5 V02S0201 +arc: CE0 H00R0100 +arc: CE1 H02E0101 +arc: CE2 H00R0100 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D1 H00R0000 +arc: D5 V02N0401 +arc: F1 F1_SLICE +arc: F5 F5_SLICE +arc: M2 V00B0100 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0101 Q1 +arc: S1_V02S0501 Q5 +arc: V00B0000 Q6 +arc: V01S0000 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1100111111000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1100110011110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R50C30:PLC2 +arc: N1_V02N0201 V01N0001 +arc: N1_V02N0501 S1_V02N0401 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0501 N1_V02S0401 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02N0201 +arc: V00B0100 H02W0501 +arc: W1_H02W0201 V06N0103 +arc: W1_H02W0501 V06S0303 +arc: A2 H00L0100 +arc: A5 H02E0701 +arc: B0 H01W0100 +arc: B1 Q1 +arc: B2 V02S0101 +arc: B3 Q3 +arc: B4 F3 +arc: B5 V01S0000 +arc: C0 N1_V01N0001 +arc: C1 H00L0100 +arc: C2 H00L0000 +arc: C3 N1_V01N0001 +arc: C4 E1_H01E0101 +arc: C5 V00B0100 +arc: C7 V00T0100 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 Q0 +arc: D1 V01S0100 +arc: D2 N1_V02S0201 +arc: D3 V01S0100 +arc: D4 V02N0401 +arc: D5 H02W0001 +arc: D7 H01W0000 +arc: E1_H01E0001 F2 +arc: E1_H01E0101 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H00L0100 Q3 +arc: H00R0000 F4 +arc: H01W0000 Q0 +arc: H01W0100 Q3 +arc: LSR1 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: N1_V01N0001 Q1 +arc: N1_V01N0101 Q1 +arc: N1_V02N0101 Q3 +arc: N3_V06N0203 F7 +arc: V00T0100 Q1 +arc: V01S0000 F7 +arc: V01S0100 Q0 +arc: W1_H02W0001 Q0 +arc: W1_H02W0301 Q3 +arc: W3_H06W0003 Q3 +arc: W3_H06W0203 F7 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000001111 +word: SLICEA.K0.INIT 0000001100110000 +word: SLICEA.K1.INIT 1111001111000011 +word: SLICEC.K0.INIT 0000001100001111 +word: SLICEC.K1.INIT 0010011001100110 +word: SLICEB.K0.INIT 1110111100000000 +word: SLICEB.K1.INIT 0011000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 + +.tile R50C31:PLC2 +arc: H00L0000 H02W0001 +arc: H00L0100 W1_H02E0301 +arc: H00R0000 V02S0401 +arc: H00R0100 S1_V02N0501 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0601 S1_V02N0601 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0303 +arc: S3_V06S0303 N1_V02S0601 +arc: V00B0100 S1_V02N0101 +arc: V00T0100 W1_H02E0101 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 H01E0001 +arc: A0 E1_H02W0701 +arc: A1 E1_H01E0001 +arc: A5 V00T0000 +arc: B0 V02N0301 +arc: B1 V00B0000 +arc: B5 H00L0000 +arc: C0 N1_V01N0001 +arc: C1 H00L0100 +arc: C5 S1_V02N0001 +arc: CE1 H00R0100 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 H00R0000 +arc: D5 V02S0401 +arc: E1_H01E0001 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F5 F5_SLICE +arc: M2 V00T0100 +arc: M6 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F1 +arc: N1_V01N0101 Q6 +arc: N1_V02N0001 F0 +arc: V00B0000 Q6 +arc: V00T0000 Q2 +arc: V01S0100 F5 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111001101010001 +word: SLICEA.K0.INIT 1011000000000000 +word: SLICEA.K1.INIT 1000101011001111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R50C32:PLC2 +arc: H00R0000 V02S0401 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 N1_V01S0000 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 W3_H06E0203 +arc: S1_V02S0501 H06E0303 +arc: S3_V06S0303 H06E0303 +arc: V00B0100 V02N0301 +arc: V01S0100 S3_V06N0303 +arc: CE1 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q6 +arc: H01W0100 Q6 +arc: M2 N1_V01N0001 +arc: M6 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: W1_H02W0001 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R50C33:PLC2 +arc: N1_V02N0201 W3_H06E0103 +arc: N3_V06N0103 W3_H06E0103 +arc: W1_H02W0701 H01E0101 + +.tile R50C3:PLC2 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0401 E3_H06W0203 +arc: N3_V06N0003 E3_H06W0003 +arc: S3_V06S0303 E3_H06W0303 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0401 N1_V02S0401 + +.tile R50C4:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0401 V06S0203 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 S1_V02N0401 +arc: N3_V06N0203 S1_V02N0401 + +.tile R50C5:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0203 H01E0001 +arc: H00R0000 V02N0401 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0601 S1_V02N0301 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0601 E3_H06W0303 +arc: V00B0100 V02N0101 +arc: V00T0000 H02W0201 +arc: V01S0000 N3_V06S0103 +arc: V01S0100 S3_V06N0303 +arc: A7 H00R0000 +arc: B7 V01S0000 +arc: C6 V02S0001 +arc: C7 V02S0001 +arc: CE0 S1_V02N0201 +arc: CLK0 G_HPBX0000 +arc: D6 H02W0001 +arc: D7 H02W0001 +arc: E1_H02E0601 F6 +arc: E3_H06E0103 F1 +arc: F1 F1_SLICE +arc: F6 F5D_SLICE +arc: LSR1 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR1 +arc: N1_V01N0101 Q1 +arc: N3_V06N0103 F1 +arc: S3_V06S0103 F1 +arc: W3_H06W0103 F1 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1111000011111111 +word: SLICED.K1.INIT 1110000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET SET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 + +.tile R50C6:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 V01N0101 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 V01N0101 +arc: E3_H06E0303 N1_V01S0100 +arc: H00L0000 H02E0001 +arc: H00R0000 V02N0401 +arc: H00R0100 E1_H02W0501 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 N3_V06S0203 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 N1_V01S0100 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 W1_H02E0001 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0301 N3_V06S0003 +arc: W1_H02W0401 N3_V06S0203 +arc: A1 V02S0701 +arc: A7 N1_V01N0101 +arc: B0 V00T0000 +arc: B1 S1_V02N0301 +arc: B2 V02N0301 +arc: B3 N1_V02S0301 +arc: B4 H02E0301 +arc: B7 N1_V02S0701 +arc: C0 H02E0401 +arc: C1 N1_V01N0001 +arc: C2 V02S0601 +arc: C3 H00R0100 +arc: C4 E1_H01E0101 +arc: C5 H02W0401 +arc: C7 H02W0601 +arc: CE0 H00R0000 +arc: CE1 H02W0101 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 N1_V02S0001 +arc: D2 V00B0100 +arc: D3 V01S0100 +arc: D4 E1_H01W0100 +arc: D5 V02N0601 +arc: D7 H02W0201 +arc: E1_H01E0001 Q4 +arc: E1_H01E0101 F0 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: M2 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 Q2 +arc: N1_V02N0201 F0 +arc: N3_V06N0303 F5 +arc: V00T0100 F1 +arc: V01S0100 F4 +arc: W1_H02W0201 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1001011100011001 +word: SLICEA.K0.INIT 0000110000111111 +word: SLICEA.K1.INIT 0110010011111101 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 1111110000001100 +word: SLICEB.K1.INIT 1111111111000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R50C7:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 V02N0601 +arc: H00L0000 H02E0201 +arc: H00L0100 S1_V02N0101 +arc: H00R0000 H02E0601 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0601 N3_V06S0303 +arc: N3_V06N0103 S1_V02N0201 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 W1_H02E0601 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0103 E3_H06W0103 +arc: V00B0000 H02E0401 +arc: V00B0100 E1_H02W0701 +arc: V00T0000 H02W0201 +arc: V00T0100 V02S0501 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0601 N1_V02S0601 +arc: B0 H02W0301 +arc: B2 V02N0101 +arc: B4 V02S0701 +arc: B6 V01S0000 +arc: C0 H00L0100 +arc: C2 H00R0100 +arc: C4 V02S0201 +arc: C6 E1_H02W0401 +arc: C7 E1_H01E0101 +arc: CE1 H00L0000 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 H02E0001 +arc: D2 V00B0100 +arc: D3 H00R0000 +arc: D4 S1_V02N0401 +arc: D5 V01N0001 +arc: D6 F2 +arc: D7 H02W0001 +arc: E1_H01E0101 Q6 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F4 +arc: H01W0100 F0 +arc: M0 V00B0000 +arc: M2 V00T0000 +arc: M4 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0203 F7 +arc: S3_V06S0303 Q6 +arc: V01S0000 Q2 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0000110011111100 +word: SLICEC.K1.INIT 0000000011111111 +word: SLICEB.K0.INIT 1111000011001100 +word: SLICEB.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R50C8:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 E1_H01W0000 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0003 N3_V06S0003 +arc: E3_H06E0303 V06S0303 +arc: H00L0100 S1_V02N0101 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0003 E3_H06W0003 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 V02S0401 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0301 N1_V01S0100 +arc: W1_H02W0501 V02S0501 +arc: W1_H02W0701 E1_H02W0601 +arc: B0 W1_H02E0101 +arc: B2 H01W0100 +arc: B4 V00B0100 +arc: B6 V01S0000 +arc: C0 N1_V01N0001 +arc: C2 H02W0401 +arc: C3 H00L0000 +arc: C4 H02E0401 +arc: C6 H02W0401 +arc: C7 E1_H01E0101 +arc: CE0 H02E0101 +arc: CE1 S1_V02N0201 +arc: CE2 H02E0101 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 E1_H02W0001 +arc: D2 V01S0100 +arc: D3 H02W0201 +arc: D4 N1_V02S0401 +arc: D5 H02E0001 +arc: D6 F0 +arc: D7 H02W0201 +arc: E1_H01E0101 Q6 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H01W0100 Q4 +arc: M0 V00T0000 +arc: M4 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0003 F3 +arc: N3_V06N0203 F7 +arc: S3_V06S0103 Q2 +arc: S3_V06S0303 Q6 +arc: V01S0000 Q0 +arc: V01S0100 F4 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1100110011110000 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 1100110011110000 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R50C9:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0203 N3_V06S0203 +arc: H00L0000 S1_V02N0001 +arc: H00R0000 W1_H02E0601 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 H06W0203 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0701 E1_H01W0100 +arc: N3_V06N0303 H06W0303 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 H02E0501 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 N1_V01S0100 +arc: W1_H02W0401 N1_V02S0401 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 E1_H01W0000 +arc: A2 V00B0000 +arc: B0 V02S0301 +arc: B2 N1_V02S0101 +arc: B4 N1_V02S0701 +arc: B6 V01S0000 +arc: C0 E1_H02W0401 +arc: C2 H00L0000 +arc: C3 H00L0000 +arc: C4 H02E0401 +arc: C6 V00T0000 +arc: C7 H02E0601 +arc: CE1 V02N0201 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0001 +arc: D1 H02E0201 +arc: D2 V00T0100 +arc: D3 H00R0000 +arc: D4 N1_V02S0601 +arc: D5 E1_H02W0201 +arc: D6 F2 +arc: D7 H02E0001 +arc: E1_H01E0001 F0 +arc: E1_H01E0101 F4 +arc: E1_H02E0301 F3 +arc: E3_H06E0303 Q6 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q6 +arc: H01W0100 Q0 +arc: M0 V00B0100 +arc: M4 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F4 +arc: N1_V01N0101 F0 +arc: N1_V02N0601 Q4 +arc: N3_V06N0003 F0 +arc: N3_V06N0203 F7 +arc: V01S0000 Q2 +arc: W3_H06W0303 Q6 +word: SLICEB.K0.INIT 1111000011100010 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0000000011111100 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 0000000011111100 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R51C10:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 V06S0303 +arc: E1_H02E0601 V06N0303 +arc: E1_H02E0701 H01E0101 +arc: H00L0000 W1_H02E0001 +arc: H00R0100 S1_V02N0701 +arc: H01W0100 E3_H06W0303 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 E3_H06W0003 +arc: S1_V02S0101 E1_H01W0100 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 H06W0203 +arc: S3_V06S0103 N1_V02S0201 +arc: V00B0000 V02N0001 +arc: V00B0100 V02N0301 +arc: V00T0100 H02W0101 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0201 E3_H06W0103 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 N3_V06S0303 +arc: W1_H02W0601 V02N0601 +arc: W3_H06W0103 V06S0103 +arc: A2 W1_H02E0701 +arc: B2 W1_H02E0301 +arc: B4 H02E0301 +arc: B5 H00R0000 +arc: B6 V00T0000 +arc: C1 E1_H02W0401 +arc: C2 V02N0401 +arc: C3 H00L0000 +arc: C4 V00T0100 +arc: C5 V00B0100 +arc: C6 H02W0401 +arc: C7 E1_H01E0101 +arc: CE1 E1_H02W0101 +arc: CE2 W1_H02E0101 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D1 H02E0201 +arc: D2 N1_V02S0201 +arc: D3 E1_H02W0001 +arc: D4 V00B0000 +arc: D5 H01W0000 +arc: D6 F2 +arc: D7 H02W0001 +arc: E1_H01E0001 F5 +arc: E1_H01E0101 Q6 +arc: E3_H06E0103 F1 +arc: E3_H06E0203 F7 +arc: E3_H06E0303 Q6 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q4 +arc: H01W0000 F4 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N3_V06N0003 F3 +arc: S3_V06S0303 Q6 +arc: V00T0000 Q2 +arc: W3_H06W0303 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 0011000000111111 +word: SLICEB.K0.INIT 1100110111001000 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1111000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 + +.tile R51C11:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0401 V06N0203 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0203 H01E0001 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 H02W0201 +arc: H00L0100 H02E0301 +arc: H00R0100 H02E0501 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0201 E3_H06W0103 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 V02S0001 +arc: V00B0100 H02W0501 +arc: V00T0100 H02E0301 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 V06N0203 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 S3_V06N0303 +arc: W1_H02W0701 N1_V02S0701 +arc: W3_H06W0203 E3_H06W0203 +arc: A1 S1_V02N0701 +arc: A2 V02S0701 +arc: B1 H00R0100 +arc: B2 W1_H02E0101 +arc: B6 V00T0000 +arc: C1 H00L0000 +arc: C2 V02N0401 +arc: C3 H00L0100 +arc: C5 H02E0601 +arc: C6 S1_V02N0001 +arc: C7 E1_H01E0101 +arc: CE1 E1_H02W0101 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D1 V00B0100 +arc: D2 V00T0100 +arc: D3 H02W0001 +arc: D5 V00B0000 +arc: D6 F2 +arc: D7 V00B0000 +arc: E1_H01E0001 Q6 +arc: E1_H01E0101 Q6 +arc: E3_H06E0003 F0 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F0 +arc: M0 E1_H02W0601 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F0 +arc: N1_V01N0101 F0 +arc: N1_V02N0001 F0 +arc: N3_V06N0003 F3 +arc: N3_V06N0203 F7 +arc: N3_V06N0303 F5 +arc: S3_V06S0003 F0 +arc: V00T0000 Q2 +arc: W3_H06W0003 F0 +arc: W3_H06W0303 Q6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 1111111000000010 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICEA.K0.INIT 1111111111111111 +word: SLICEA.K1.INIT 1111111111101100 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 + +.tile R51C12:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0501 V01N0101 +arc: E3_H06E0003 W1_H02E0001 +arc: E3_H06E0103 N3_V06S0103 +arc: H00L0100 V02N0101 +arc: H00R0000 V02N0601 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0301 N1_V01S0100 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 H02W0401 +arc: V00B0100 N1_V02S0101 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 E3_H06W0303 +arc: W1_H02W0701 N3_V06S0203 +arc: W3_H06W0003 E1_H02W0301 +arc: W3_H06W0103 V06N0103 +arc: W3_H06W0203 E3_H06W0103 +arc: A4 H02E0701 +arc: A5 N1_V01N0101 +arc: B0 V00T0000 +arc: B2 H02E0101 +arc: B4 V02N0501 +arc: B5 E1_H02W0101 +arc: B6 H02W0301 +arc: B7 V01S0000 +arc: C0 H02E0401 +arc: C1 N1_V01N0001 +arc: C2 S1_V02N0401 +arc: C4 S1_V02N0201 +arc: C5 S1_V02N0001 +arc: C6 V02N0201 +arc: C7 V02N0001 +arc: CE0 H00R0000 +arc: CE1 H02W0101 +arc: CE2 V02S0601 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 F2 +arc: D1 E1_H02W0001 +arc: D2 V00T0100 +arc: D3 H02E0001 +arc: D4 S1_V02N0601 +arc: D5 H00R0100 +arc: D6 V00B0000 +arc: D7 H01W0000 +arc: E1_H01E0001 F7 +arc: E3_H06E0203 F7 +arc: E3_H06E0303 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: M2 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 Q4 +arc: N3_V06N0103 F1 +arc: S3_V06S0003 Q0 +arc: V00T0000 Q2 +arc: V01S0000 Q6 +arc: W3_H06W0303 F5 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1111001111000000 +word: SLICED.K1.INIT 0011000000111111 +word: SLICEC.K0.INIT 0000110010101110 +word: SLICEC.K1.INIT 0010010011100111 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R51C13:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 V06N0103 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0701 W3_H06E0203 +arc: H00L0000 S1_V02N0001 +arc: H00R0000 H02W0601 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 H06E0103 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0601 E1_H01W0000 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 E1_H01W0000 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0100 H02E0501 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0601 S1_V02N0601 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 E3_H06W0103 +arc: B0 V02S0101 +arc: B4 V01S0000 +arc: C0 H02W0401 +arc: C3 N1_V01N0001 +arc: C4 V02N0201 +arc: C5 V02S0001 +arc: C6 E1_H02W0601 +arc: C7 H02W0601 +arc: CE0 H02E0101 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 H00R0000 +arc: D3 E1_H02W0001 +arc: D4 F0 +arc: D5 H02W0001 +arc: D6 H00R0100 +arc: D7 V00B0000 +arc: E1_H01E0001 F6 +arc: E1_H01E0101 F6 +arc: E3_H06E0003 F3 +arc: E3_H06E0303 F6 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: H01W0100 F6 +arc: M0 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 Q4 +arc: N1_V01N0101 F5 +arc: N3_V06N0203 F7 +arc: N3_V06N0303 F6 +arc: V00B0000 F6 +arc: V01S0000 Q0 +arc: V01S0100 F6 +arc: W3_H06W0203 Q4 +arc: W3_H06W0303 F6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1111000000000000 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 1111000011001100 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R51C14:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0201 N1_V01S0000 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 W3_H06E0303 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0003 W1_H02E0001 +arc: E3_H06E0103 H01E0101 +arc: E3_H06E0203 W3_H06E0103 +arc: H00R0100 V02N0701 +arc: H01W0000 E3_H06W0103 +arc: H01W0100 W3_H06E0303 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 E1_H01W0000 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 E3_H06W0003 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0601 W3_H06E0303 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0100 W1_H02E0501 +arc: V00T0100 V02S0501 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 N1_V01S0000 +arc: W1_H02W0101 E3_H06W0103 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0601 E1_H02W0601 +arc: W1_H02W0701 V02S0701 +arc: W3_H06W0003 E1_H02W0001 +arc: W3_H06W0103 E1_H02W0201 +arc: W3_H06W0203 E3_H06W0203 +arc: A1 E1_H02W0501 +arc: A5 V02N0301 +arc: A7 V02N0101 +arc: B1 H01W0100 +arc: B3 H00R0100 +arc: B4 V01S0000 +arc: B5 V02N0501 +arc: B7 H02E0301 +arc: C1 V02N0401 +arc: C3 V02S0601 +arc: C4 V02N0001 +arc: C5 E1_H01E0101 +arc: C7 V00T0100 +arc: CE1 W1_H02E0101 +arc: CE2 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D1 V00B0100 +arc: D3 V02S0001 +arc: D4 H00L0100 +arc: D5 H00L0100 +arc: D7 V02N0601 +arc: E1_H01E0101 F7 +arc: E3_H06E0303 F5 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F3 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F1 +arc: S1_V02S0401 Q4 +arc: V01S0000 Q3 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1001011100100101 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1001011100100101 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 0110001011111011 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 + +.tile R51C15:PLC2 +arc: E1_H02E0001 H01E0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0601 E3_H06W0303 +arc: E1_H02E0701 W1_H02E0701 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0103 +arc: H00L0000 W1_H02E0001 +arc: H00L0100 S1_V02N0301 +arc: H01W0000 E3_H06W0103 +arc: H01W0100 E3_H06W0303 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0201 E3_H06W0103 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 V01N0001 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02N0001 +arc: V00T0000 V02S0401 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 E1_H01W0000 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E3_H06W0303 +arc: A4 V00B0000 +arc: A5 E1_H02W0701 +arc: B2 H02W0301 +arc: B4 V02S0501 +arc: B5 S1_V02N0501 +arc: B6 V01S0000 +arc: C1 N1_V01N0001 +arc: C2 H00L0100 +arc: C4 V00B0100 +arc: C5 H02E0601 +arc: C6 H02E0401 +arc: C7 E1_H01E0101 +arc: CE1 H02E0101 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D1 S1_V02N0001 +arc: D2 V02N0201 +arc: D3 V00T0100 +arc: D4 N1_V02S0401 +arc: D5 V02N0601 +arc: D6 F2 +arc: D7 H02E0201 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 Q6 +arc: E1_H02E0401 F4 +arc: E3_H06E0203 F7 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: M2 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: S1_V02S0601 Q6 +arc: V00B0100 F5 +arc: V01S0000 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0110001011111011 +word: SLICEC.K1.INIT 1001011100011001 +word: SLICEB.K0.INIT 1111000011001100 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R51C16:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 S3_V06N0303 +arc: E1_H02E0601 V01N0001 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 V01N0101 +arc: H00L0100 H02W0301 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 H02E0701 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 H01E0001 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 E3_H06W0103 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0601 H06E0303 +arc: S3_V06S0003 E1_H01W0000 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 H02W0201 +arc: V00T0100 V02S0701 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0501 E3_H06W0303 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0203 +arc: A7 N1_V01N0101 +arc: B0 V00B0000 +arc: B2 H00R0100 +arc: B4 N1_V01S0000 +arc: B6 V00B0000 +arc: B7 V01S0000 +arc: C0 E1_H02W0601 +arc: C1 N1_V01N0001 +arc: C2 S1_V02N0601 +arc: C4 E1_H02W0401 +arc: C6 V00T0000 +arc: C7 V00T0100 +arc: CE0 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0201 +arc: D1 V00T0100 +arc: D2 V02S0001 +arc: D3 H00R0000 +arc: D4 E1_H02W0001 +arc: D5 W1_H02E0001 +arc: D6 V02N0401 +arc: D7 N1_V02S0401 +arc: E3_H06E0103 F2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q0 +arc: H01W0100 F2 +arc: M2 H02W0601 +arc: M4 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 Q6 +arc: N1_V02N0301 F1 +arc: S3_V06S0203 F4 +arc: V01S0000 Q0 +arc: W1_H02W0001 Q2 +arc: W1_H02W0601 Q6 +arc: W3_H06W0103 F2 +arc: W3_H06W0203 F7 +word: SLICEA.K0.INIT 1111001111000000 +word: SLICEA.K1.INIT 0000000011110000 +word: SLICED.K0.INIT 1111001111000000 +word: SLICED.K1.INIT 0000000000010000 +word: SLICEB.K0.INIT 0000000011111100 +word: SLICEB.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 0011000000111111 +word: SLICEC.K1.INIT 0000000011111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R51C17:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 W1_H02E0601 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 V02N0001 +arc: H00R0100 N1_V02S0701 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 H06W0303 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0301 W3_H06E0003 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0501 N1_V01S0100 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0203 E1_H01W0000 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 V02S0001 +arc: V00B0100 H02W0501 +arc: V00T0100 W1_H02E0101 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0601 E1_H02W0601 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0003 V01N0001 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0203 N3_V06S0203 +arc: W3_H06W0303 E3_H06W0303 +arc: A1 H00L0000 +arc: A3 V00T0000 +arc: A7 S1_V02N0301 +arc: B0 H00R0100 +arc: B1 H01W0100 +arc: B2 H02W0301 +arc: B3 E1_H02W0301 +arc: B4 H02E0101 +arc: B6 H02E0301 +arc: B7 E1_H02W0101 +arc: C0 W1_H02E0601 +arc: C1 V02N0601 +arc: C2 W1_H02E0601 +arc: C3 V02N0601 +arc: C4 H02W0401 +arc: C6 S1_V02N0201 +arc: C7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 H02E0201 +arc: D2 N1_V02S0001 +arc: D3 H02E0201 +arc: D4 V02S0401 +arc: D5 V00B0000 +arc: D6 W1_H02E0001 +arc: D7 H02E0001 +arc: E3_H06E0103 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q6 +arc: H01W0100 F0 +arc: LSR0 E1_H02W0501 +arc: M4 V00B0100 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0101 F4 +arc: N3_V06N0303 F6 +arc: V00T0000 F2 +arc: V01S0000 F3 +arc: W1_H02W0401 F6 +arc: W1_H02W0501 F7 +word: SLICEB.K0.INIT 1111110000001100 +word: SLICEB.K1.INIT 0000000011001010 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 0000000010101100 +word: SLICED.K0.INIT 1100000011001111 +word: SLICED.K1.INIT 0000000001010011 +word: SLICEC.K0.INIT 0011000000111111 +word: SLICEC.K1.INIT 0000000011111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R51C18:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0601 H01E0001 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0303 W1_H02E0501 +arc: H00L0100 H02E0301 +arc: H00R0000 N1_V02S0601 +arc: H00R0100 E1_H02W0701 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 H06E0103 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0201 H06W0103 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0501 N1_V01S0100 +arc: S1_V02S0601 H01E0001 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0100 E1_H02W0501 +arc: V00T0100 V02N0501 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 V06S0003 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 E1_H02W0301 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 E1_H02W0201 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0303 +arc: A5 E1_H02W0701 +arc: B0 N1_V02S0301 +arc: B2 V02S0101 +arc: B5 V02S0701 +arc: B6 N1_V01S0000 +arc: B7 V00B0000 +arc: C0 H02E0601 +arc: C2 E1_H02W0401 +arc: C5 H02W0401 +arc: C6 W1_H02E0601 +arc: C7 S1_V02N0201 +arc: CE2 H00L0100 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 V02S0201 +arc: D2 H00R0000 +arc: D3 V02N0201 +arc: D4 H00R0100 +arc: D5 V01N0001 +arc: D6 V02S0401 +arc: D7 H02W0001 +arc: E1_H01E0001 F6 +arc: E1_H02E0201 F2 +arc: E1_H02E0501 F7 +arc: E3_H06E0203 Q4 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q4 +arc: H01W0100 Q4 +arc: M0 E1_H02W0601 +arc: M2 V00T0100 +arc: M4 V00B0100 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 F7 +arc: V00B0000 Q6 +arc: V01S0100 F0 +word: SLICED.K0.INIT 1111001111000000 +word: SLICED.K1.INIT 0011000000111111 +word: SLICEC.K0.INIT 0000000011111111 +word: SLICEC.K1.INIT 1111011111010101 +word: SLICEA.K0.INIT 0011000000111111 +word: SLICEA.K1.INIT 0000000011111111 +word: SLICEB.K0.INIT 0011000000111111 +word: SLICEB.K1.INIT 0000000011111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R51C19:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0601 W3_H06E0303 +arc: E3_H06E0003 W1_H02E0001 +arc: E3_H06E0103 H01E0101 +arc: H00L0000 H02W0201 +arc: H00L0100 E1_H02W0301 +arc: H00R0000 V02S0401 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0103 H06W0103 +arc: N3_V06N0203 H06W0203 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0601 N1_V02S0301 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 N1_V02S0101 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 W1_H02E0401 +arc: V00B0100 V02N0301 +arc: V00T0000 H02E0201 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 V02N0601 +arc: W3_H06W0003 S3_V06N0003 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0203 S3_V06N0203 +arc: A3 E1_H02W0701 +arc: B0 V00T0000 +arc: B3 S1_V02N0101 +arc: B4 N1_V01S0000 +arc: B6 V02N0701 +arc: B7 H02E0101 +arc: C0 H00L0100 +arc: C2 V02S0601 +arc: C3 H00R0100 +arc: C4 H02W0401 +arc: C6 S1_V02N0201 +arc: C7 V02S0001 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0001 +arc: D1 V02N0201 +arc: D2 H00R0000 +arc: D3 H00R0000 +arc: D4 N1_V02S0401 +arc: D5 S1_V02N0601 +arc: D6 H02W0001 +arc: D7 V00B0000 +arc: E1_H01E0101 F6 +arc: E1_H02E0201 F0 +arc: E1_H02E0401 F4 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F0 +arc: M0 V00B0100 +arc: M2 N1_V01N0001 +arc: M4 V00T0100 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q7 +arc: S1_V02S0201 F2 +arc: V01S0000 F7 +arc: W1_H02W0701 F7 +word: SLICED.K0.INIT 0000000000111111 +word: SLICED.K1.INIT 0000110000111111 +word: SLICEA.K0.INIT 1111001100000011 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 0000001111110011 +word: SLICEC.K1.INIT 0000000011111111 +word: SLICEB.K0.INIT 1111111100001111 +word: SLICEB.K1.INIT 1010100000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R51C20:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0501 V06N0303 +arc: E1_H02E0601 V06N0303 +arc: E1_H02E0701 E3_H06W0203 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0203 N1_V01S0000 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 N1_V02S0201 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0003 E1_H01W0000 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 E1_H01W0100 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 N1_V02S0501 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 W3_H06E0203 +arc: V00B0000 V02S0201 +arc: V00B0100 E1_H02W0501 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0501 V06N0303 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 H01E0101 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0203 +arc: A3 V00T0000 +arc: B0 S1_V02N0101 +arc: B1 E1_H02W0301 +arc: B3 H00L0000 +arc: B4 E1_H02W0101 +arc: B5 H00R0000 +arc: B6 S1_V02N0701 +arc: C0 H02W0601 +arc: C1 E1_H02W0401 +arc: C3 H00L0100 +arc: C4 E1_H01E0101 +arc: C5 N1_V02S0001 +arc: C6 H02E0401 +arc: CE0 H02W0101 +arc: CE1 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 H02E0001 +arc: D2 F0 +arc: D3 H02E0201 +arc: D4 H00R0100 +arc: D5 V02S0401 +arc: D6 N1_V02S0601 +arc: D7 H02W0001 +arc: E1_H01E0001 F6 +arc: E1_H02E0401 F6 +arc: E3_H06E0103 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F1 +arc: H00R0000 Q4 +arc: H01W0000 Q1 +arc: H01W0100 F5 +arc: M2 V00B0000 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F1 +arc: N1_V01N0101 Q4 +arc: N3_V06N0203 Q4 +arc: S1_V02S0201 Q2 +arc: S1_V02S0601 Q4 +arc: V00T0000 F0 +arc: V01S0100 Q4 +arc: W1_H02W0301 F1 +arc: W1_H02W0401 Q4 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q4 +word: SLICEC.K0.INIT 0011111100110000 +word: SLICEC.K1.INIT 1111001111000000 +word: SLICEA.K0.INIT 0000000000111111 +word: SLICEA.K1.INIT 0000110000111111 +word: SLICEB.K0.INIT 0000000011111111 +word: SLICEB.K1.INIT 1111011111010101 +word: SLICED.K0.INIT 1100111100000011 +word: SLICED.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R51C21:PLC2 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 V02N0301 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 N1_V02S0201 +arc: H00L0100 S1_V02N0101 +arc: H00R0100 H02E0701 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 V01N0101 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0303 H06E0303 +arc: S1_V02S0001 E3_H06W0003 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0601 N1_V02S0601 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0100 H02E0701 +arc: V00T0100 H02E0301 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 E1_H01W0100 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0003 E1_H01W0000 +arc: A3 E1_H01E0001 +arc: B0 V02N0301 +arc: B1 S1_V02N0301 +arc: B3 H00L0000 +arc: B5 V01S0000 +arc: B6 E1_H02W0101 +arc: B7 V00B0000 +arc: C0 H00L0100 +arc: C1 V02N0401 +arc: C3 H02E0401 +arc: C5 H02W0601 +arc: C6 V00B0100 +arc: C7 V02S0001 +arc: CE1 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 V02N0201 +arc: D2 F0 +arc: D3 V02S0201 +arc: D4 V02S0601 +arc: D5 H00R0100 +arc: D6 H02W0001 +arc: D7 E1_H02W0201 +arc: E1_H01E0001 F0 +arc: E1_H01E0101 F1 +arc: E1_H02E0401 Q6 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: H01W0100 Q6 +arc: M2 H02E0601 +arc: M4 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V01N0101 Q2 +arc: N3_V06N0203 Q4 +arc: S1_V02S0501 F7 +arc: S3_V06S0103 Q2 +arc: V00B0000 Q6 +arc: V01S0000 Q4 +arc: V01S0100 Q4 +arc: W3_H06W0103 F1 +arc: W3_H06W0203 Q4 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0011111100110000 +word: SLICED.K1.INIT 1111001111000000 +word: SLICEA.K0.INIT 0000000000111111 +word: SLICEA.K1.INIT 0000000000001100 +word: SLICEB.K0.INIT 0000000011111111 +word: SLICEB.K1.INIT 1111110101110101 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1100110000001111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R51C22:PLC2 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 S3_V06N0203 +arc: E3_H06E0103 W1_H02E0101 +arc: H00R0100 S1_V02N0501 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 W3_H06E0303 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0203 W3_H06E0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0301 H06E0003 +arc: S1_V02S0501 E1_H01W0100 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 W1_H02E0701 +arc: V00T0000 H02E0201 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0501 H01E0101 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 N1_V01S0100 +arc: W3_H06W0003 E3_H06W0003 +arc: A7 N1_V01N0101 +arc: B0 H02E0301 +arc: B1 S1_V02N0301 +arc: B3 H00L0000 +arc: B5 H00R0000 +arc: B7 N1_V02S0501 +arc: C0 H02W0401 +arc: C1 N1_V01N0001 +arc: C3 H00R0100 +arc: C5 V00B0100 +arc: C7 E1_H01E0101 +arc: CE0 W1_H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 H01E0101 +arc: D1 V00T0100 +arc: D2 H02W0001 +arc: D3 V00B0100 +arc: D4 E1_H02W0001 +arc: D5 W1_H02E0001 +arc: D6 F0 +arc: D7 V02S0401 +arc: E1_H01E0001 Q4 +arc: E1_H01E0101 F1 +arc: E1_H02E0001 Q2 +arc: E3_H06E0203 Q4 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0000 Q4 +arc: H01W0100 F1 +arc: M2 H02W0601 +arc: M4 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 F0 +arc: N1_V02N0301 F1 +arc: N3_V06N0103 Q1 +arc: S3_V06S0303 Q6 +arc: V01S0000 Q4 +arc: V01S0100 Q2 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q4 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000011111111 +word: SLICED.K1.INIT 1111011111010101 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1100000011001111 +word: SLICEA.K0.INIT 0000000000111111 +word: SLICEA.K1.INIT 0000110000111111 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1100110000001111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R51C23:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0201 N3_V06S0103 +arc: E3_H06E0103 W1_H02E0101 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 H02E0201 +arc: H00L0100 H02W0301 +arc: H00R0000 N1_V02S0401 +arc: H00R0100 V02S0701 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 E1_H01W0000 +arc: N3_V06N0203 H01E0001 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 N1_V02S0201 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0501 N1_V01S0100 +arc: S1_V02S0601 N1_V02S0301 +arc: S3_V06S0103 H06E0103 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0501 V06S0303 +arc: W1_H02W0601 E1_H02W0601 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0303 E1_H01W0100 +arc: A4 V02N0101 +arc: A6 V00T0100 +arc: B1 H02W0101 +arc: B3 H01W0100 +arc: B4 H00R0000 +arc: B6 V02N0701 +arc: B7 V02N0501 +arc: C1 H00R0100 +arc: C3 H00L0000 +arc: C4 S1_V02N0001 +arc: C5 V00T0000 +arc: C6 V02N0201 +arc: C7 H02E0401 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D1 V02N0001 +arc: D2 H02W0201 +arc: D3 E1_H02W0001 +arc: D4 H00L0100 +arc: D5 H00L0100 +arc: D6 H01W0000 +arc: D7 V00B0000 +arc: E1_H01E0001 F7 +arc: E1_H02E0501 F7 +arc: E3_H06E0203 F7 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F4 +arc: H01W0100 Q2 +arc: M2 E1_H02W0601 +arc: M4 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 Q2 +arc: N1_V02N0101 F1 +arc: N1_V02N0201 Q2 +arc: V01S0000 Q2 +arc: V01S0100 Q6 +arc: W3_H06W0103 F1 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000011000000 +word: SLICED.K0.INIT 1100110011001110 +word: SLICED.K1.INIT 0011111100000000 +word: SLICEC.K0.INIT 1110101001000000 +word: SLICEC.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1100000011001111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R51C24:PLC2 +arc: E1_H02E0001 H01E0001 +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0301 V02N0301 +arc: E3_H06E0003 W1_H02E0001 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0100 S1_V02N0101 +arc: H00R0000 H02W0401 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 N1_V01S0100 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0000 H02W0601 +arc: V00B0100 E1_H02W0501 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0501 S1_V02N0501 +arc: W3_H06W0303 E3_H06W0203 +arc: B1 V00T0000 +arc: B3 H00L0000 +arc: B5 V02S0701 +arc: B7 H02E0101 +arc: C1 V02S0401 +arc: C3 E1_H02W0601 +arc: C5 V02S0201 +arc: C7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0201 +arc: D1 H02W0201 +arc: D2 H00R0000 +arc: D3 H01E0101 +arc: D4 V02S0601 +arc: D5 H00R0100 +arc: D6 H02E0201 +arc: D7 H00L0100 +arc: E1_H01E0101 Q6 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 Q2 +arc: H01W0000 Q4 +arc: H01W0100 Q6 +arc: M0 H02W0601 +arc: M2 H02W0601 +arc: M4 V00B0000 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V01N0101 Q4 +arc: N3_V06N0003 Q0 +arc: N3_V06N0103 Q2 +arc: N3_V06N0203 Q4 +arc: N3_V06N0303 Q6 +arc: S1_V02S0201 Q2 +arc: S1_V02S0401 Q4 +arc: V00T0000 Q0 +arc: V01S0000 Q2 +arc: V01S0100 Q0 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q4 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1100000011001111 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1100000011001111 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1100000011001111 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1100000011001111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R51C25:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0601 N1_V02S0601 +arc: E3_H06E0003 W3_H06E0003 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 E1_H01W0100 +arc: N3_V06N0203 H06E0203 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 E1_H01W0000 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 H06W0003 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 H06W0303 +arc: S1_V02S0601 E1_H01W0000 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 H06E0303 +arc: V00B0100 H02W0501 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0301 V06S0003 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0601 V02N0601 +arc: W3_H06W0003 E1_H01W0000 +arc: B1 V01N0001 +arc: C0 E1_H02W0601 +arc: C1 E1_H02W0601 +arc: CE0 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 V00B0100 +arc: E3_H06E0103 Q1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: H00L0000 F0 +arc: H01W0100 Q2 +arc: LSR0 H02E0301 +arc: LSR1 H02E0301 +arc: M2 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: V01S0100 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000111111111111 +word: SLICEA.K1.INIT 0000000011000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET SET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R51C26:PLC2 +arc: E1_H02E0001 V01N0001 +arc: E1_H02E0401 W1_H02E0101 +arc: H00R0000 S1_V02N0401 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 N3_V06S0303 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 H06E0203 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 E1_H01W0100 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 H02W0401 +arc: V00B0100 E1_H02W0701 +arc: V00T0000 H02W0201 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 N3_V06S0203 +arc: W3_H06W0003 E3_H06W0303 +arc: A0 H02W0501 +arc: A5 V02N0101 +arc: A6 H02W0501 +arc: B0 V02N0301 +arc: B1 V01N0001 +arc: B2 V02S0301 +arc: B3 H00R0000 +arc: B5 F1 +arc: B6 V00B0000 +arc: C0 H00L0100 +arc: C1 S1_V02N0401 +arc: C2 V02S0401 +arc: C3 H00L0000 +arc: C5 H02E0601 +arc: C6 V00T0100 +arc: C7 H02E0601 +arc: CE0 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V02N0001 +arc: D2 V00B0100 +arc: D3 W1_H02E0001 +arc: D5 E1_H01W0100 +arc: D6 H00R0100 +arc: D7 E1_H01W0100 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 F6 +arc: E1_H02E0201 F2 +arc: E1_H02E0501 F7 +arc: E3_H06E0103 F2 +arc: E3_H06E0203 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 F2 +arc: H00L0100 F1 +arc: H00R0100 F7 +arc: H01W0000 F4 +arc: H01W0100 Q0 +arc: LSR1 V00T0000 +arc: M4 E1_H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR1 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 F1 +arc: N1_V02N0101 F1 +arc: N1_V02N0701 F7 +arc: S1_V02S0101 F3 +arc: S1_V02S0401 F4 +arc: S1_V02S0701 F7 +arc: S3_V06S0003 F3 +arc: S3_V06S0203 F7 +arc: V00T0100 F1 +arc: V01S0100 F7 +arc: W1_H02W0501 F7 +arc: W3_H06W0203 F7 +word: SLICEA.K0.INIT 0000000000000100 +word: SLICEA.K1.INIT 1100110000001100 +word: SLICED.K0.INIT 0011001100110111 +word: SLICED.K1.INIT 1111000011111111 +word: SLICEB.K0.INIT 0000001100000000 +word: SLICEB.K1.INIT 0000110000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000001000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R51C27:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0601 H01E0001 +arc: E1_H02E0701 N3_V06S0203 +arc: E3_H06E0203 W3_H06E0103 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 W3_H06E0303 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0203 W3_H06E0203 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0601 W1_H02E0601 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 H02W0501 +arc: V00T0000 V02S0401 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0601 E1_H01W0000 +arc: A2 V02N0701 +arc: A7 H00L0000 +arc: B2 V02N0101 +arc: B7 V00B0100 +arc: C2 H02E0401 +arc: C3 S1_V02N0401 +arc: C5 H02W0401 +arc: C7 N1_V02S0201 +arc: CE0 V02N0201 +arc: CE1 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D2 V00T0100 +arc: D3 E1_H02W0001 +arc: D5 V02N0401 +arc: D7 W1_H02E0001 +arc: E1_H02E0501 F5 +arc: E3_H06E0003 Q0 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 Q2 +arc: H01W0000 Q0 +arc: H01W0100 F6 +arc: M0 V00T0000 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 Q2 +arc: N3_V06N0003 F3 +arc: V00T0100 F3 +arc: V01S0000 F3 +arc: W1_H02W0501 F5 +arc: W3_H06W0003 F3 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000011110000 +word: SLICEB.K0.INIT 0000000100000000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 + +.tile R51C28:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0601 E1_H01W0000 +arc: H00L0000 W1_H02E0201 +arc: H00R0100 W1_H02E0501 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0003 S1_V02N0001 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0501 W1_H02E0501 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N1_V02S0201 +arc: S3_V06S0203 H01E0001 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 W1_H02E0501 +arc: V00T0100 H02E0101 +arc: W1_H02W0701 N1_V01S0100 +arc: A1 H02E0501 +arc: A3 V02S0501 +arc: A6 H02E0501 +arc: A7 H00R0000 +arc: B1 H01W0100 +arc: B3 V02S0301 +arc: B4 V01S0000 +arc: B5 V02S0701 +arc: B6 V00T0000 +arc: B7 V02N0701 +arc: C0 H00L0000 +arc: C1 H02E0601 +arc: C3 H00L0000 +arc: C4 E1_H01E0101 +arc: C5 H02E0401 +arc: C6 H02E0601 +arc: C7 E1_H02W0401 +arc: CE2 E1_H02W0101 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0001 +arc: D1 V00B0100 +arc: D3 N1_V02S0001 +arc: D4 W1_H02E0001 +arc: D5 H00R0100 +arc: D6 H00R0100 +arc: D7 S1_V02N0401 +arc: E1_H01E0001 F0 +arc: E1_H01E0101 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H00R0000 Q6 +arc: H01W0000 F0 +arc: H01W0100 F0 +arc: LSR0 V00B0000 +arc: LSR1 V00B0000 +arc: M2 V00T0100 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 F4 +arc: N1_V01N0101 F7 +arc: N1_V02N0001 F0 +arc: N1_V02N0501 F7 +arc: N3_V06N0303 Q5 +arc: V00T0000 F2 +arc: V01S0000 Q5 +arc: V01S0100 F2 +arc: W1_H02W0001 F0 +arc: W1_H02W0401 Q6 +arc: W1_H02W0501 Q5 +arc: W3_H06W0003 F0 +arc: W3_H06W0303 Q5 +word: SLICEC.K0.INIT 0000000000000011 +word: SLICEC.K1.INIT 0000000000110000 +word: SLICEA.K0.INIT 0000111100000000 +word: SLICEA.K1.INIT 0011001100110111 +word: SLICED.K0.INIT 0000000000000100 +word: SLICED.K1.INIT 1111111000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R51C29:PLC2 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0601 W3_H06E0303 +arc: H00R0100 H02E0501 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 W3_H06E0203 +arc: N1_V02N0601 S1_V02N0601 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0203 W3_H06E0203 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0203 H06E0203 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 W1_H02E0701 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0601 V02S0601 +arc: C5 H01E0001 +arc: CE0 H02E0101 +arc: CE1 H02E0101 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D5 E1_H02W0001 +arc: E1_H01E0101 F5 +arc: F5 F5_SLICE +arc: H01W0000 Q0 +arc: M0 V00B0000 +arc: M2 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0303 Q6 +arc: S3_V06S0303 Q6 +arc: V00T0000 Q2 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000111111111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R51C2:PLC2 +arc: H00R0000 H02E0401 +arc: H00R0100 V02S0501 +arc: N1_V02N0401 H02E0401 +arc: N3_V06N0203 S3_V06N0103 +arc: V00B0100 V02S0101 +arc: V00T0000 H02W0201 +arc: V00T0100 N1_V02S0501 +arc: A4 N1_V01N0101 +arc: B1 V00B0000 +arc: B4 V01S0000 +arc: B5 H00L0000 +arc: C1 N1_V02S0601 +arc: C4 V00B0100 +arc: C5 H02E0401 +arc: CE0 E1_H02W0101 +arc: CE1 H02E0101 +arc: CE2 E1_H02W0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D1 H00R0000 +arc: D4 H00R0100 +arc: D5 H02W0201 +arc: E3_H06E0203 F4 +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00L0000 Q2 +arc: M2 V00T0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q5 +arc: V00B0000 Q6 +arc: V01S0000 Q1 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1100110011110000 +word: SLICEC.K0.INIT 0000000000000100 +word: SLICEC.K1.INIT 1100111111000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 + +.tile R51C30:PLC2 +arc: E1_H02E0301 V06S0003 +arc: H00L0000 N1_V02S0201 +arc: H00L0100 V02N0301 +arc: H00R0000 E1_H02W0401 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0601 H02E0601 +arc: N3_V06N0303 E1_H01W0100 +arc: S1_V02S0101 N3_V06S0103 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0203 H06E0203 +arc: V00B0000 V02N0001 +arc: V00T0000 V02N0601 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0401 E1_H01W0000 +arc: CE0 N1_V02S0201 +arc: CE1 H00R0000 +arc: CE2 H00L0000 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0001 Q0 +arc: M0 V00T0000 +arc: M2 W1_H02E0601 +arc: M4 V00B0000 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q4 +arc: N3_V06N0003 Q0 +arc: N3_V06N0103 Q2 +arc: N3_V06N0203 Q4 +arc: S1_V02S0601 Q4 +arc: V01S0000 Q6 +arc: V01S0100 Q2 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R51C31:PLC2 +arc: H00L0000 V02N0201 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0701 E1_H01W0100 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0601 V01N0001 +arc: S3_V06S0203 N3_V06S0203 +arc: V00T0000 H02E0001 +arc: V00T0100 H02E0301 +arc: W1_H02W0001 W3_H06E0003 +arc: CE0 V02N0201 +arc: CE1 V02N0201 +arc: CE2 H02W0101 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: H01W0100 Q4 +arc: M0 V00T0000 +arc: M2 V00B0000 +arc: M4 V00T0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0203 Q4 +arc: S1_V02S0001 Q0 +arc: S1_V02S0401 Q6 +arc: V00B0000 Q4 +arc: V01S0100 Q2 +arc: W3_H06W0203 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R51C32:PLC2 +arc: H00L0100 N1_V02S0101 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0301 N1_V01S0100 +arc: N3_V06N0103 W3_H06E0103 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0401 N3_V06S0203 +arc: V00B0000 V02N0001 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0301 V06N0003 +arc: W1_H02W0401 W3_H06E0203 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: H01W0100 Q6 +arc: M6 V00B0000 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: S3_V06S0303 Q6 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R51C33:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: N3_V06N0003 W3_H06E0003 + +.tile R51C34:PLC2 +arc: S3_V06S0003 H01E0001 + +.tile R51C3:PLC2 +arc: E1_H02E0501 N3_V06S0303 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0301 N3_V06S0003 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0601 E1_H02W0601 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0203 +arc: W1_H02W0201 N1_V01S0000 + +.tile R51C4:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0301 S1_V02N0301 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0601 E1_H01W0000 +arc: N3_V06N0103 E1_H01W0100 +arc: S1_V02S0401 V01N0001 +arc: W1_H02W0101 V01N0101 + +.tile R51C5:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 N3_V06S0203 +arc: H00L0000 V02S0201 +arc: H00L0100 S1_V02N0101 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0501 W1_H02E0501 +arc: V00B0100 V02S0301 +arc: V00T0100 H02E0301 +arc: W1_H02W0601 E3_H06W0303 +arc: A2 H00L0100 +arc: A4 N1_V01N0101 +arc: A5 E1_H02W0501 +arc: B2 H00R0100 +arc: B3 H01W0100 +arc: B4 H02E0101 +arc: B5 V02N0701 +arc: C2 N1_V01S0100 +arc: C3 H00L0000 +arc: C4 V00B0100 +arc: C5 V00T0100 +arc: CLK0 G_HPBX0000 +arc: D2 E1_H02W0201 +arc: D3 V01S0100 +arc: D4 V02S0401 +arc: D5 H02W0201 +arc: E3_H06E0203 F4 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 F4 +arc: H01W0100 Q2 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q5 +arc: N1_V02N0101 F3 +arc: N1_V02N0501 Q5 +arc: V01S0000 F4 +arc: V01S0100 F4 +word: SLICEC.K0.INIT 1111111110000000 +word: SLICEC.K1.INIT 1111100010001000 +word: SLICEB.K0.INIT 1110101011000000 +word: SLICEB.K1.INIT 1100000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 + +.tile R51C6:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0003 V06S0003 +arc: H00L0000 H02W0001 +arc: H00R0000 H02W0401 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0201 H01E0001 +arc: S1_V02S0401 V01N0001 +arc: S1_V02S0501 E1_H02W0501 +arc: V00B0000 E1_H02W0401 +arc: V00T0100 V02N0701 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0701 V06N0203 +arc: A1 H02E0701 +arc: A4 V02N0101 +arc: A6 V02S0301 +arc: B1 V02S0101 +arc: B3 E1_H02W0301 +arc: B4 F3 +arc: B6 H02E0301 +arc: B7 V00B0000 +arc: C0 H02E0401 +arc: C1 H02E0401 +arc: C2 H00L0000 +arc: C3 H02E0601 +arc: C4 E1_H02W0601 +arc: C6 V01N0101 +arc: C7 V00T0100 +arc: CE2 E1_H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 H00R0000 +arc: D2 V02S0001 +arc: D3 S1_V02N0201 +arc: D4 E1_H02W0201 +arc: D6 V02N0401 +arc: D7 H01W0000 +arc: E1_H01E0001 F0 +arc: E1_H02E0401 Q4 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: M0 V00B0100 +arc: M4 V00T0000 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 Q4 +arc: N3_V06N0203 F7 +arc: V00B0100 Q7 +arc: V00T0000 F2 +arc: V01S0000 F4 +word: SLICED.K0.INIT 0110010011111101 +word: SLICED.K1.INIT 0000110000111111 +word: SLICEB.K0.INIT 0000000011110000 +word: SLICEB.K1.INIT 0000000011111100 +word: SLICEA.K0.INIT 1111000011111111 +word: SLICEA.K1.INIT 1110000000000000 +word: SLICEC.K0.INIT 1100111101001111 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R51C7:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 W1_H02E0701 +arc: H00L0100 V02N0301 +arc: H00R0000 V02S0601 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 H01E0101 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0303 H06W0303 +arc: V00B0100 S1_V02N0301 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0401 N1_V02S0401 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0601 E3_H06W0303 +arc: B3 H02E0301 +arc: B4 V02S0701 +arc: B5 V01S0000 +arc: C3 H00L0100 +arc: C4 H01E0001 +arc: C5 H02E0601 +arc: CLK0 G_HPBX0000 +arc: D3 H00R0000 +arc: D4 H00L0100 +arc: D5 H02E0001 +arc: E1_H01E0001 F5 +arc: E1_H02E0301 Q3 +arc: E3_H06E0203 Q4 +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 F3 +arc: LSR1 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: N1_V01N0001 F3 +arc: V01S0000 F4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1100000011001111 +word: SLICEC.K0.INIT 1100110000001111 +word: SLICEC.K1.INIT 0000000000111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R51C8:PLC2 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0101 V06N0103 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 V06S0303 +arc: H00L0100 S1_V02N0301 +arc: H00R0100 W1_H02E0701 +arc: H01W0000 E3_H06W0103 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 H02W0601 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 H02W0501 +arc: V00T0100 W1_H02E0101 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0501 V06S0303 +arc: W1_H02W0601 H01E0001 +arc: W1_H02W0701 V01N0101 +arc: A1 H02E0701 +arc: A3 V00T0000 +arc: A7 V00T0100 +arc: B1 V02S0101 +arc: B3 N1_V02S0301 +arc: B7 V00B0000 +arc: C0 H00R0100 +arc: C1 H00R0100 +arc: C2 S1_V02N0601 +arc: C3 H00L0100 +arc: C7 V02S0201 +arc: CE1 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 V00B0100 +arc: D2 V02S0001 +arc: D3 W1_H02E0201 +arc: D7 V02S0401 +arc: E1_H01E0001 F7 +arc: E3_H06E0003 F0 +arc: E3_H06E0103 Q2 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: M0 H02E0601 +arc: MUXCLK1 CLK0 +arc: N3_V06N0103 Q2 +arc: S3_V06S0003 F3 +arc: V00T0000 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000001 +word: SLICEB.K0.INIT 1111000000000000 +word: SLICEB.K1.INIT 1000010000100001 +word: SLICEA.K0.INIT 1111000011111111 +word: SLICEA.K1.INIT 1110000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 + +.tile R51C9:PLC2 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0501 S3_V06N0303 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0000 H02E0201 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 E1_H01W0100 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0401 V01N0001 +arc: S3_V06S0103 N3_V06S0103 +arc: V00B0000 V02N0001 +arc: V00B0100 H02W0501 +arc: V00T0000 V02S0601 +arc: V00T0100 V02N0501 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 E1_H01W0100 +arc: A1 V02S0701 +arc: A4 S1_V02N0101 +arc: A5 F7 +arc: A7 H02E0501 +arc: B1 S1_V02N0301 +arc: B2 W1_H02E0301 +arc: B4 H00L0000 +arc: B5 F1 +arc: B7 V00B0000 +arc: C1 H02E0601 +arc: C2 E1_H02W0601 +arc: C4 H01E0001 +arc: C5 V00T0000 +arc: C7 V02S0001 +arc: CLK0 G_HPBX0000 +arc: D1 V00B0100 +arc: D2 V00T0100 +arc: D3 E1_H02W0201 +arc: D4 H00R0100 +arc: D5 V02N0601 +arc: D7 H02E0001 +arc: E1_H01E0101 F2 +arc: E1_H02E0401 F4 +arc: E3_H06E0103 F2 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F5 +arc: H01W0000 Q2 +arc: M2 H02W0601 +arc: MUXCLK1 CLK0 +arc: N1_V01N0101 F2 +arc: V01S0000 F2 +arc: W1_H02W0201 F2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000001 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000001 +word: SLICEC.K0.INIT 0001000000000000 +word: SLICEC.K1.INIT 1000000000000000 +word: SLICEB.K0.INIT 0000111111001100 +word: SLICEB.K1.INIT 0000000011111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R52C10:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0601 E1_H01W0000 +arc: H00L0000 E1_H02W0001 +arc: H00L0100 H02W0101 +arc: H00R0000 W1_H02E0401 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0001 H06W0003 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 H06W0103 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 H02W0401 +arc: V00B0100 V02N0301 +arc: V00T0100 H02W0301 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0601 H01E0001 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E1_H01W0100 +arc: B1 H02E0301 +arc: B3 H01W0100 +arc: C1 H00L0100 +arc: C3 H00L0000 +arc: CE0 H00R0000 +arc: CE1 H00R0100 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D1 H02W0201 +arc: D2 V00B0100 +arc: D3 V01S0100 +arc: E1_H01E0101 Q2 +arc: E3_H06E0103 Q2 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: H01W0000 Q2 +arc: H01W0100 Q1 +arc: M2 V00B0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: V01S0100 F1 +arc: W1_H02W0001 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111110000110000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1100111111000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R52C11:PLC2 +arc: E1_H02E0101 V01N0101 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0501 S1_V02N0501 +arc: E3_H06E0203 V01N0001 +arc: E3_H06E0303 S3_V06N0303 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 H02W0601 +arc: H00R0100 W1_H02E0701 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0001 N1_V01S0000 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 E1_H01W0100 +arc: S1_V02S0401 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N1_V02S0401 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0000 H02W0401 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0101 N3_V06S0103 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 N1_V02S0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 N3_V06S0303 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0203 E1_H02W0401 +arc: A3 V00T0000 +arc: A5 V02N0301 +arc: A7 V00T0100 +arc: B0 H02E0301 +arc: B2 V02N0101 +arc: B3 E1_H01W0100 +arc: B5 S1_V02N0501 +arc: B7 V02S0701 +arc: C0 H00L0100 +arc: C1 H02E0601 +arc: C2 H02E0401 +arc: C3 N1_V01N0001 +arc: C5 W1_H02E0401 +arc: C7 W1_H02E0601 +arc: CE0 H00R0000 +arc: CE1 V02S0201 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 N1_V02S0201 +arc: D2 V01S0100 +arc: D3 V02S0001 +arc: D5 V00B0000 +arc: D7 H00R0100 +arc: E1_H01E0001 F7 +arc: E3_H06E0003 Q0 +arc: E3_H06E0103 F2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q0 +arc: H01W0100 Q2 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V02N0301 F1 +arc: V01S0100 F3 +arc: W3_H06W0003 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1001011101000011 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1001001001110101 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0000110000111111 +word: SLICEB.K1.INIT 0110001011111011 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 + +.tile R52C12:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 W3_H06E0303 +arc: E1_H02E0701 N3_V06S0203 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 H02W0601 +arc: H00R0100 H02E0501 +arc: H01W0100 W3_H06E0303 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 E1_H02W0601 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 E1_H02W0701 +arc: W3_H06W0103 V01N0101 +arc: W3_H06W0203 V06N0203 +arc: W3_H06W0303 E3_H06W0303 +arc: A1 H00R0000 +arc: A5 V00B0000 +arc: A7 V02S0301 +arc: B1 H00R0100 +arc: B3 H02E0101 +arc: B4 V01S0000 +arc: B5 H02E0301 +arc: B7 S1_V02N0501 +arc: C1 H00L0100 +arc: C3 N1_V02S0401 +arc: C4 S1_V02N0201 +arc: C5 E1_H01E0101 +arc: C7 V00T0000 +arc: CE1 H02W0101 +arc: CE2 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D1 V00T0100 +arc: D3 N1_V02S0201 +arc: D4 H01W0000 +arc: D5 H01W0000 +arc: D7 H02E0201 +arc: E1_H01E0101 F7 +arc: E1_H02E0301 F1 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F3 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F5 +arc: S1_V02S0401 Q4 +arc: V01S0000 Q3 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1001011100100101 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1001011100100101 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 0110001011111011 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 + +.tile R52C13:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 H01E0001 +arc: E1_H02E0301 E3_H06W0003 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0701 E3_H06W0203 +arc: E3_H06E0103 N1_V01S0100 +arc: E3_H06E0303 V06S0303 +arc: H00L0000 N1_V02S0201 +arc: H00L0100 H02W0101 +arc: H00R0000 N1_V02S0601 +arc: H00R0100 S1_V02N0701 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0003 E3_H06W0003 +arc: S1_V02S0001 E3_H06W0003 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 W1_H02E0401 +arc: V00B0100 H02E0701 +arc: V00T0000 H02W0201 +arc: V00T0100 H02E0101 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 S3_V06N0103 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E3_H06W0303 +arc: A5 V00T0100 +arc: B1 V00B0000 +arc: B2 V02N0301 +arc: B4 V02S0701 +arc: B5 W1_H02E0301 +arc: B6 V02N0701 +arc: C1 E1_H02W0401 +arc: C2 H00L0000 +arc: C4 V02N0201 +arc: C5 H02E0401 +arc: C6 S1_V02N0201 +arc: C7 E1_H01E0101 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 S1_V02N0601 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D1 H00R0000 +arc: D2 V00B0100 +arc: D3 V02S0201 +arc: D4 H01W0000 +arc: D5 H01W0000 +arc: D6 F2 +arc: D7 H00L0100 +arc: E1_H01E0101 Q6 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: M2 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q1 +arc: N3_V06N0203 F7 +arc: N3_V06N0303 F5 +arc: S3_V06S0303 Q6 +arc: V01S0000 Q4 +arc: V01S0100 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 0110001011111011 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 1100110011110000 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R52C14:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0003 N3_V06S0003 +arc: E3_H06E0303 W1_H02E0501 +arc: H00L0000 S1_V02N0001 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 H02E0501 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0103 N3_V06S0003 +arc: V00B0000 W1_H02E0601 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 H02E0001 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 H01E0001 +arc: W1_H02W0701 N1_V01S0100 +arc: W3_H06W0003 E1_H02W0001 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0303 +arc: A5 V00T0000 +arc: A7 E1_H02W0701 +arc: B1 H00R0100 +arc: B3 H00R0100 +arc: B4 V01S0000 +arc: B5 H00R0000 +arc: B6 V02S0701 +arc: B7 V00B0000 +arc: C1 H00L0100 +arc: C3 H02E0401 +arc: C4 V02S0201 +arc: C5 V00T0100 +arc: C6 V02S0201 +arc: C7 N1_V02S0201 +arc: CE0 H02E0101 +arc: CE1 H02E0101 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D1 S1_V02N0201 +arc: D3 V00B0100 +arc: D4 H02W0201 +arc: D5 H02W0201 +arc: D6 H01W0000 +arc: D7 H01W0000 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 Q4 +arc: E1_H02E0501 F5 +arc: E3_H06E0203 F7 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F3 +arc: H01W0100 F1 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q3 +arc: V01S0000 Q1 +arc: V01S0100 Q6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 0110001011111011 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 0110001011111011 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 + +.tile R52C15:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 E3_H06W0303 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 N1_V02S0201 +arc: H00L0100 V02S0301 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0601 H02W0601 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 H01E0101 +arc: V00B0000 H02W0401 +arc: V00T0000 H02W0001 +arc: V00T0100 V02S0501 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0701 N3_V06S0203 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0303 +arc: C6 V00T0000 +arc: CE1 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0201 +arc: D1 E1_H02W0201 +arc: D2 E1_H02W0201 +arc: D3 E1_H02W0201 +arc: D4 V00B0000 +arc: D5 V00B0000 +arc: D6 S1_V02N0601 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: M0 V00T0100 +arc: M1 H02E0001 +arc: M2 V00T0100 +arc: M3 H00L0000 +arc: M4 V00T0100 +arc: M5 H02E0001 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 F3 +arc: W3_H06W0003 Q3 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1111111100000000 +word: SLICED.K0.INIT 1111111100001111 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R52C16:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0003 V06N0003 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 V02S0001 +arc: H00L0100 V02S0301 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 W3_H06E0103 +arc: N3_V06N0203 S1_V02N0401 +arc: S1_V02S0001 E3_H06W0003 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0303 N1_V01S0100 +arc: V00B0100 V02S0301 +arc: V00T0000 W1_H02E0001 +arc: V00T0100 S1_V02N0501 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0601 N3_V06S0303 +arc: W1_H02W0701 E1_H02W0701 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0303 E1_H02W0601 +arc: A3 E1_H01E0001 +arc: B0 S1_V02N0101 +arc: B1 V02N0101 +arc: B3 F1 +arc: B4 V00B0100 +arc: B6 H01E0101 +arc: C0 W1_H02E0601 +arc: C1 N1_V02S0401 +arc: C3 H00L0100 +arc: C4 H02E0401 +arc: C5 E1_H01E0101 +arc: C6 H02E0601 +arc: CE0 H02E0101 +arc: CE1 H00L0000 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0201 +arc: D1 V02N0001 +arc: D2 F0 +arc: D3 H00R0000 +arc: D4 V00B0000 +arc: D5 V02S0601 +arc: D6 H02E0001 +arc: D7 E1_H02W0001 +arc: E1_H01E0001 F0 +arc: E1_H01E0101 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00R0000 F6 +arc: H01W0000 F1 +arc: H01W0100 Q1 +arc: M2 V00T0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N3_V06N0303 F5 +arc: S3_V06S0103 Q2 +arc: V00B0000 F6 +arc: W3_H06W0203 Q4 +word: SLICEA.K0.INIT 0000000000111111 +word: SLICEA.K1.INIT 0000110000111111 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 0000000011111111 +word: SLICEB.K1.INIT 1101111111010101 +word: SLICED.K0.INIT 1100111100000011 +word: SLICED.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R52C17:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0401 N1_V01S0000 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0003 W3_H06E0303 +arc: H00L0000 V02S0001 +arc: H00L0100 N1_V02S0101 +arc: H00R0100 N1_V02S0701 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0301 H01E0101 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0203 S1_V02N0701 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0401 E3_H06W0203 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 S1_V02N0401 +arc: V00T0100 H02W0301 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0401 E1_H01W0000 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0103 +arc: B2 H02E0301 +arc: B4 V00B0100 +arc: B6 N1_V02S0501 +arc: C1 H02E0601 +arc: C2 H02W0401 +arc: C3 N1_V01N0001 +arc: C4 V02N0001 +arc: C6 E1_H02W0401 +arc: CE1 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D1 W1_H02E0201 +arc: D2 V01S0100 +arc: D3 V02S0201 +arc: D4 H00R0100 +arc: D5 H00L0100 +arc: D6 V02N0601 +arc: D7 E1_H01W0100 +arc: E1_H01E0001 F4 +arc: E3_H06E0103 Q2 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 Q4 +arc: M4 V00T0000 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 F4 +arc: N1_V02N0401 Q4 +arc: N3_V06N0003 F3 +arc: N3_V06N0103 F1 +arc: S1_V02S0601 F6 +arc: V01S0100 F6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1111001100000011 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 0000000011111100 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111001111000000 +word: SLICEB.K1.INIT 0000111111110000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R52C18:PLC2 +arc: E1_H02E0001 V01N0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0701 V06N0203 +arc: E3_H06E0203 V06N0203 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 H02W0201 +arc: H00L0100 H02E0301 +arc: H00R0100 W1_H02E0701 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 V01N0101 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0301 N1_V01S0100 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0601 N1_V02S0301 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0000 V02S0001 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 V02N0601 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 N1_V01S0000 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0301 N3_V06S0003 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0003 N3_V06S0003 +arc: W3_H06W0103 E1_H01W0100 +arc: W3_H06W0303 E3_H06W0303 +arc: A3 H02W0701 +arc: B0 V02S0301 +arc: B3 H02E0101 +arc: B4 V02S0501 +arc: B6 E1_H02W0101 +arc: B7 V01S0000 +arc: C0 H02W0401 +arc: C2 H00L0000 +arc: C3 H00L0100 +arc: C4 E1_H02W0601 +arc: C6 V00T0000 +arc: C7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 H02W0001 +arc: D2 S1_V02N0201 +arc: D3 S1_V02N0201 +arc: D4 V00B0000 +arc: D5 H00R0100 +arc: D6 F2 +arc: D7 N1_V02S0601 +arc: E1_H01E0001 Q6 +arc: E1_H01E0101 F7 +arc: E1_H02E0501 F7 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F0 +arc: H01W0100 F6 +arc: LSR1 E1_H02W0501 +arc: M0 H02E0601 +arc: M2 V00T0100 +arc: M4 W1_H02E0401 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F0 +arc: N1_V01N0101 F4 +arc: V01S0000 F6 +word: SLICED.K0.INIT 1100000011001111 +word: SLICED.K1.INIT 0000000011001111 +word: SLICEC.K0.INIT 0011000000111111 +word: SLICEC.K1.INIT 0000000011111111 +word: SLICEA.K0.INIT 1111001100000011 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111111100001111 +word: SLICEB.K1.INIT 1010100000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R52C19:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0003 H01E0001 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0100 V02S0301 +arc: H00R0100 H02E0501 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 H06W0303 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0203 H06E0203 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 N1_V01S0000 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 +arc: V00T0000 W1_H02E0001 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0101 N3_V06S0103 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0701 V06S0203 +arc: W3_H06W0103 E1_H02W0101 +arc: W3_H06W0303 V06N0303 +arc: A1 H00L0000 +arc: A3 H02W0701 +arc: A5 N1_V01N0101 +arc: B0 H02E0101 +arc: B1 E1_H01W0100 +arc: B2 H00R0100 +arc: B3 V02N0301 +arc: B5 H01E0101 +arc: C0 V02N0401 +arc: C1 E1_H01W0000 +arc: C2 N1_V01N0001 +arc: C3 W1_H02E0401 +arc: C5 E1_H01E0101 +arc: C6 V00T0000 +arc: C7 H02W0401 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 V02N0001 +arc: D2 V01S0100 +arc: D3 H02W0001 +arc: D4 V00B0000 +arc: D5 H01W0000 +arc: D6 S1_V02N0401 +arc: D7 H02E0001 +arc: E1_H01E0101 F7 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H01W0000 F3 +arc: H01W0100 Q0 +arc: M4 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 F6 +arc: N1_V02N0001 Q2 +arc: N3_V06N0103 F1 +arc: S1_V02S0201 Q0 +arc: S3_V06S0203 Q4 +arc: V00B0000 F6 +arc: V01S0000 F0 +arc: V01S0100 F3 +arc: W3_H06W0003 Q0 +arc: W3_H06W0203 Q4 +word: SLICEB.K0.INIT 1111000011111100 +word: SLICEB.K1.INIT 0000000100000011 +word: SLICEA.K0.INIT 0000001100000000 +word: SLICEA.K1.INIT 0110001011111011 +word: SLICED.K0.INIT 1111000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1111101011111110 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 + +.tile R52C20:PLC2 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0601 E1_H01W0000 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0103 W3_H06E0003 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 H06W0303 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 H06W0203 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0501 E1_H01W0100 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 V02S0001 +arc: V00B0100 H02W0501 +arc: V00T0000 V02N0601 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0103 V06N0103 +arc: W3_H06W0303 N1_V01S0100 +arc: A3 N1_V02S0701 +arc: A5 H02W0701 +arc: B3 H02W0301 +arc: B5 N1_V01S0000 +arc: B6 V02S0501 +arc: B7 S1_V02N0701 +arc: C0 H02W0601 +arc: C1 N1_V02S0601 +arc: C3 S1_V02N0601 +arc: C4 E1_H02W0401 +arc: C5 H02E0601 +arc: C6 N1_V02S0001 +arc: C7 F6 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 V02N0201 +arc: D3 V00B0100 +arc: D4 V00B0000 +arc: D5 V00B0000 +arc: D6 V02N0401 +arc: D7 N1_V02S0601 +arc: E1_H01E0001 F6 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F3 +arc: LSR0 V00T0000 +arc: M4 H02E0401 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0101 F7 +arc: S1_V02S0001 F0 +arc: V01S0000 F4 +arc: V01S0100 F1 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1001011100011001 +word: SLICEA.K0.INIT 1111000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1100000011001111 +word: SLICED.K1.INIT 0000000011110011 +word: SLICEC.K0.INIT 1111111100001111 +word: SLICEC.K1.INIT 1010100000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 + +.tile R52C21:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 E1_H01W0100 +arc: H00L0100 E1_H02W0301 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 V02S0501 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0203 H06E0203 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 H02W0501 +arc: V00T0000 V02S0401 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0501 N3_V06S0303 +arc: W1_H02W0601 W3_H06E0303 +arc: W1_H02W0701 V01N0101 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 E1_H01W0000 +arc: W3_H06W0303 E1_H01W0100 +arc: A0 H00R0000 +arc: A1 H02W0701 +arc: A7 N1_V01S0100 +arc: B0 H00R0100 +arc: B1 V00B0000 +arc: B2 H02E0301 +arc: B4 H02W0301 +arc: B5 S1_V02N0501 +arc: B7 H02W0101 +arc: C0 H00L0100 +arc: C1 H02E0601 +arc: C2 V02S0601 +arc: C4 V02N0001 +arc: C5 V02N0201 +arc: C7 V00T0000 +arc: D0 V00T0100 +arc: D1 H02W0001 +arc: D2 W1_H02E0001 +arc: D3 H00R0000 +arc: D4 V01N0001 +arc: D5 V02N0601 +arc: D7 E1_H02W0201 +arc: E1_H01E0101 F5 +arc: E3_H06E0203 F4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: H01W0100 F1 +arc: M2 V00B0100 +arc: N1_V01N0101 F5 +arc: N3_V06N0103 F1 +arc: V00T0100 F1 +arc: V01S0000 F2 +arc: V01S0100 F0 +arc: W1_H02W0101 F1 +arc: W3_H06W0103 F1 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1010010100100001 +word: SLICEC.K0.INIT 0000001100000000 +word: SLICEC.K1.INIT 0000001100000000 +word: SLICEA.K0.INIT 0000000001010011 +word: SLICEA.K1.INIT 1011000000000000 +word: SLICEB.K0.INIT 1111001111000000 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R52C22:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0701 N1_V01S0100 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0203 +arc: H00R0100 V02S0701 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 W3_H06E0203 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 W3_H06E0203 +arc: S1_V02S0601 H06E0303 +arc: S1_V02S0701 H06W0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 H02W0601 +arc: V00B0100 H02W0501 +arc: V00T0000 V02S0601 +arc: V00T0100 N1_V02S0501 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0601 E3_H06W0303 +arc: W1_H02W0701 N1_V01S0100 +arc: W3_H06W0203 E1_H02W0401 +arc: W3_H06W0303 E1_H02W0601 +arc: A1 V02S0501 +arc: B1 V00B0000 +arc: B3 H01W0100 +arc: C1 E1_H01W0000 +arc: C3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D1 E1_H02W0201 +arc: D2 V00T0100 +arc: D3 H02E0201 +arc: E1_H01E0001 Q2 +arc: E1_H01E0101 F0 +arc: E1_H02E0201 Q2 +arc: E1_H02E0401 Q4 +arc: E1_H02E0601 Q6 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: H01W0000 F0 +arc: H01W0100 Q2 +arc: M0 V00B0100 +arc: M2 V00T0000 +arc: M4 H02W0401 +arc: M6 H02E0401 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: N3_V06N0003 F0 +arc: V01S0100 F0 +arc: W3_H06W0003 F0 +arc: W3_H06W0103 Q2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1100000011001111 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1001000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 + +.tile R52C23:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0601 N1_V01S0000 +arc: E3_H06E0203 N1_V01S0000 +arc: H00L0000 H02W0001 +arc: H00R0100 V02S0501 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 H01E0101 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 H01E0101 +arc: N3_V06N0203 H01E0001 +arc: N3_V06N0303 E1_H01W0100 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 S1_V02N0101 +arc: V00T0000 H02E0001 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E1_H01W0100 +arc: A3 H02E0701 +arc: A4 W1_H02E0501 +arc: A5 H02W0701 +arc: A6 V02N0101 +arc: A7 V02S0101 +arc: B1 E1_H02W0101 +arc: B3 H02W0101 +arc: B4 H00L0000 +arc: B5 V02N0701 +arc: B6 V02S0501 +arc: B7 N1_V02S0701 +arc: C0 H00R0100 +arc: C1 N1_V02S0601 +arc: C3 V02N0601 +arc: C4 V00T0100 +arc: C5 V00T0000 +arc: C6 V00B0100 +arc: C7 F6 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 F0 +arc: D3 N1_V02S0001 +arc: D4 E1_H02W0201 +arc: D5 V00B0000 +arc: D6 V02S0601 +arc: D7 H00L0100 +arc: E1_H01E0001 F4 +arc: E1_H01E0101 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F3 +arc: H01W0000 F0 +arc: H01W0100 Q0 +arc: LSR1 H02W0301 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR1 +arc: N1_V02N0001 F0 +arc: W1_H02W0501 F5 +arc: W3_H06W0103 F1 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1100010011110101 +word: SLICEC.K0.INIT 1000010000100001 +word: SLICEC.K1.INIT 1001000000001001 +word: SLICEA.K0.INIT 1111000000000000 +word: SLICEA.K1.INIT 0000111100001100 +word: SLICED.K0.INIT 1000000001000000 +word: SLICED.K1.INIT 1001000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R52C24:PLC2 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0601 N3_V06S0303 +arc: E3_H06E0003 W3_H06E0303 +arc: H00L0000 W1_H02E0201 +arc: H00L0100 S1_V02N0101 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 W1_H02E0701 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 W3_H06E0203 +arc: N1_V02N0501 N3_V06S0303 +arc: N3_V06N0003 V01N0001 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 H06E0203 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0301 V01N0101 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 V02S0201 +arc: V00T0000 V02S0401 +arc: V00T0100 V02S0701 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 N1_V01S0000 +arc: W1_H02W0101 N1_V01S0100 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0501 H01E0101 +arc: W1_H02W0701 N1_V02S0701 +arc: W3_H06W0203 N3_V06S0203 +arc: A1 H00L0100 +arc: A2 V00B0000 +arc: A3 V00T0000 +arc: A4 V00T0100 +arc: A5 N1_V01S0100 +arc: A7 S1_V02N0101 +arc: B1 W1_H02E0101 +arc: B2 H00R0000 +arc: B3 H02W0301 +arc: B4 H00R0000 +arc: B5 H02W0301 +arc: B7 W1_H02E0101 +arc: C1 V02N0601 +arc: C2 H00L0000 +arc: C3 W1_H02E0401 +arc: C4 N1_V02S0001 +arc: C5 W1_H02E0401 +arc: C7 H02E0601 +arc: D1 V00B0100 +arc: D2 V02N0001 +arc: D3 W1_H02E0001 +arc: D4 V02N0401 +arc: D5 H00R0100 +arc: D7 H01W0000 +arc: E1_H01E0001 F4 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F3 +arc: H01W0100 F0 +arc: M0 H02W0601 +arc: M6 N1_V01N0101 +arc: N1_V01N0101 F2 +arc: V00B0100 F5 +arc: W1_H02W0401 F6 +arc: W1_H02W0601 F6 +arc: W3_H06W0003 F0 +arc: W3_H06W0303 F6 +word: SLICEC.K0.INIT 1001000000001001 +word: SLICEC.K1.INIT 1001000000001001 +word: SLICEB.K0.INIT 1001000000001001 +word: SLICEB.K1.INIT 1000010000100001 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1000010000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1000010000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 + +.tile R52C25:PLC2 +arc: E1_H02E0001 V01N0001 +arc: E1_H02E0601 V01N0001 +arc: E3_H06E0303 W3_H06E0203 +arc: H00R0100 V02N0701 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0103 W3_H06E0103 +arc: N3_V06N0203 H06E0203 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0301 W3_H06E0003 +arc: S1_V02S0601 H02E0601 +arc: V00B0100 H02W0501 +arc: V00T0000 H02W0001 +arc: W1_H02W0101 N1_V01S0100 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0601 H01E0001 +arc: W3_H06W0203 S3_V06N0203 +arc: B1 Q1 +arc: B2 H00R0000 +arc: B6 V01S0000 +arc: C1 V02S0601 +arc: C2 H00R0100 +arc: C3 H00R0100 +arc: C5 V00B0100 +arc: C6 V02S0001 +arc: CLK0 G_HPBX0000 +arc: D1 H02W0201 +arc: D2 S1_V02N0001 +arc: D3 S1_V02N0001 +arc: D5 H00L0100 +arc: D6 E1_H01W0100 +arc: D7 F2 +arc: E1_H02E0101 Q1 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F3 +arc: H00R0000 Q6 +arc: H01W0000 Q1 +arc: LSR1 H02E0301 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F5 +arc: S1_V02S0101 Q1 +arc: S1_V02S0401 Q6 +arc: V01S0000 Q1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1100001100111100 +word: SLICEB.K0.INIT 0011001111111100 +word: SLICEB.K1.INIT 0000000011110000 +word: SLICED.K0.INIT 1100001100111100 +word: SLICED.K1.INIT 0000000011111111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R52C26:PLC2 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0601 E1_H01W0000 +arc: H00R0100 V02S0701 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0301 E1_H02W0301 +arc: S1_V02S0501 E3_H06W0303 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0103 W3_H06E0103 +arc: V00B0000 V02S0201 +arc: V00B0100 V02S0301 +arc: V00T0000 V02S0401 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0101 N1_V01S0100 +arc: W3_H06W0203 N1_V01S0000 +arc: W3_H06W0303 E3_H06W0303 +arc: A0 H00L0000 +arc: A1 V02S0501 +arc: A2 V00B0000 +arc: A4 V02N0301 +arc: A5 V02N0301 +arc: B0 H02E0101 +arc: B1 V02N0301 +arc: B2 V02S0101 +arc: B4 V02S0501 +arc: B5 V02S0501 +arc: B6 N1_V02S0701 +arc: C0 V02S0401 +arc: C1 W1_H02E0401 +arc: C2 H02W0601 +arc: C4 V00T0000 +arc: C5 V00T0000 +arc: C6 V02S0001 +arc: C7 V02S0001 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 F2 +arc: D1 V02N0201 +arc: D2 V00B0100 +arc: D4 F2 +arc: D5 F2 +arc: D6 H00R0100 +arc: D7 V02S0401 +arc: E1_H01E0001 F1 +arc: E1_H02E0701 Q7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H00R0000 F6 +arc: H01W0000 F2 +arc: H01W0100 F2 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: M2 H02E0601 +arc: M4 W1_H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 Q7 +arc: N1_V01N0101 Q4 +arc: N1_V02N0101 F1 +arc: S1_V02S0001 F2 +arc: V01S0000 Q0 +arc: V01S0100 Q0 +arc: W1_H02W0201 F2 +arc: W1_H02W0501 Q7 +word: SLICEA.K0.INIT 1010100101101010 +word: SLICEA.K1.INIT 0000000001111111 +word: SLICED.K0.INIT 0000111100111111 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1100100111001100 +word: SLICEC.K1.INIT 1100110001101100 +word: SLICEB.K0.INIT 0011101100110011 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R52C27:PLC2 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0201 N1_V01S0000 +arc: E1_H02E0301 S1_V02N0301 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0701 E1_H02W0701 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0501 N1_V02S0501 +arc: S1_V02S0601 N1_V02S0601 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N1_V02S0101 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0000 N1_V02S0201 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0601 V02S0601 +arc: CE0 H02E0101 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0003 Q0 +arc: H01W0000 Q6 +arc: M0 H02E0601 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: W3_H06W0003 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R52C28:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: H00L0100 S1_V02N0301 +arc: H00R0100 V02S0501 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0701 W1_H02E0701 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0303 N3_V06S0303 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 V02N0501 +arc: W1_H02W0301 N1_V01S0100 +arc: CE0 H00L0100 +arc: CE1 H00R0100 +arc: CE2 H00L0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0201 Q2 +arc: E1_H02E0401 Q6 +arc: M0 V00T0000 +arc: M2 V00B0000 +arc: M4 V00T0100 +arc: M6 N1_V01N0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: N3_V06N0103 Q2 +arc: N3_V06N0303 Q6 +arc: V00B0000 Q4 +arc: W3_H06W0103 Q2 +arc: W3_H06W0303 Q6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R52C29:PLC2 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0601 V06S0303 +arc: H00R0100 V02S0701 +arc: N1_V02N0001 S1_V02N0501 +arc: N3_V06N0003 S1_V02N0001 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0201 W1_H02E0201 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0100 V02N0101 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0701 W3_H06E0203 +arc: CE1 H00R0100 +arc: CE2 H02E0101 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0401 Q6 +arc: M2 N1_V01N0001 +arc: M4 V00T0100 +arc: M6 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q4 +arc: N1_V02N0201 Q2 +arc: N1_V02N0601 Q6 +arc: N3_V06N0103 Q2 +arc: N3_V06N0303 Q6 +arc: S3_V06S0103 Q2 +arc: W3_H06W0103 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R52C2:PLC2 +arc: N1_V02N0701 E1_H02W0701 +arc: S1_V02S0701 H06W0203 +arc: S3_V06S0203 H06W0203 + +.tile R52C30:PLC2 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0601 V02S0601 +arc: H00L0100 H02W0301 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0601 H02E0601 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0701 N1_V01S0100 +arc: S3_V06S0003 N3_V06S0303 +arc: V00B0000 W1_H02E0401 +arc: V00B0100 V02S0101 +arc: V00T0100 N1_V02S0501 +arc: A7 H00R0000 +arc: B7 V00T0000 +arc: C7 H02E0401 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D7 V00B0000 +arc: F7 F7_SLICE +arc: H00R0000 Q4 +arc: M0 H02E0601 +arc: M2 V00B0100 +arc: M4 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: S1_V02S0501 F7 +arc: V00T0000 Q2 +arc: V01S0000 Q0 +arc: V01S0100 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1000010000100001 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 + +.tile R52C31:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0601 W1_H02E0301 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 E1_H01W0100 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0501 E1_H01W0100 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0100 V02S0301 +arc: V00T0000 H02W0201 +arc: V00T0100 H02E0301 +arc: W1_H02W0301 N3_V06S0003 +arc: A4 N1_V01N0101 +arc: A5 N1_V01N0101 +arc: B4 H00R0000 +arc: B5 H00R0000 +arc: C4 V00T0000 +arc: C5 V00T0000 +arc: CE0 V02S0201 +arc: CE1 V02S0201 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D4 V02N0601 +arc: D5 V02N0601 +arc: F4 F5C_SLICE +arc: H00R0000 Q6 +arc: M0 H02E0601 +arc: M2 V00B0100 +arc: M4 V00T0100 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: S1_V02S0201 Q2 +arc: V01S0000 F4 +arc: V01S0100 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000001000000011 +word: SLICEC.K1.INIT 0000100000001100 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R52C32:PLC2 +arc: H00L0000 H02E0001 +arc: N1_V02N0001 S1_V02N0501 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0501 N1_V02S0501 +arc: V00B0000 H02E0601 +arc: V00B0100 V02S0101 +arc: W1_H02W0001 V06N0003 +arc: W1_H02W0401 V06S0203 +arc: CE0 H00L0000 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: H01W0100 Q6 +arc: M0 V00B0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: W1_H02W0201 Q0 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R52C33:PLC2 +arc: W1_H02W0001 W3_H06E0003 + +.tile R52C3:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 V02S0601 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 E1_H02W0701 +arc: N3_V06N0003 E3_H06W0003 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 E1_H01W0100 +arc: V00T0000 V02S0401 +arc: V00T0100 W1_H02E0101 +arc: CE0 H00R0000 +arc: CE1 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0303 Q6 +arc: M0 V00T0000 +arc: M2 V00T0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: S1_V02S0001 Q2 +arc: V01S0100 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R52C4:PLC2 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0601 V02N0601 +arc: H00R0000 H02E0401 +arc: H00R0100 H02W0701 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0401 E3_H06W0203 +arc: S1_V02S0001 H06W0003 +arc: S1_V02S0301 V01N0101 +arc: V00B0000 H02E0601 +arc: V00T0000 H02W0001 +arc: W1_H02W0701 S3_V06N0203 +arc: CE0 H00R0100 +arc: CE1 H00R0000 +arc: CE2 H02E0101 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: H01W0100 Q4 +arc: M0 H02E0601 +arc: M2 H02E0601 +arc: M4 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q0 +arc: S1_V02S0201 Q2 +arc: S3_V06S0203 Q4 +arc: V01S0000 Q0 +arc: V01S0100 Q6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R52C5:PLC2 +arc: E1_H02E0201 V06N0103 +arc: E1_H02E0301 V02N0301 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0701 E1_H02W0701 +arc: S3_V06S0003 E3_H06W0003 +arc: V00B0100 E1_H02W0501 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 E3_H06W0003 +arc: C7 V00B0100 +arc: CE1 H00R0100 +arc: CE2 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D7 V02N0601 +arc: E1_H01E0001 F7 +arc: E3_H06E0203 F7 +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: M2 V00T0100 +arc: M4 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q4 +arc: S1_V02S0001 Q2 +arc: S1_V02S0401 Q4 +arc: S3_V06S0203 F7 +arc: V01S0100 Q2 +arc: W1_H02W0701 F7 +arc: W3_H06W0203 F7 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 + +.tile R52C6:PLC2 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0601 V01N0001 +arc: E3_H06E0303 V06S0303 +arc: H00L0000 H02E0201 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 V02S0501 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0001 E1_H01W0000 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 H01E0001 +arc: V00T0000 E1_H02W0001 +arc: V01S0000 N3_V06S0103 +arc: A1 E1_H01E0001 +arc: B1 H00R0100 +arc: B2 H02E0301 +arc: B3 H02E0301 +arc: B5 H00R0000 +arc: C1 H02W0601 +arc: C2 H00L0000 +arc: C3 W1_H02E0601 +arc: C5 V01N0101 +arc: CE1 V02S0201 +arc: CLK0 G_HPBX0000 +arc: D1 H02W0201 +arc: D2 V02N0001 +arc: D3 N1_V01S0000 +arc: D5 S1_V02N0601 +arc: E1_H01E0001 Q2 +arc: E3_H06E0103 Q2 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F5 F5_SLICE +arc: M2 V00T0000 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000001100000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1001011100100101 +word: SLICEB.K0.INIT 1111110000110000 +word: SLICEB.K1.INIT 1111111111000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R52C7:PLC2 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0501 E1_H01W0100 +arc: H00L0000 V02N0001 +arc: H00R0000 V02N0401 +arc: H00R0100 V02N0701 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0301 E3_H06W0003 +arc: S1_V02S0501 H02W0501 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 V02N0301 +arc: V00T0000 V02N0601 +arc: V00T0100 H02W0101 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0301 E3_H06W0003 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 N3_V06S0303 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0003 E1_H01W0000 +arc: A1 V02S0701 +arc: A2 E1_H02W0501 +arc: A4 N1_V01N0101 +arc: A7 E1_H02W0501 +arc: B0 F1 +arc: B1 V00T0000 +arc: B2 H00R0100 +arc: B4 N1_V02S0501 +arc: B7 V00B0000 +arc: C0 H02W0601 +arc: C1 E1_H01W0000 +arc: C2 H00L0000 +arc: C4 V00T0100 +arc: C7 V02N0001 +arc: CE1 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 E1_H02W0001 +arc: D2 E1_H02W0201 +arc: D4 E1_H02W0201 +arc: D7 E1_H02W0201 +arc: E1_H01E0001 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q2 +arc: H01W0100 F7 +arc: LSR1 V00B0100 +arc: M2 H02E0601 +arc: M4 H02E0401 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: N1_V01N0101 Q4 +arc: S3_V06S0003 F0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111111011001100 +word: SLICEA.K0.INIT 0011000000000000 +word: SLICEA.K1.INIT 1010101010000000 +word: SLICEC.K0.INIT 0010101010101010 +word: SLICEC.K1.INIT 1111111111111111 +word: SLICEB.K0.INIT 0000000100110011 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R52C8:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 N3_V06S0303 +arc: H00R0100 V02N0501 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 N1_V01S0000 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S1_V02N0101 +arc: S1_V02S0101 S3_V06N0103 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0701 H06W0203 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02S0001 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 V02S0601 +arc: V00T0100 V02S0501 +arc: V01S0000 N3_V06S0103 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0301 N3_V06S0003 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 E1_H02W0601 +arc: A2 H02E0501 +arc: A3 S1_V02N0501 +arc: A5 V02N0101 +arc: B2 H02E0101 +arc: B3 H00R0100 +arc: B5 V02N0501 +arc: C2 H00L0100 +arc: C3 V02N0401 +arc: C4 V02S0201 +arc: C5 V02N0001 +arc: CE0 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D2 H02W0001 +arc: D3 V02S0001 +arc: D4 H02W0201 +arc: D5 V00B0000 +arc: E1_H01E0001 F5 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00L0100 F3 +arc: H00R0000 F4 +arc: H01W0000 F3 +arc: H01W0100 Q6 +arc: LSR0 V00T0000 +arc: LSR1 V00T0000 +arc: M0 V00B0100 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 Q0 +arc: S1_V02S0001 F2 +arc: W1_H02W0101 F3 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1010101010000000 +word: SLICEB.K1.INIT 0010000000000000 +word: SLICEC.K0.INIT 1111000000000000 +word: SLICEC.K1.INIT 0010000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 + +.tile R52C9:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0401 N3_V06S0203 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 N3_V06S0203 +arc: E3_H06E0003 N1_V01S0000 +arc: H00R0000 H02E0401 +arc: H00R0100 E1_H02W0701 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0003 E1_H01W0000 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 S3_V06N0303 +arc: S3_V06S0103 H06E0103 +arc: V00T0100 H02W0301 +arc: V01S0000 N3_V06S0103 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0701 N3_V06S0203 +arc: W3_H06W0003 E3_H06W0303 +arc: B1 S1_V02N0101 +arc: B5 H02E0301 +arc: C1 H02W0401 +arc: C5 V00T0100 +arc: CE0 H00R0000 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D1 V02N0201 +arc: D4 V02S0401 +arc: D5 H00L0100 +arc: E3_H06E0203 Q4 +arc: F1 F1_SLICE +arc: F4 F5C_SLICE +arc: H00L0100 F1 +arc: H01W0100 Q1 +arc: M4 E1_H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q4 +arc: N3_V06N0203 Q4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1100111111000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R53C10:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0501 N3_V06S0303 +arc: E3_H06E0103 W3_H06E0003 +arc: H00L0000 V02S0201 +arc: H00L0100 E1_H02W0301 +arc: H00R0000 N1_V02S0601 +arc: H00R0100 H02W0701 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0203 H01E0001 +arc: V00B0000 H02W0401 +arc: V00B0100 V02S0101 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0303 E3_H06W0203 +arc: B1 H01W0100 +arc: B3 H02E0301 +arc: B4 N1_V01S0000 +arc: B6 V01S0000 +arc: C1 H00L0100 +arc: C3 H00L0000 +arc: C4 N1_V02S0201 +arc: C6 H02W0601 +arc: C7 H02E0401 +arc: CE0 H00R0100 +arc: CE1 V02N0201 +arc: CE2 E1_H02W0101 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V01S0100 +arc: D3 V00T0100 +arc: D4 V02S0401 +arc: D5 H02E0201 +arc: D6 H02W0201 +arc: D7 W1_H02E0001 +arc: E1_H01E0001 F4 +arc: E3_H06E0003 Q0 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q6 +arc: H01W0100 Q3 +arc: M0 V00B0000 +arc: M4 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: N1_V02N0001 Q0 +arc: N3_V06N0003 Q0 +arc: N3_V06N0203 F7 +arc: S3_V06S0303 Q6 +arc: V01S0000 Q4 +arc: V01S0100 F3 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1100111111000000 +word: SLICEC.K0.INIT 1100110011110000 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R53C11:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 V01N0001 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 N3_V06S0203 +arc: E1_H02E0501 V06S0303 +arc: E1_H02E0601 V01N0001 +arc: H00L0100 V02N0101 +arc: H00R0100 W1_H02E0501 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 V01N0101 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0501 W3_H06E0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 S1_V02N0301 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0601 V01N0001 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0303 E3_H06W0203 +arc: B5 S1_V02N0701 +arc: C5 V00B0100 +arc: C7 V00T0000 +arc: CE0 H00R0100 +arc: CE2 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D5 H00L0100 +arc: D7 W1_H02E0001 +arc: E1_H01E0101 Q5 +arc: E3_H06E0203 Q7 +arc: E3_H06E0303 Q5 +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: M0 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q5 +arc: N1_V01N0101 Q5 +arc: V00T0000 Q0 +arc: V01S0000 Q5 +arc: V01S0100 Q5 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000011000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R53C12:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0003 W3_H06E0303 +arc: H00L0000 H02W0001 +arc: H00L0100 H02E0101 +arc: H00R0000 H02E0401 +arc: H00R0100 H02E0501 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 S1_V02N0301 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 H06W0103 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0601 V01N0001 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0100 H02W0501 +arc: V00T0100 V02S0701 +arc: V01S0000 N3_V06S0103 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0501 S3_V06N0303 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E3_H06W0303 +arc: A2 H02E0501 +arc: A5 E1_H02W0701 +arc: B0 H01W0100 +arc: B2 H00R0000 +arc: B4 V02S0501 +arc: B5 V00B0100 +arc: B6 V02N0701 +arc: C0 H02E0601 +arc: C1 N1_V01N0001 +arc: C2 H02W0401 +arc: C3 H00R0100 +arc: C4 V02N0201 +arc: C5 H01E0001 +arc: C6 S1_V02N0001 +arc: C7 E1_H01E0101 +arc: CE0 H00L0000 +arc: CE1 H02W0101 +arc: CE3 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 F2 +arc: D1 N1_V02S0001 +arc: D2 V00T0100 +arc: D3 N1_V02S0201 +arc: D4 H01W0000 +arc: D5 W1_H02E0001 +arc: D6 H00L0100 +arc: D7 E1_H01W0100 +arc: E1_H01E0001 F4 +arc: E1_H01E0101 Q6 +arc: E3_H06E0103 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F5 +arc: H01W0100 Q2 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 F7 +arc: N1_V02N0301 F3 +arc: S3_V06S0003 Q0 +arc: W3_H06W0003 Q0 +word: SLICEB.K0.INIT 1010101010101100 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0000000000111111 +word: SLICEC.K1.INIT 1110000000000000 +word: SLICED.K0.INIT 0000000000000011 +word: SLICED.K1.INIT 1111000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 + +.tile R53C13:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 W1_H02E0301 +arc: H00L0100 V02S0101 +arc: H00R0000 V02S0401 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 E1_H01W0100 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 H01E0101 +arc: V00B0000 V02S0201 +arc: V00B0100 V02S0301 +arc: V00T0000 H02W0201 +arc: V00T0100 V02S0701 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0401 N1_V02S0401 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 V01N0001 +arc: W3_H06W0203 V01N0001 +arc: A3 H00L0100 +arc: B0 E1_H02W0101 +arc: B3 V02N0301 +arc: B4 V00B0100 +arc: B5 V02N0501 +arc: B6 V00B0100 +arc: B7 V02S0501 +arc: C0 H02W0601 +arc: C3 S1_V02N0401 +arc: C4 S1_V02N0201 +arc: C5 V02N0001 +arc: C6 V00T0100 +arc: C7 V02N0001 +arc: CE2 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 H00R0000 +arc: D3 H02E0001 +arc: D4 V00B0000 +arc: D5 V02S0601 +arc: D6 V02S0401 +arc: D7 H01W0000 +arc: E1_H02E0501 F5 +arc: E3_H06E0003 Q0 +arc: E3_H06E0203 F7 +arc: E3_H06E0303 F5 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: M0 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F4 +arc: N1_V01N0101 Q6 +arc: N3_V06N0203 F7 +arc: S1_V02S0101 F3 +arc: V01S0100 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1110101000000000 +word: SLICED.K0.INIT 1111110000110000 +word: SLICED.K1.INIT 0011000000111111 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 0011000000111111 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R53C14:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0101 V06N0103 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0003 N3_V06S0003 +arc: E3_H06E0103 V01N0101 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 V02N0001 +arc: H00L0100 V02S0301 +arc: H00R0000 V02N0401 +arc: H00R0100 W1_H02E0701 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 S1_V02N0301 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 V01N0001 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 H02W0601 +arc: V00T0000 N1_V02S0601 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0201 V06N0103 +arc: W1_H02W0301 E3_H06W0003 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 E3_H06W0003 +arc: A1 H00L0100 +arc: A5 E1_H02W0701 +arc: A7 H02W0701 +arc: B0 V02S0101 +arc: B1 H02E0301 +arc: B3 W1_H02E0301 +arc: B5 V02S0701 +arc: B7 V00B0000 +arc: C0 V02N0601 +arc: C1 N1_V01N0001 +arc: C3 H02W0601 +arc: C5 V00T0000 +arc: C7 V00T0000 +arc: CE0 H00L0000 +arc: CE1 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 V00T0100 +arc: D3 H00R0000 +arc: D5 H00R0100 +arc: D7 H00R0100 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 Q3 +arc: S1_V02S0701 F5 +arc: V00T0100 F3 +arc: V01S0000 Q0 +arc: V01S0100 F1 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1001011100011001 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1001011100011001 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 0110001011111011 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 + +.tile R53C15:PLC2 +arc: E1_H02E0001 V01N0001 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 N3_V06S0203 +arc: H00L0000 H02W0001 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 S1_V02N0601 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 E3_H06W0103 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0301 E1_H01W0100 +arc: S1_V02S0401 N1_V01S0000 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0103 N3_V06S0003 +arc: V00B0000 V02S0001 +arc: V00B0100 H02E0501 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 H02E0101 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0501 N3_V06S0303 +arc: W1_H02W0601 H01E0001 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0203 +arc: B1 V02S0301 +arc: B3 V02N0301 +arc: B4 H02W0101 +arc: B6 E1_H02W0301 +arc: B7 E1_H02W0301 +arc: C1 H02E0601 +arc: C3 H00L0000 +arc: C4 S1_V02N0201 +arc: C6 E1_H02W0601 +arc: C7 E1_H02W0401 +arc: CE0 V02N0201 +arc: CE1 H00R0000 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D1 V02S0001 +arc: D2 H02E0001 +arc: D3 H02W0201 +arc: D4 V00B0000 +arc: D5 H02E0201 +arc: D6 H00R0100 +arc: D7 N1_V02S0401 +arc: E1_H01E0001 F1 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q4 +arc: E3_H06E0303 Q6 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q6 +arc: M2 V00T0000 +arc: M4 V00T0100 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S3_V06S0203 Q4 +arc: S3_V06S0303 Q6 +arc: V01S0100 Q1 +arc: W3_H06W0103 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 1111110000110000 +word: SLICED.K1.INIT 1111111111000000 +word: SLICEC.K0.INIT 1111110000001100 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1100111111000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R53C16:PLC2 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0601 E3_H06W0303 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0103 W3_H06E0003 +arc: H00L0000 N1_V02S0001 +arc: H00L0100 E1_H02W0301 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 N1_V01S0000 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 E1_H01W0100 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02N0201 +arc: V00B0100 V02S0301 +arc: V00T0100 V02N0501 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 V06S0003 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 E3_H06W0103 +arc: A1 H00L0100 +arc: B1 N1_V02S0301 +arc: B2 N1_V02S0301 +arc: B4 H02E0301 +arc: B5 V01S0000 +arc: B6 S1_V02N0701 +arc: C1 E1_H01W0000 +arc: C2 E1_H01W0000 +arc: C3 N1_V01N0001 +arc: C4 H02E0401 +arc: C5 V02N0001 +arc: C6 V02S0001 +arc: CE0 H00L0000 +arc: CE1 H00L0000 +arc: CE2 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0201 +arc: D1 H00R0000 +arc: D2 H00R0000 +arc: D3 V02S0201 +arc: D4 E1_H02W0201 +arc: D5 H01W0000 +arc: D6 V00B0000 +arc: D7 E1_H02W0001 +arc: E1_H01E0001 F3 +arc: E3_H06E0003 Q0 +arc: E3_H06E0303 F5 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00R0000 F6 +arc: H01W0000 F4 +arc: H01W0100 Q0 +arc: M0 V00T0100 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 Q2 +arc: N3_V06N0303 F5 +arc: V01S0000 Q4 +arc: W3_H06W0103 Q2 +word: SLICEB.K0.INIT 1111001111000000 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 0011000000111111 +word: SLICED.K0.INIT 1111001100000011 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 0000000011111111 +word: SLICEA.K1.INIT 1111011111010101 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 + +.tile R53C17:PLC2 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0401 N1_V02S0401 +arc: E1_H02E0601 N3_V06S0303 +arc: E1_H02E0701 N1_V02S0701 +arc: E3_H06E0003 V01N0001 +arc: H00L0000 S1_V02N0001 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 V02S0501 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0003 H01E0001 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0601 N1_V01S0000 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 N1_V02S0101 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0501 E1_H01W0100 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 E3_H06W0203 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0203 V01N0001 +arc: A3 H02W0701 +arc: A5 V02N0301 +arc: A7 H02W0701 +arc: B0 S1_V02N0301 +arc: B1 H02E0101 +arc: B3 N1_V02S0101 +arc: B5 H02E0301 +arc: B7 V00B0100 +arc: C0 V02N0601 +arc: C1 H00R0100 +arc: C2 H02W0401 +arc: C3 H00L0000 +arc: C5 H02E0401 +arc: C6 H02W0401 +arc: C7 S1_V02N0001 +arc: CE0 E1_H02W0101 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 W1_H02E0201 +arc: D1 H02E0201 +arc: D2 H00R0000 +arc: D3 H00R0000 +arc: D4 V00B0000 +arc: D5 V02S0601 +arc: D6 W1_H02E0001 +arc: D7 W1_H02E0001 +arc: E1_H01E0001 F6 +arc: E1_H01E0101 F0 +arc: E1_H02E0001 F2 +arc: E3_H06E0103 F1 +arc: E3_H06E0203 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F1 +arc: H01W0100 Q4 +arc: M2 H02W0601 +arc: M4 V00T0100 +arc: M6 N1_V01N0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q1 +arc: V01S0100 Q4 +arc: W1_H02W0201 F0 +word: SLICEA.K0.INIT 0000000000111111 +word: SLICEA.K1.INIT 0000110000111111 +word: SLICEC.K0.INIT 0000000011111111 +word: SLICEC.K1.INIT 1111011111010101 +word: SLICED.K0.INIT 1111111100001111 +word: SLICED.K1.INIT 1010100000000000 +word: SLICEB.K0.INIT 1111111100001111 +word: SLICEB.K1.INIT 1010100000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R53C18:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 H01E0001 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0501 S3_V06N0303 +arc: E1_H02E0701 W1_H02E0701 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W1_H02E0701 +arc: H00L0000 H02W0001 +arc: H00L0100 H02W0301 +arc: H00R0000 N1_V02S0601 +arc: H00R0100 H02W0501 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 H02E0601 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S1_V02N0101 +arc: S1_V02S0001 H06W0003 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0301 E3_H06W0003 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 V02S0101 +arc: V00T0000 S1_V02N0401 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0601 V01N0001 +arc: W1_H02W0701 N3_V06S0203 +arc: A6 V02N0101 +arc: A7 S1_V02N0301 +arc: B2 V02S0301 +arc: B3 V02N0301 +arc: B4 V02N0501 +arc: B5 W1_H02E0101 +arc: B6 V02N0701 +arc: B7 V01S0000 +arc: C2 H00L0000 +arc: C3 H00L0100 +arc: C4 V00T0000 +arc: C5 V02N0001 +arc: C6 E1_H02W0401 +arc: C7 H02E0401 +arc: CE0 H00R0000 +arc: CE2 H02W0101 +arc: CE3 N1_V02S0601 +arc: CLK0 G_HPBX0000 +arc: D2 H02W0201 +arc: D3 H02E0201 +arc: D4 V00B0000 +arc: D5 E1_H02W0201 +arc: D6 V02N0401 +arc: D7 H01W0000 +arc: E1_H01E0001 F4 +arc: E1_H02E0601 F6 +arc: E3_H06E0303 F6 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: H01W0100 Q7 +arc: M0 V00B0100 +arc: M1 H00R0100 +arc: M2 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V02N0301 Q1 +arc: N1_V02N0401 F6 +arc: N1_V02N0701 Q5 +arc: N3_V06N0203 Q7 +arc: N3_V06N0303 F6 +arc: S3_V06S0203 Q7 +arc: V01S0000 F6 +arc: V01S0100 F4 +arc: W3_H06W0203 Q7 +arc: W3_H06W0303 F6 +word: SLICEC.K0.INIT 0000000000111111 +word: SLICEC.K1.INIT 0000110000111111 +word: SLICEA.K0.INIT 1111111111111111 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEB.K0.INIT 1111001100000000 +word: SLICEB.K1.INIT 1111000011000000 +word: SLICED.K0.INIT 0001110101010101 +word: SLICED.K1.INIT 1110110010100000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R53C19:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 V02N0501 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0100 H02W0301 +arc: H00R0000 S1_V02N0601 +arc: H00R0100 V02S0501 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0103 H06E0103 +arc: N3_V06N0203 H06W0203 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 V01N0001 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0103 N1_V02S0101 +arc: S3_V06S0303 N1_V02S0601 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 V02S0301 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0601 H01E0001 +arc: W3_H06W0303 E1_H02W0501 +arc: B0 V02N0101 +arc: B1 V02N0301 +arc: B2 H00R0000 +arc: B3 V02N0301 +arc: B6 V00B0000 +arc: B7 H02E0301 +arc: C0 W1_H02E0601 +arc: C1 H00L0000 +arc: C2 W1_H02E0601 +arc: C3 H00L0100 +arc: C5 V02N0001 +arc: C6 W1_H02E0601 +arc: C7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V02S0201 +arc: D1 V02S0001 +arc: D2 H02E0201 +arc: D3 V02N0001 +arc: D5 V02S0601 +arc: D6 W1_H02E0001 +arc: D7 H00R0100 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 F2 +arc: E1_H02E0101 F3 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 F0 +arc: H01W0000 F2 +arc: H01W0100 F6 +arc: LSR0 H02E0501 +arc: LSR1 H02E0501 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F0 +arc: S1_V02S0001 Q0 +arc: S1_V02S0601 F6 +arc: V01S0000 F1 +arc: V01S0100 Q2 +arc: W1_H02W0301 F1 +arc: W1_H02W0501 F5 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1100000011001111 +word: SLICED.K1.INIT 0000000000111111 +word: SLICEA.K0.INIT 1100000011001111 +word: SLICEA.K1.INIT 0000000011110011 +word: SLICEB.K0.INIT 1100000011001111 +word: SLICEB.K1.INIT 0000000011110011 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R53C20:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0601 E1_H01W0000 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0303 V01N0101 +arc: H00L0000 V02S0001 +arc: H00L0100 N1_V02S0101 +arc: H00R0000 V02S0401 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 H06W0103 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02N0201 +arc: V00B0100 H02E0501 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 S1_V02N0501 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0501 V06N0303 +arc: W1_H02W0601 V02N0601 +arc: A1 H00L0000 +arc: A3 V02S0501 +arc: A6 H00R0000 +arc: B1 H02E0101 +arc: B2 H02E0101 +arc: B3 H00R0100 +arc: B4 V02N0501 +arc: B5 V02N0501 +arc: B6 E1_H02W0101 +arc: C1 N1_V01S0100 +arc: C2 N1_V01S0100 +arc: C3 H00L0100 +arc: C4 V00T0100 +arc: C5 V00T0000 +arc: C6 H01E0001 +arc: CE0 H02W0101 +arc: CE1 H02W0101 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0001 +arc: D1 V02S0201 +arc: D2 V02S0201 +arc: D3 V02N0001 +arc: D4 E1_H02W0201 +arc: D5 H01W0000 +arc: D6 V02N0401 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q4 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F6 +arc: H01W0100 Q4 +arc: M0 W1_H02E0601 +arc: M4 V00B0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F3 +arc: S3_V06S0203 Q4 +arc: V01S0000 Q0 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q4 +arc: W3_H06W0303 Q6 +word: SLICEB.K0.INIT 1111000011111100 +word: SLICEB.K1.INIT 0000000100000011 +word: SLICEC.K0.INIT 1111110000110000 +word: SLICEC.K1.INIT 1111111111000000 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1111101011111110 +word: SLICED.K0.INIT 1100111101001111 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R53C21:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 N3_V06S0203 +arc: E1_H02E0601 H01E0001 +arc: E1_H02E0701 V02S0701 +arc: H00L0100 N1_V02S0101 +arc: H00R0000 H02W0401 +arc: H01W0000 W3_H06E0103 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0601 W3_H06E0303 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02S0001 +arc: V00B0100 S1_V02N0301 +arc: V00T0100 H02W0301 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 S3_V06N0303 +arc: W1_H02W0701 N1_V01S0100 +arc: W3_H06W0003 V06S0003 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0303 +arc: A1 V02N0501 +arc: A2 H02W0501 +arc: A3 V00T0000 +arc: A7 V02N0101 +arc: B1 H02E0101 +arc: B2 E1_H02W0101 +arc: B3 W1_H02E0301 +arc: B5 N1_V01S0000 +arc: B6 H02E0101 +arc: B7 V00B0100 +arc: C1 H02W0601 +arc: C2 W1_H02E0401 +arc: C3 S1_V02N0601 +arc: C5 V02S0201 +arc: C6 V00T0100 +arc: C7 H02E0601 +arc: CE1 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D1 V00B0100 +arc: D2 W1_H02E0201 +arc: D3 H00R0000 +arc: D5 V00B0000 +arc: D6 H00R0100 +arc: D7 H02E0201 +arc: E1_H01E0101 F5 +arc: E3_H06E0103 Q2 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F1 +arc: S1_V02S0401 Q6 +arc: S3_V06S0103 Q2 +arc: V00T0000 Q2 +arc: V01S0000 F3 +arc: W3_H06W0103 Q2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000011111100 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1110111011100000 +word: SLICED.K0.INIT 0011000000000000 +word: SLICED.K1.INIT 0000010000000000 +word: SLICEB.K0.INIT 1110110010100000 +word: SLICEB.K1.INIT 1001000000001001 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R53C22:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0401 N1_V01S0000 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0601 E1_H01W0000 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 W1_H02E0401 +arc: H00R0100 H02E0701 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 W3_H06E0303 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0203 S1_V02N0401 +arc: S1_V02S0101 W3_H06E0103 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0100 H02W0501 +arc: V00T0100 V02S0701 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 E3_H06W0103 +arc: W1_H02W0301 V06N0003 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0501 E1_H01W0100 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 N1_V01S0100 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E1_H02W0501 +arc: B0 E1_H02W0101 +arc: B4 H02E0301 +arc: B5 H02E0101 +arc: C0 V02S0401 +arc: C1 N1_V01N0001 +arc: C4 V00T0100 +arc: C5 V02N0001 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0001 +arc: D1 V00B0100 +arc: D4 H00R0100 +arc: D5 V00B0000 +arc: E1_H01E0101 Q2 +arc: E1_H02E0701 F5 +arc: E3_H06E0103 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0100 Q4 +arc: M2 W1_H02E0601 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V02N0301 F1 +arc: N3_V06N0303 F5 +arc: S1_V02S0001 Q2 +arc: V00B0000 F4 +arc: V01S0100 F1 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 F1 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 0011000000111111 +word: SLICEA.K0.INIT 1111110000000000 +word: SLICEA.K1.INIT 1111000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R53C23:PLC2 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0601 N1_V02S0601 +arc: E3_H06E0103 N3_V06S0103 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 N3_V06S0303 +arc: H00R0100 H02E0501 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0301 H01E0101 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 E3_H06W0203 +arc: S1_V02S0301 H01E0101 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0100 V02S0301 +arc: V00T0000 V02N0601 +arc: V00T0100 V02S0701 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0501 E1_H02W0501 +arc: W3_H06W0003 N3_V06S0003 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E1_H02W0501 +arc: B4 V00B0100 +arc: B5 H00R0000 +arc: B7 V01S0000 +arc: C4 H02W0601 +arc: C5 V02N0201 +arc: C7 E1_H02W0401 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D4 H02E0201 +arc: D5 V00B0000 +arc: D6 V02N0401 +arc: D7 N1_V02S0401 +arc: E1_H01E0001 Q0 +arc: E1_H01E0101 F5 +arc: E1_H02E0001 Q0 +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00R0000 Q4 +arc: H01W0000 Q6 +arc: H01W0100 Q6 +arc: M0 V00T0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0303 Q6 +arc: V00B0000 F4 +arc: V01S0000 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1111001111000000 +word: SLICEC.K1.INIT 0011000000111111 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1100110000001111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R53C24:PLC2 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0501 E1_H01W0100 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 H02W0201 +arc: H00R0000 H02W0601 +arc: H00R0100 W1_H02E0501 +arc: H01W0100 W3_H06E0303 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0203 S1_V02N0701 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0601 N1_V02S0301 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 V02N0001 +arc: V00B0100 V02S0101 +arc: V00T0000 H02W0001 +arc: V00T0100 H02E0101 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 N1_V01S0000 +arc: W3_H06W0003 N3_V06S0003 +arc: A3 E1_H02W0501 +arc: B3 H00R0100 +arc: C3 H00L0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D2 H02E0201 +arc: D3 V00B0100 +arc: E1_H01E0101 Q4 +arc: F2 F5B_SLICE +arc: M0 H02E0601 +arc: M2 V00B0000 +arc: M4 V00T0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: V01S0100 Q0 +arc: W3_H06W0103 F2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1100110010101100 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 + +.tile R53C25:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0501 V06S0303 +arc: E3_H06E0103 N3_V06S0103 +arc: H00L0100 N1_V02S0301 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 H01E0101 +arc: N3_V06N0103 H06E0103 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02S0001 +arc: V00B0100 V02S0101 +arc: V00T0000 V02S0401 +arc: V00T0100 H02W0301 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 S1_V02N0601 +arc: A4 H02E0501 +arc: A6 H02E0501 +arc: B2 H02W0101 +arc: B3 H02W0101 +arc: B4 H02W0301 +arc: B6 H02W0301 +arc: B7 H02E0101 +arc: C2 N1_V02S0601 +arc: C3 N1_V02S0601 +arc: C4 V00T0000 +arc: C5 V02N0201 +arc: C6 V00T0000 +arc: C7 V00T0100 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 H00R0000 +arc: D2 V02S0201 +arc: D3 V02S0201 +arc: D4 H00R0100 +arc: D5 V00B0000 +arc: D6 H00R0100 +arc: D7 V02S0401 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 F6 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 F4 +arc: H00R0100 F5 +arc: H01W0100 Q1 +arc: LSR1 H02E0301 +arc: M0 V00B0100 +arc: M1 H00L0100 +arc: M2 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR1 +arc: N1_V01N0001 F5 +arc: N1_V02N0701 F7 +arc: V01S0000 F5 +word: SLICED.K0.INIT 1100110011001000 +word: SLICED.K1.INIT 0000000000000011 +word: SLICEC.K0.INIT 0101010101011011 +word: SLICEC.K1.INIT 0000111100000000 +word: SLICEA.K0.INIT 0000000011111111 +word: SLICEA.K1.INIT 0000000011111111 +word: SLICEB.K0.INIT 1100001111001100 +word: SLICEB.K1.INIT 1100110000111100 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R53C26:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0601 V06S0303 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0203 N3_V06S0203 +arc: H00L0000 N1_V02S0001 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 H01E0001 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S1_V02N0401 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 N1_V02S0601 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02S0001 +arc: V00B0100 H02W0701 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0101 N1_V01S0100 +arc: W1_H02W0501 W3_H06E0303 +arc: B4 N1_V01S0000 +arc: B5 N1_V01S0000 +arc: B6 N1_V01S0000 +arc: B7 N1_V01S0000 +arc: C4 V00T0000 +arc: C5 V00T0000 +arc: C6 V00T0000 +arc: C7 V00T0000 +arc: CLK0 G_HPBX0000 +arc: D0 H01E0101 +arc: D1 H01E0101 +arc: D2 H01E0101 +arc: D3 H01E0101 +arc: D4 V00B0000 +arc: D5 V00B0000 +arc: D6 V00B0000 +arc: D7 V00B0000 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: LSR1 V00B0100 +arc: M0 V00T0100 +arc: M1 H02E0001 +arc: M2 V00T0100 +arc: M3 H00L0000 +arc: M4 V00T0100 +arc: M5 H02E0001 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXLSR1 LSR1 +arc: W1_H02W0301 Q3 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 0000000011000000 +word: SLICEC.K1.INIT 1111111100111111 +word: SLICED.K0.INIT 0000001100000000 +word: SLICED.K1.INIT 1111110011111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R53C27:PLC2 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0401 E1_H01W0000 +arc: H00R0000 V02N0401 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 N3_V06S0303 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0201 V01N0001 +arc: S3_V06S0103 H01E0101 +arc: V00T0000 H02E0201 +arc: W1_H02W0701 S3_V06N0203 +arc: CE0 V02N0201 +arc: CE1 H00R0000 +arc: CE2 V02N0601 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0103 Q2 +arc: E3_H06E0303 Q6 +arc: H01W0000 Q0 +arc: M0 H02E0601 +arc: M2 V00B0000 +arc: M4 V00T0000 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0601 Q6 +arc: N3_V06N0103 Q2 +arc: V00B0000 Q4 +arc: W3_H06W0103 Q2 +arc: W3_H06W0303 Q6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R53C28:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 V01N0001 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0303 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 N3_V06S0303 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0601 N1_V02S0601 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 W3_H06E0003 +arc: V00B0000 V02N0201 +arc: V00B0100 W1_H02E0701 +arc: V01S0000 N3_V06S0103 +arc: CE0 S1_V02N0201 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q4 +arc: E1_H02E0001 Q2 +arc: E1_H02E0601 Q4 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q4 +arc: H01W0000 Q6 +arc: M0 V00B0100 +arc: M2 V00T0000 +arc: M4 H02W0401 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0001 Q2 +arc: N1_V02N0601 Q4 +arc: N3_V06N0203 Q4 +arc: V00T0000 Q0 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R53C29:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 V06N0103 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0000 W1_H02E0201 +arc: H00R0100 N1_V02S0701 +arc: N1_V02N0101 V01N0101 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 N1_V02S0301 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 V02N0301 +arc: V00T0000 H02E0201 +arc: W1_H02W0401 V02N0401 +arc: A0 H02E0701 +arc: A1 E1_H02W0701 +arc: B0 V02S0101 +arc: B1 V00B0000 +arc: C0 H00L0000 +arc: C1 V02N0601 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0201 +arc: D1 V00B0100 +arc: E1_H01E0101 Q2 +arc: E1_H02E0601 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: M2 N1_V01N0001 +arc: M4 W1_H02E0401 +arc: M6 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V02N0201 Q2 +arc: N1_V02N0301 F1 +arc: N1_V02N0601 Q4 +arc: N3_V06N0003 F0 +arc: N3_V06N0103 Q2 +arc: N3_V06N0203 Q4 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000100000000 +word: SLICEA.K1.INIT 1000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R53C2:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0401 S1_V02N0401 +arc: H00R0100 V02S0701 +arc: S1_V02S0301 E1_H01W0100 +arc: CE1 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q2 +arc: E1_H02E0201 Q2 +arc: M2 H02E0601 +arc: MUXCLK1 CLK0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R53C30:PLC2 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0601 W1_H02E0601 +arc: E3_H06E0203 N3_V06S0203 +arc: H00L0000 V02S0201 +arc: H00L0100 V02S0301 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 V02S0501 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0501 N3_V06S0303 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 H06E0303 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 H06E0303 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 W3_H06E0203 +arc: V00B0000 S1_V02N0201 +arc: V00T0000 V02N0401 +arc: V00T0100 V02N0501 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0201 S3_V06N0103 +arc: A1 E1_H01E0001 +arc: A3 V02S0701 +arc: A6 H02E0701 +arc: A7 N1_V01S0100 +arc: B1 V01N0001 +arc: B2 H00R0100 +arc: B3 H00L0000 +arc: B6 N1_V01S0000 +arc: B7 H02E0301 +arc: C1 V02N0401 +arc: C2 N1_V01N0001 +arc: C3 H02E0401 +arc: C6 V00T0000 +arc: C7 E1_H02W0601 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D1 H00R0000 +arc: D2 E1_H02W0201 +arc: D3 V00B0100 +arc: D6 V00B0000 +arc: D7 V00B0000 +arc: E1_H01E0001 Q4 +arc: E1_H01E0101 F2 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: H01W0100 Q4 +arc: M4 V00T0100 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F3 +arc: S1_V02S0401 F6 +arc: V00B0100 F7 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1000110000100011 +word: SLICEB.K0.INIT 1100000000000000 +word: SLICEB.K1.INIT 1001000000000000 +word: SLICED.K0.INIT 1111010100110001 +word: SLICED.K1.INIT 1000101011001111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R53C31:PLC2 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0501 V02S0501 +arc: H00L0000 V02S0201 +arc: H00R0100 H02E0501 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0601 W1_H02E0601 +arc: N3_V06N0103 H06E0103 +arc: N3_V06N0203 H06E0203 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 H06E0303 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 V02S0201 +arc: V00B0100 V02N0101 +arc: W1_H02W0701 H01E0101 +arc: A1 H00L0000 +arc: A3 V02N0501 +arc: A4 E1_H01W0000 +arc: A5 E1_H01W0000 +arc: A7 N1_V01S0100 +arc: B1 E1_H01W0100 +arc: B2 V02S0101 +arc: B3 H00R0100 +arc: B4 H02E0301 +arc: B5 H02E0301 +arc: B7 V00B0000 +arc: C1 H02E0601 +arc: C2 H02W0401 +arc: C3 H00L0100 +arc: C4 S1_V02N0001 +arc: C5 V02N0001 +arc: C7 W1_H02E0601 +arc: D1 W1_H02E0001 +arc: D2 V00T0100 +arc: D3 N1_V01S0000 +arc: D4 H02W0001 +arc: D5 H00R0100 +arc: D7 W1_H02E0001 +arc: E1_H01E0001 F4 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F1 +arc: M6 V00B0100 +arc: S1_V02S0001 F2 +arc: S1_V02S0701 F5 +arc: V00T0100 F3 +arc: W1_H02W0401 F6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1100111101000101 +word: SLICEC.K0.INIT 1101110100001101 +word: SLICEC.K1.INIT 1011000010111011 +word: SLICEB.K0.INIT 1100001100000000 +word: SLICEB.K1.INIT 1101000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100010011110101 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 + +.tile R53C32:PLC2 +arc: H00L0100 H02E0301 +arc: S1_V02S0701 W3_H06E0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 H06E0203 +arc: V00B0100 H02E0501 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0401 E1_H02W0401 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q4 +arc: H01W0100 Q6 +arc: M2 V00T0100 +arc: M4 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V01S0000 Q2 +arc: V01S0100 Q2 +arc: W1_H02W0601 Q6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R53C33:PLC2 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 E1_H01W0100 +arc: V01S0000 N3_V06S0103 + +.tile R53C34:PLC2 +arc: H01W0100 W3_H06E0303 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0203 +arc: W1_H02W0401 S1_V02N0401 + +.tile R53C35:PLC2 +arc: S3_V06S0303 W3_H06E0303 + +.tile R53C3:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0201 V01N0001 +arc: E1_H02E0501 H01E0101 +arc: E1_H02E0701 V02S0701 +arc: H00R0000 S1_V02N0601 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0501 S3_V06N0303 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 V01N0001 +arc: V00B0000 V02S0001 +arc: V00B0100 S1_V02N0101 +arc: V00T0000 N1_V02S0601 +arc: A3 V00B0000 +arc: A4 N1_V02S0301 +arc: A5 N1_V01N0101 +arc: A7 N1_V01S0100 +arc: B3 H02W0101 +arc: B4 H02E0101 +arc: B5 F3 +arc: B7 S1_V02N0501 +arc: C3 W1_H02E0401 +arc: C4 E1_H01E0101 +arc: C5 V00B0100 +arc: C7 E1_H02W0601 +arc: CE0 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D3 E1_H02W0201 +arc: D4 V01N0001 +arc: D5 H02E0001 +arc: D7 H02E0001 +arc: E1_H01E0101 F5 +arc: E3_H06E0203 Q4 +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q0 +arc: M0 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F7 +arc: V01S0100 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0001010100111111 +word: SLICEC.K0.INIT 1010111000001100 +word: SLICEC.K1.INIT 0100000011000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R53C4:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0303 V01N0101 +arc: H00L0000 V02S0201 +arc: H00R0000 S1_V02N0601 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0601 H01E0001 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0301 E1_H02W0301 +arc: V00B0000 V02N0201 +arc: V00B0100 E1_H02W0701 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0101 E1_H02W0101 +arc: A0 H00L0000 +arc: A1 H02E0701 +arc: A3 V00T0000 +arc: A5 H02E0501 +arc: A6 E1_H01W0000 +arc: B0 V02N0101 +arc: B1 F3 +arc: B3 V02N0301 +arc: B5 H00R0000 +arc: B6 S1_V02N0501 +arc: B7 N1_V01S0000 +arc: C0 H00L0100 +arc: C1 V02N0401 +arc: C3 H00R0100 +arc: C5 V00B0100 +arc: C6 E1_H01E0101 +arc: C7 V02N0001 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 W1_H02E0001 +arc: D3 H02W0201 +arc: D5 H02W0201 +arc: D6 V00B0000 +arc: D7 V01N0001 +arc: E1_H01E0101 F7 +arc: E1_H02E0401 F6 +arc: E3_H06E0003 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: MUXCLK0 CLK0 +arc: N1_V01N0101 F5 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0001010100111111 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0001010100111111 +word: SLICED.K0.INIT 1000000000000000 +word: SLICED.K1.INIT 1100001100000000 +word: SLICEA.K0.INIT 1010111000001100 +word: SLICEA.K1.INIT 0100000011000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R53C5:PLC2 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0501 E3_H06W0303 +arc: E3_H06E0203 N3_V06S0203 +arc: H00L0000 V02N0001 +arc: H00R0000 H02W0401 +arc: H00R0100 S1_V02N0501 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0601 E1_H02W0601 +arc: N3_V06N0003 S3_V06N0003 +arc: S1_V02S0501 E1_H01W0100 +arc: V00B0000 V02S0001 +arc: V00B0100 E1_H02W0701 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0601 S1_V02N0601 +arc: A1 H02E0501 +arc: A2 V01N0101 +arc: A3 V00B0000 +arc: A6 N1_V01N0101 +arc: A7 N1_V02S0301 +arc: B0 V02N0101 +arc: B1 H02E0301 +arc: B2 H00R0000 +arc: B3 H00L0000 +arc: B6 H02E0101 +arc: B7 F3 +arc: C0 H00L0100 +arc: C1 V02N0401 +arc: C2 N1_V01S0100 +arc: C3 H00R0100 +arc: C6 E1_H01E0101 +arc: C7 H02E0601 +arc: CE2 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0201 +arc: D1 H02W0001 +arc: D2 V02N0201 +arc: D3 V00B0100 +arc: D6 V02N0601 +arc: D7 H02W0001 +arc: E1_H01E0101 F7 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 F2 +arc: M4 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: S3_V06S0003 Q0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1001000000001001 +word: SLICEB.K1.INIT 0001010100111111 +word: SLICEA.K0.INIT 0000110011111111 +word: SLICEA.K1.INIT 0100000011000000 +word: SLICED.K0.INIT 1010111000001100 +word: SLICED.K1.INIT 0100000011000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R53C6:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 E3_H06W0303 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0000 V02S0001 +arc: H00R0000 V02S0601 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0501 H06W0303 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 E3_H06W0303 +arc: S3_V06S0003 E3_H06W0003 +arc: V00B0000 V02N0201 +arc: V00B0100 H02W0501 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0701 S1_V02N0701 +arc: A6 V00T0100 +arc: A7 H00L0000 +arc: B6 V02S0501 +arc: B7 E1_H02W0101 +arc: C6 W1_H02E0401 +arc: C7 F6 +arc: CE0 S1_V02N0201 +arc: CE1 H00R0000 +arc: CE2 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D6 E1_H01W0100 +arc: D7 V02N0601 +arc: E1_H01E0001 Q2 +arc: E1_H01E0101 F7 +arc: E1_H02E0201 Q2 +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F6 +arc: M0 V00B0000 +arc: M2 V00B0000 +arc: M4 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F6 +arc: N1_V01N0101 F6 +arc: S3_V06S0203 Q4 +arc: V01S0100 Q0 +arc: W1_H02W0401 Q4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0110111111111111 +word: SLICED.K1.INIT 0000010000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R53C7:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0601 V06N0303 +arc: H00R0000 H02W0601 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 H06E0303 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0303 H06W0303 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0701 H01E0101 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 E1_H02W0501 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0101 V06N0103 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0501 V06N0303 +arc: W1_H02W0601 E1_H02W0301 +arc: A1 E1_H02W0701 +arc: A2 H01E0001 +arc: A3 V01N0101 +arc: A4 V02N0301 +arc: A7 V02S0101 +arc: B0 H02E0101 +arc: B1 H02W0301 +arc: B2 W1_H02E0301 +arc: B3 E1_H01W0100 +arc: B4 H00R0000 +arc: B5 V02S0501 +arc: B7 V02N0501 +arc: C0 N1_V01N0001 +arc: C1 V02N0401 +arc: C2 H00L0100 +arc: C3 H00R0100 +arc: C4 V00T0100 +arc: C5 V00B0100 +arc: C7 H02E0401 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V02N0001 +arc: D2 H02W0001 +arc: D3 S1_V02N0001 +arc: D4 H01W0000 +arc: D5 V00B0000 +arc: D7 E1_H02W0001 +arc: E1_H01E0101 F4 +arc: E1_H02E0201 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F3 +arc: H01W0000 F5 +arc: H01W0100 F2 +arc: MUXCLK0 CLK0 +arc: N1_V01N0001 F1 +arc: S1_V02S0501 F5 +arc: V01S0100 F7 +arc: W1_H02W0701 F5 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0100010111001111 +word: SLICEA.K0.INIT 0000110011111111 +word: SLICEA.K1.INIT 0100000011000000 +word: SLICEB.K0.INIT 1001000000000000 +word: SLICEB.K1.INIT 1001100100001001 +word: SLICEC.K0.INIT 0001001101011111 +word: SLICEC.K1.INIT 0011000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 + +.tile R53C8:PLC2 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0601 N1_V01S0000 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0103 N3_V06S0103 +arc: E3_H06E0203 N3_V06S0203 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0100 S1_V02N0101 +arc: H00R0000 V02S0401 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0203 E1_H01W0000 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0601 H02W0601 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0203 H06E0203 +arc: V00B0100 E1_H02W0701 +arc: V00T0100 V02S0701 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 H01E0101 +arc: A1 E1_H01E0001 +arc: B1 V02S0101 +arc: C1 H00L0100 +arc: CE1 H00R0100 +arc: CE2 H00R0000 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D1 H02W0001 +arc: E1_H01E0001 Q2 +arc: E1_H01E0101 Q6 +arc: F1 F1_SLICE +arc: H01W0000 F1 +arc: H01W0100 Q4 +arc: M2 V00B0100 +arc: M4 V00T0100 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S3_V06S0303 Q6 +arc: W1_H02W0601 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0001010100111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 + +.tile R53C9:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0103 W1_H02E0201 +arc: H00R0000 V02N0401 +arc: H00R0100 V02N0701 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0401 N1_V01S0000 +arc: S1_V02S0601 H06E0303 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 W1_H02E0601 +arc: V00B0100 H02E0701 +arc: V00T0000 W1_H02E0001 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 S3_V06N0303 +arc: W1_H02W0701 H01E0101 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 V01N0101 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0203 +arc: B1 V02S0301 +arc: B3 H01W0100 +arc: C1 H02E0601 +arc: C3 H02W0601 +arc: CE0 V02N0201 +arc: CE1 H00R0000 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D1 V00T0100 +arc: D2 E1_H02W0001 +arc: D3 V01S0100 +arc: E1_H01E0001 Q6 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: H01W0000 Q2 +arc: H01W0100 Q1 +arc: M2 V00T0000 +arc: M4 V00B0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: N3_V06N0103 Q2 +arc: V01S0100 F1 +arc: W1_H02W0201 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111110000110000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1100111111000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R54C10:PLC2 +arc: E1_H02E0001 H01E0001 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0601 E1_H01W0000 +arc: H00L0100 V02N0101 +arc: H00R0000 H02W0401 +arc: H00R0100 H02E0701 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 H06W0103 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0103 H06W0103 +arc: S3_V06S0203 N1_V02S0701 +arc: V00B0000 V02S0201 +arc: V00B0100 V02N0301 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0301 N1_V02S0301 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 N1_V02S0601 +arc: B5 S1_V02N0701 +arc: B6 V02S0701 +arc: C1 H00L0000 +arc: C5 V00T0000 +arc: C6 V00B0100 +arc: CE1 H00R0100 +arc: CE2 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D1 H02E0001 +arc: D4 H02W0001 +arc: D5 V02N0601 +arc: D6 H02W0201 +arc: D7 H00L0100 +arc: E3_H06E0103 Q1 +arc: E3_H06E0203 Q4 +arc: E3_H06E0303 Q6 +arc: F1 F1_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 Q2 +arc: M2 V00B0000 +arc: M4 H02E0401 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0203 Q4 +arc: W3_H06W0203 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1111110000001100 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1100111111000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R54C11:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0201 S3_V06N0103 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0501 W1_H02E0401 +arc: E3_H06E0003 V06S0003 +arc: H00L0100 H02E0301 +arc: H00R0000 S1_V02N0601 +arc: H00R0100 V02N0501 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 H06W0003 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0101 E1_H01W0100 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0401 V01N0001 +arc: S1_V02S0501 N3_V06S0303 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0303 E1_H01W0100 +arc: V00B0000 W1_H02E0401 +arc: V00B0100 H02W0701 +arc: V00T0100 H02W0301 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 V06S0303 +arc: A6 V02S0101 +arc: B1 H01W0100 +arc: B3 W1_H02E0301 +arc: B4 V02S0501 +arc: B6 V00B0100 +arc: B7 N1_V01S0000 +arc: C1 E1_H02W0601 +arc: C3 N1_V02S0401 +arc: C4 S1_V02N0001 +arc: C6 H02E0601 +arc: C7 W1_H02E0601 +arc: CE0 H02W0101 +arc: CE1 H00L0100 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0001 +arc: D1 V01S0100 +arc: D3 H00R0000 +arc: D4 N1_V02S0401 +arc: D5 S1_V02N0601 +arc: D6 V02N0401 +arc: D7 H00R0100 +arc: E3_H06E0203 Q4 +arc: E3_H06E0303 F6 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: H01W0100 Q3 +arc: M0 V00B0000 +arc: M4 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: N1_V02N0201 Q0 +arc: S3_V06S0203 F7 +arc: V01S0100 F3 +arc: W1_H02W0701 Q7 +arc: W3_H06W0203 F7 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111110000110000 +word: SLICED.K0.INIT 0000111111101010 +word: SLICED.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 1111110000001100 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1100111111000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R54C12:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 E1_H01W0000 +arc: E1_H02E0401 N1_V02S0401 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 E1_H01W0000 +arc: E3_H06E0203 N3_V06S0203 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0100 N1_V02S0101 +arc: H00R0000 H02E0401 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 N1_V02S0601 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N1_V02S0601 +arc: V00B0100 H02E0501 +arc: V00T0000 H02E0201 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 E1_H01W0000 +arc: W1_H02W0701 N1_V02S0701 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0303 N3_V06S0303 +arc: A0 H02W0501 +arc: B0 S1_V02N0101 +arc: B5 V01S0000 +arc: B7 H02W0301 +arc: C0 E1_H02W0401 +arc: C5 H02W0601 +arc: C7 V02S0201 +arc: CE2 H00R0000 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0201 +arc: D4 H00L0100 +arc: D5 H00R0100 +arc: D7 V02N0601 +arc: E1_H01E0101 F1 +arc: E1_H02E0101 F1 +arc: E3_H06E0103 F1 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: H01W0000 F1 +arc: H01W0100 F1 +arc: M0 V00T0000 +arc: M1 W1_H02E0001 +arc: M2 V00T0000 +arc: M4 V00B0100 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0103 F1 +arc: N3_V06N0203 Q4 +arc: V01S0000 Q7 +arc: V01S0100 F1 +arc: W3_H06W0103 F1 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111110000110000 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1100111111000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0011001100110111 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R54C13:PLC2 +arc: E1_H02E0101 H01E0101 +arc: E1_H02E0301 W1_H02E0301 +arc: H00L0000 N1_V02S0001 +arc: H00R0000 H02E0601 +arc: H00R0100 V02S0701 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0303 H06W0303 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0201 N1_V02S0201 +arc: S1_V02S0301 V01N0101 +arc: V00B0100 H02W0501 +arc: V00T0000 E1_H02W0201 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 H01E0001 +arc: W3_H06W0303 E3_H06W0303 +arc: A3 V02N0501 +arc: A5 V02N0301 +arc: A7 H00L0000 +arc: B1 W1_H02E0101 +arc: B3 S1_V02N0301 +arc: B5 V00B0100 +arc: B6 V01S0000 +arc: B7 V02S0501 +arc: C1 E1_H02W0601 +arc: C3 H02W0401 +arc: C5 E1_H02W0601 +arc: C6 H02W0601 +arc: C7 E1_H01E0101 +arc: CE0 H02E0101 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D1 S1_V02N0001 +arc: D3 H02W0201 +arc: D5 H00R0100 +arc: D6 H00L0100 +arc: D7 H00L0100 +arc: E1_H01E0001 F2 +arc: E1_H01E0101 F5 +arc: E1_H02E0001 F2 +arc: E1_H02E0401 Q6 +arc: E3_H06E0103 F2 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 F2 +arc: M2 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F2 +arc: N3_V06N0103 F2 +arc: S3_V06S0103 F2 +arc: V01S0000 Q1 +arc: V01S0100 F7 +arc: W3_H06W0103 F2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1001011100100101 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 0110001011111011 +word: SLICEB.K0.INIT 1111111111111111 +word: SLICEB.K1.INIT 1100110011001000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R54C14:PLC2 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 W3_H06E0303 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0100 V02N0101 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 N1_V02S0701 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 H06E0303 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 H01E0001 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 V01N0001 +arc: V00B0100 W1_H02E0501 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 V02S0701 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0101 E3_H06W0103 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 W3_H06E0303 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 E1_H02W0601 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0203 +arc: A3 V00B0000 +arc: A5 N1_V02S0301 +arc: B1 H02E0301 +arc: B2 H02W0101 +arc: B3 H00L0000 +arc: B4 V02N0501 +arc: B5 V00B0100 +arc: B7 V02S0501 +arc: C1 H00R0100 +arc: C2 S1_V02N0601 +arc: C3 H02E0401 +arc: C4 V00T0000 +arc: C5 V00T0100 +arc: C7 V02N0001 +arc: CE0 W1_H02E0101 +arc: CE1 H00R0000 +arc: CE2 H00R0000 +arc: CE3 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D1 S1_V02N0001 +arc: D2 E1_H02W0001 +arc: D3 N1_V01S0000 +arc: D4 H01W0000 +arc: D5 H01W0000 +arc: D7 H00L0100 +arc: E1_H01E0101 F7 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H01W0000 F1 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 F5 +arc: S1_V02S0701 Q7 +arc: S3_V06S0003 F3 +arc: V00B0000 Q4 +arc: V01S0100 Q1 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111001111000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 0000000000000001 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 0110001011111011 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 + +.tile R54C15:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 E1_H01W0000 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0203 S3_V06N0203 +arc: E3_H06E0303 H01E0101 +arc: H00L0100 E1_H02W0301 +arc: H00R0100 S1_V02N0501 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 S3_V06N0103 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0301 E1_H01W0100 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 H02E0501 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0601 V06S0303 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0203 E1_H02W0701 +arc: A6 N1_V02S0101 +arc: A7 H02W0501 +arc: B1 H00R0100 +arc: B2 H01W0100 +arc: B6 V02N0501 +arc: B7 V00B0100 +arc: C1 V02S0401 +arc: C2 H00L0100 +arc: C3 H00L0000 +arc: C6 E1_H01E0101 +arc: C7 V02S0201 +arc: CE0 W1_H02E0101 +arc: CE1 H02E0101 +arc: CE2 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D1 V00T0100 +arc: D2 N1_V02S0201 +arc: D3 H02E0001 +arc: D6 H01W0000 +arc: D7 N1_V02S0601 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 F7 +arc: E3_H06E0003 F3 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H01W0000 F1 +arc: H01W0100 Q4 +arc: M4 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N3_V06N0303 F6 +arc: S3_V06S0103 Q2 +arc: W1_H02W0101 Q1 +arc: W3_H06W0103 Q2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 0110001011111011 +word: SLICED.K1.INIT 1001011100100101 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 1111000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R54C16:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 V06S0003 +arc: E3_H06E0103 N1_V01S0100 +arc: E3_H06E0203 N3_V06S0203 +arc: E3_H06E0303 S3_V06N0303 +arc: H00L0000 W1_H02E0201 +arc: H00R0000 V02S0401 +arc: H00R0100 N1_V02S0501 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 E1_H01W0100 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0601 E1_H01W0000 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0303 W3_H06E0303 +arc: V00T0000 H02W0001 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 N1_V02S0701 +arc: W3_H06W0303 S3_V06N0303 +arc: A4 N1_V02S0101 +arc: A5 V00T0000 +arc: A6 N1_V02S0101 +arc: A7 H00R0000 +arc: B4 H02E0301 +arc: B5 V02S0501 +arc: B6 H02E0301 +arc: B7 H02E0101 +arc: C3 H00L0000 +arc: C4 V02S0201 +arc: C5 W1_H02E0601 +arc: C6 H02E0401 +arc: C7 W1_H02E0601 +arc: D3 V02N0001 +arc: D4 V02N0401 +arc: D5 H00R0100 +arc: D6 H02E0201 +arc: D7 H00R0100 +arc: E1_H01E0101 F3 +arc: E1_H02E0601 F6 +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: N1_V01N0001 F5 +arc: N1_V02N0401 F4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001111 +word: SLICED.K0.INIT 0110001011111011 +word: SLICED.K1.INIT 1001011100011001 +word: SLICEC.K0.INIT 0110001011111011 +word: SLICEC.K1.INIT 1001011100011001 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R54C17:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0401 W3_H06E0203 +arc: H00L0000 V02S0001 +arc: H00L0100 V02S0101 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 E1_H01W0100 +arc: N3_V06N0203 E1_H01W0000 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0201 H06W0103 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 S3_V06N0203 +arc: S3_V06S0103 H06W0103 +arc: S3_V06S0303 N1_V01S0100 +arc: V00B0100 H02W0501 +arc: V00T0000 V02S0601 +arc: V00T0100 N1_V02S0701 +arc: V01S0000 S3_V06N0103 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0401 N3_V06S0203 +arc: W3_H06W0103 E1_H01W0100 +arc: W3_H06W0303 E3_H06W0203 +arc: B0 V02N0301 +arc: B1 V02N0301 +arc: B2 H02E0101 +arc: B6 H02E0301 +arc: C0 V02N0601 +arc: C1 N1_V02S0401 +arc: C2 H00L0000 +arc: C4 V02S0201 +arc: C5 S1_V02N0201 +arc: C6 H02W0601 +arc: CE0 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 V00T0100 +arc: D2 H02E0201 +arc: D3 V01S0100 +arc: D4 H00R0100 +arc: D5 V02S0401 +arc: D6 S1_V02N0601 +arc: D7 S1_V02N0401 +arc: E1_H01E0001 Q0 +arc: E1_H01E0101 Q2 +arc: E1_H02E0601 F4 +arc: E3_H06E0003 Q0 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 F4 +arc: E3_H06E0303 F5 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F4 +arc: H01W0100 Q6 +arc: M0 V00B0100 +arc: M2 V00T0000 +arc: M6 E1_H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 Q6 +arc: N3_V06N0303 F6 +arc: S1_V02S0501 F5 +arc: S1_V02S0601 F4 +arc: S1_V02S0701 F5 +arc: S3_V06S0003 Q0 +arc: W1_H02W0001 Q0 +arc: W1_H02W0601 F6 +arc: W1_H02W0701 F5 +arc: W3_H06W0003 Q0 +arc: W3_H06W0203 F4 +word: SLICEC.K0.INIT 0000111111111111 +word: SLICEC.K1.INIT 0000111100000000 +word: SLICEA.K0.INIT 1111110000110000 +word: SLICEA.K1.INIT 1111111111000000 +word: SLICEB.K0.INIT 1111110000001100 +word: SLICEB.K1.INIT 1111111100000000 +word: SLICED.K0.INIT 0000000011111100 +word: SLICED.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R54C18:PLC2 +arc: E1_H02E0101 H01E0101 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 V01N0101 +arc: E3_H06E0303 V01N0101 +arc: H00L0000 V02S0001 +arc: H00L0100 H02E0301 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 H02W0501 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 V01N0101 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 H01E0101 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 E1_H02W0701 +arc: V00T0000 H02W0201 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0301 N1_V01S0100 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 E1_H02W0601 +arc: W1_H02W0701 E3_H06W0203 +arc: W3_H06W0003 E1_H02W0001 +arc: W3_H06W0103 E1_H02W0201 +arc: W3_H06W0303 E3_H06W0303 +arc: B0 E1_H02W0301 +arc: B3 V02S0101 +arc: B4 N1_V02S0701 +arc: B6 V02N0501 +arc: C0 H00L0100 +arc: C2 H00R0100 +arc: C3 H00L0000 +arc: C4 H02W0601 +arc: C6 E1_H02W0601 +arc: CE1 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 S1_V02N0001 +arc: D2 V00T0100 +arc: D3 H00R0000 +arc: D4 N1_V02S0401 +arc: D5 V00B0000 +arc: D6 H02W0001 +arc: D7 V02N0601 +arc: E1_H01E0101 F3 +arc: E1_H02E0201 F2 +arc: E3_H06E0203 Q4 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q6 +arc: H01W0100 F0 +arc: M0 V00T0000 +arc: M4 E1_H02W0401 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q3 +arc: N1_V01N0101 F6 +arc: N3_V06N0003 Q0 +arc: N3_V06N0303 F6 +arc: V00T0100 F3 +word: SLICEB.K0.INIT 0000000011110000 +word: SLICEB.K1.INIT 0000110000111111 +word: SLICEC.K0.INIT 1111110000001100 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICED.K0.INIT 0000000011111100 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 0000110000001111 +word: SLICEA.K1.INIT 0000000011111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R54C19:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0601 E1_H01W0000 +arc: H00L0000 W1_H02E0001 +arc: H00L0100 V02S0301 +arc: H00R0000 V02S0401 +arc: H00R0100 S1_V02N0501 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 E1_H01W0100 +arc: N3_V06N0003 E1_H01W0000 +arc: N3_V06N0203 E1_H01W0000 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0601 W1_H02E0601 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0003 E1_H01W0000 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0203 N1_V02S0701 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 N1_V02S0101 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 N3_V06S0003 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 S1_V02N0601 +arc: W3_H06W0303 V06N0303 +arc: C6 V00B0100 +arc: CE1 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 H01E0101 +arc: D1 H01E0101 +arc: D2 H01E0101 +arc: D3 H01E0101 +arc: D4 V02S0601 +arc: D5 V02S0601 +arc: D6 V00B0000 +arc: E3_H06E0003 Q3 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: M0 V00T0100 +arc: M1 H00L0100 +arc: M2 V00T0100 +arc: M3 H00R0100 +arc: M4 V00T0100 +arc: M5 H00R0000 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: V01S0000 F3 +arc: W3_H06W0003 Q3 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICED.K0.INIT 1111111100001111 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R54C20:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0601 E3_H06W0303 +arc: E3_H06E0003 N1_V01S0000 +arc: H00R0000 S1_V02N0401 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 W3_H06E0303 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0203 V01N0001 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0203 N1_V01S0000 +arc: V00B0000 H02W0601 +arc: V00B0100 V02N0101 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 V06N0203 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 E3_H06W0103 +arc: A5 V02S0301 +arc: A6 V02N0301 +arc: A7 H02W0701 +arc: B0 H02E0101 +arc: B3 H00L0000 +arc: B5 W1_H02E0301 +arc: B6 E1_H02W0301 +arc: B7 V00B0100 +arc: C0 H02E0601 +arc: C1 E1_H02W0401 +arc: C2 H00L0000 +arc: C3 E1_H01W0000 +arc: C5 S1_V02N0201 +arc: C6 E1_H01E0101 +arc: C7 W1_H02E0601 +arc: CE1 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 H02W0201 +arc: D2 S1_V02N0001 +arc: D3 V02S0001 +arc: D5 H02E0001 +arc: D6 E1_H01W0100 +arc: D7 V00B0000 +arc: E1_H01E0001 F6 +arc: E1_H01E0101 F7 +arc: E1_H02E0201 F2 +arc: E1_H02E0301 F1 +arc: E1_H02E0701 F5 +arc: E3_H06E0103 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H01W0000 F1 +arc: H01W0100 F3 +arc: LSR0 H02W0301 +arc: MUXCLK1 CLK0 +arc: MUXLSR1 LSR0 +arc: N1_V01N0001 F2 +arc: N1_V01N0101 Q2 +arc: N1_V02N0301 F1 +arc: N3_V06N0303 F5 +arc: S1_V02S0001 F0 +arc: S1_V02S0201 Q2 +arc: V01S0000 F1 +arc: W1_H02W0001 Q2 +arc: W1_H02W0201 F2 +arc: W1_H02W0501 F5 +arc: W3_H06W0103 F2 +arc: W3_H06W0303 F5 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0011001000000000 +word: SLICEB.K0.INIT 0000000000001111 +word: SLICEB.K1.INIT 0000110000111111 +word: SLICEA.K0.INIT 0000111100001100 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 0110001011111011 +word: SLICED.K1.INIT 1001011100011001 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R54C21:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0401 N1_V01S0000 +arc: E1_H02E0501 N1_V02S0501 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0000 H02E0201 +arc: H00R0000 N1_V02S0401 +arc: H00R0100 S1_V02N0701 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 H01E0001 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 E1_H02W0601 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0100 H02W0501 +arc: V00T0000 V02S0401 +arc: V00T0100 H02E0301 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0201 E1_H02W0201 +arc: W1_H02W0301 N1_V01S0100 +arc: W1_H02W0601 N1_V02S0601 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0303 E3_H06W0303 +arc: B1 S1_V02N0101 +arc: B4 V00B0100 +arc: C1 V02N0401 +arc: C4 V02N0001 +arc: CE0 H00L0000 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D1 H00R0000 +arc: D4 S1_V02N0401 +arc: D5 H02W0201 +arc: E1_H01E0001 Q2 +arc: E1_H01E0101 F4 +arc: F1 F1_SLICE +arc: F4 F5C_SLICE +arc: H01W0000 Q1 +arc: M2 V00B0000 +arc: M4 V00T0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F1 +arc: N1_V02N0401 Q4 +arc: V00B0000 Q6 +arc: W1_H02W0401 F4 +arc: W3_H06W0203 F4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 0000000011111100 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R54C22:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0501 W1_H02E0501 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0003 W3_H06E0303 +arc: H00L0000 S1_V02N0001 +arc: H00L0100 N1_V02S0301 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0601 S1_V02N0601 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 H01E0101 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0501 E1_H02W0501 +arc: S3_V06S0103 W3_H06E0103 +arc: V00B0000 W1_H02E0601 +arc: V00T0000 V02S0601 +arc: V00T0100 W1_H02E0301 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0201 N1_V01S0000 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 V02S0501 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0203 S3_V06N0203 +arc: W3_H06W0303 V06S0303 +arc: A1 S1_V02N0701 +arc: A3 V02N0701 +arc: A5 V00B0000 +arc: A6 F7 +arc: A7 S1_V02N0301 +arc: B1 W1_H02E0101 +arc: B3 H00L0000 +arc: B4 H02E0301 +arc: B5 E1_H02W0101 +arc: B6 F1 +arc: B7 V00T0000 +arc: C1 H00L0100 +arc: C3 H02W0401 +arc: C4 V00T0100 +arc: C5 V00T0100 +arc: C6 H02E0401 +arc: C7 E1_H02W0601 +arc: CLK0 G_HPBX0000 +arc: D1 S1_V02N0201 +arc: D2 V01S0100 +arc: D3 V01S0100 +arc: D4 H02E0201 +arc: D5 H00R0100 +arc: D6 V02N0601 +arc: D7 N1_V02S0601 +arc: E1_H01E0101 F6 +arc: E3_H06E0103 F2 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q2 +arc: M2 N1_V01N0001 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 F4 +arc: V01S0100 F5 +arc: W1_H02W0001 F2 +arc: W3_H06W0103 F2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1001000000001001 +word: SLICEC.K0.INIT 0000111100001100 +word: SLICEC.K1.INIT 1011000010000000 +word: SLICED.K0.INIT 1000000000000000 +word: SLICED.K1.INIT 1001000000001001 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1111111110001111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 + +.tile R54C23:PLC2 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: H00L0000 H02E0201 +arc: H00L0100 H02W0301 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0601 W3_H06E0303 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 S1_V02N0101 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0101 W3_H06E0103 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 W3_H06E0303 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 W3_H06E0203 +arc: S3_V06S0303 N1_V01S0100 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 H02E0701 +arc: V00T0000 H02E0001 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0301 N3_V06S0003 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 S3_V06N0303 +arc: W3_H06W0203 E3_H06W0203 +arc: A0 E1_H01E0001 +arc: A1 H00L0000 +arc: A3 W1_H02E0501 +arc: A5 H02E0501 +arc: A6 N1_V01N0101 +arc: A7 V02S0301 +arc: B0 V00T0000 +arc: B1 V00B0000 +arc: B3 H02E0101 +arc: B5 H02E0301 +arc: B6 H01E0101 +arc: B7 V02S0701 +arc: C0 H00R0100 +arc: C1 H00L0100 +arc: C3 V02S0401 +arc: C5 N1_V02S0201 +arc: C6 H02E0401 +arc: C7 E1_H02W0601 +arc: D0 V00T0100 +arc: D1 V02N0201 +arc: D3 V00B0100 +arc: D5 V01N0001 +arc: D6 E1_H02W0001 +arc: D7 H01W0000 +arc: E1_H01E0001 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F5 +arc: H01W0000 F6 +arc: N1_V01N0001 F6 +arc: N1_V01N0101 F0 +arc: N1_V02N0701 F7 +arc: V00T0100 F3 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1001000000001001 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1000010000100001 +word: SLICED.K0.INIT 1000000000000000 +word: SLICED.K1.INIT 0000000000000001 +word: SLICEA.K0.INIT 1000000000000000 +word: SLICEA.K1.INIT 1001000000001001 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R54C24:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0601 W1_H02E0301 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0501 S1_V02N0401 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0203 S1_V02N0401 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 W1_H02E0601 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 S1_V02N0301 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0203 E1_H02W0701 +arc: A3 V00B0000 +arc: A7 H02W0701 +arc: B2 V02S0301 +arc: B3 V02N0301 +arc: B7 V00B0100 +arc: C2 W1_H02E0601 +arc: C3 W1_H02E0601 +arc: C7 H02E0401 +arc: CLK0 G_HPBX0000 +arc: D2 V02N0201 +arc: D3 V02N0001 +arc: D6 H00L0100 +arc: D7 H00L0100 +arc: E3_H06E0303 F6 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F3 +arc: M6 V00T0000 +arc: MUXCLK3 CLK0 +arc: N1_V02N0601 F6 +arc: N3_V06N0303 Q6 +arc: V00T0000 F2 +arc: W3_H06W0303 F6 +word: SLICEB.K0.INIT 0000111100001100 +word: SLICEB.K1.INIT 1011000010000000 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1111111110001111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 + +.tile R54C25:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0401 N3_V06S0203 +arc: H00R0000 E1_H02W0601 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0601 W1_H02E0601 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0601 H02E0601 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N1_V01S0100 +arc: V00B0100 H02W0501 +arc: V00T0000 V02S0401 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0601 N1_V02S0601 +arc: W1_H02W0701 H01E0101 +arc: W3_H06W0003 E1_H01W0000 +arc: CE0 H00R0100 +arc: CE1 H02W0101 +arc: CE2 V02N0601 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0003 Q0 +arc: E3_H06E0103 Q2 +arc: M0 V00B0000 +arc: M2 V00B0100 +arc: M4 H02W0401 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0003 Q0 +arc: N3_V06N0103 Q2 +arc: N3_V06N0203 Q4 +arc: V00B0000 Q6 +arc: W3_H06W0103 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R54C26:PLC2 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0601 V02S0601 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 N3_V06S0203 +arc: H01W0000 W3_H06E0103 +arc: N3_V06N0003 W3_H06E0003 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 H02E0201 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0103 H06E0103 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 V06S0203 +arc: W3_H06W0303 V01N0101 +arc: CE0 V02N0201 +arc: CE1 V02N0201 +arc: CE2 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0201 Q2 +arc: E3_H06E0003 Q0 +arc: M0 V00B0000 +arc: M2 N1_V01N0001 +arc: M4 V00T0100 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N3_V06N0103 Q2 +arc: V00B0000 Q4 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R54C27:PLC2 +arc: E1_H02E0401 V02N0401 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W3_H06E0203 +arc: H00R0000 H02E0401 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0601 E1_H01W0000 +arc: N3_V06N0303 H06E0303 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0501 N1_V02S0501 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0203 N1_V02S0701 +arc: V00B0000 V02S0201 +arc: W1_H02W0601 E1_H01W0000 +arc: CE0 H00R0000 +arc: CE1 H02W0101 +arc: CE2 H02W0101 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q4 +arc: E3_H06E0003 Q0 +arc: E3_H06E0303 Q6 +arc: M0 H02W0601 +arc: M2 H02E0601 +arc: M4 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0003 Q0 +arc: V00T0000 Q2 +arc: W3_H06W0003 Q0 +arc: W3_H06W0303 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R54C28:PLC2 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 W1_H02E0201 +arc: H00R0000 W1_H02E0401 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0201 N1_V01S0000 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 W3_H06E0103 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0401 W1_H02E0401 +arc: V00T0000 V02S0401 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0601 H01E0001 +arc: C3 V02S0601 +arc: CE0 V02N0201 +arc: CE2 V02N0601 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D3 H00R0000 +arc: E1_H01E0001 Q0 +arc: E1_H02E0101 F3 +arc: E1_H02E0401 Q6 +arc: F3 F3_SLICE +arc: H01W0000 F3 +arc: M0 V00T0100 +arc: M4 V00T0000 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0101 F3 +arc: N1_V02N0301 F3 +arc: N3_V06N0303 Q6 +arc: S1_V02S0301 F3 +arc: V00B0000 Q4 +arc: V01S0000 F3 +arc: V01S0100 F3 +arc: W1_H02W0101 F3 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R54C29:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0601 V06S0303 +arc: H00R0100 H02E0501 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0003 H06E0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0100 H02E0701 +arc: V00T0100 H02W0301 +arc: CE0 V02N0201 +arc: CE1 H02E0101 +arc: CE2 H00R0100 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0201 Q0 +arc: E1_H02E0401 Q4 +arc: M0 V00T0100 +arc: M2 V00B0100 +arc: M4 V00B0000 +arc: M6 W1_H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: N1_V02N0001 Q0 +arc: N3_V06N0203 Q4 +arc: V00B0000 Q6 +arc: W3_H06W0003 Q0 +arc: W3_H06W0203 Q4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R54C2:PLC2 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0701 E1_H01W0100 +arc: H00R0000 H02W0601 +arc: H00R0100 N1_V02S0701 +arc: N1_V02N0101 S3_V06N0103 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0501 H02W0501 +arc: V00B0100 V02N0101 +arc: V00T0100 V02N0701 +arc: CE0 H00R0100 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q6 +arc: E1_H02E0001 Q0 +arc: M0 V00T0100 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R54C30:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0301 S1_V02N0301 +arc: H00L0000 S1_V02N0001 +arc: H00L0100 V02N0101 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 N3_V06S0303 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 H06E0103 +arc: N3_V06N0203 H06E0203 +arc: N3_V06N0303 H06E0303 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0601 H06E0303 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N1_V01S0000 +arc: V00B0000 H02E0601 +arc: V00B0100 H02E0501 +arc: V00T0000 H02E0201 +arc: V00T0100 H02E0301 +arc: W1_H02W0301 V06S0003 +arc: A6 H00R0000 +arc: A7 H00L0000 +arc: B6 V01S0000 +arc: B7 E1_H02W0301 +arc: C6 W1_H02E0601 +arc: C7 W1_H02E0601 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D6 V00B0000 +arc: D7 H01W0000 +arc: E1_H01E0101 F7 +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q4 +arc: H01W0000 Q4 +arc: M0 V00T0100 +arc: M2 V00T0000 +arc: M4 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 Q0 +arc: S1_V02S0401 F6 +arc: V01S0000 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1000110000100011 +word: SLICED.K1.INIT 1011000010111011 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R54C31:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0201 W3_H06E0103 +arc: H00L0000 V02S0001 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 W1_H02E0401 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0501 V01N0101 +arc: N3_V06N0003 H06E0003 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 N1_V02S0301 +arc: V00B0000 V02N0201 +arc: V00B0100 V02S0101 +arc: V00T0100 V02S0701 +arc: A3 V02N0701 +arc: A4 V00T0000 +arc: A5 V02S0101 +arc: A7 H02W0701 +arc: B2 H00R0100 +arc: B3 H00L0000 +arc: B4 H00R0000 +arc: B5 V02S0501 +arc: B7 H02E0101 +arc: C2 S1_V02N0601 +arc: C3 V02N0601 +arc: C4 V00B0100 +arc: C5 S1_V02N0001 +arc: C7 N1_V02S0001 +arc: CE0 S1_V02N0201 +arc: CLK0 G_HPBX0000 +arc: D2 E1_H02W0201 +arc: D3 F2 +arc: D4 H00L0100 +arc: D5 V00B0000 +arc: D7 V02N0401 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00R0100 F5 +arc: M0 H02W0601 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: S1_V02S0401 F4 +arc: V00T0000 Q0 +arc: W1_H02W0301 F3 +arc: W1_H02W0601 F6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1001000010011001 +word: SLICEC.K1.INIT 1100010000110001 +word: SLICEB.K0.INIT 1100000000000000 +word: SLICEB.K1.INIT 1000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111010100110001 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 + +.tile R54C32:PLC2 +arc: H00L0000 H02E0001 +arc: H00L0100 V02N0101 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 S1_V02N0601 +arc: N3_V06N0003 W3_H06E0003 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0501 N3_V06S0303 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 N1_V02S0001 +arc: V00T0000 H02W0001 +arc: V00T0100 V02N0501 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 S1_V02N0701 +arc: A3 V01N0101 +arc: A5 S1_V02N0101 +arc: B2 H00R0000 +arc: B3 H01W0100 +arc: B5 N1_V01S0000 +arc: C2 N1_V01S0100 +arc: C3 H00L0000 +arc: C5 V00T0000 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D2 H02W0001 +arc: D3 V00B0100 +arc: D5 V00B0000 +arc: E1_H01E0001 F3 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: H00R0000 Q6 +arc: H01W0100 F2 +arc: LSR1 W1_H02E0301 +arc: M6 V00T0100 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR1 +arc: V00B0100 F5 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1010001011110011 +word: SLICEB.K0.INIT 1100000011001100 +word: SLICEB.K1.INIT 1000010000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 + +.tile R54C33:PLC2 +arc: S3_V06S0203 N1_V01S0000 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0201 H01E0001 + +.tile R54C34:PLC2 +arc: S3_V06S0103 N3_V06S0003 +arc: W1_H02W0301 V06S0003 + +.tile R54C3:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 N1_V01S0100 +arc: H00L0000 S1_V02N0001 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 V02S0501 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0401 S3_V06N0203 +arc: S1_V02S0001 H06W0003 +arc: S1_V02S0501 H06W0303 +arc: S1_V02S0601 E1_H02W0601 +arc: S3_V06S0103 E3_H06W0103 +arc: V00B0000 V02N0201 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 V02N0701 +arc: W1_H02W0501 V06S0303 +arc: W1_H02W0601 V02N0601 +arc: A0 H01E0001 +arc: A1 H01E0001 +arc: A4 H02E0701 +arc: A5 E1_H02W0501 +arc: A7 V00T0100 +arc: B0 H02E0301 +arc: B1 V00T0000 +arc: B4 H02E0101 +arc: B5 H00R0000 +arc: B7 E1_H02W0101 +arc: C0 E1_H02W0401 +arc: C1 H00L0000 +arc: C4 V00B0100 +arc: C5 E1_H01E0101 +arc: C7 S1_V02N0001 +arc: CE1 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0001 +arc: D1 H02W0201 +arc: D4 V02S0601 +arc: D5 N1_V02S0601 +arc: D7 H02W0201 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 F1 +arc: E3_H06E0203 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q2 +arc: M2 V00B0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: S1_V02S0201 F0 +arc: V00B0100 F5 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEA.K0.INIT 1000010000100001 +word: SLICEA.K1.INIT 0001001101011111 +word: SLICEC.K0.INIT 1010111000001100 +word: SLICEC.K1.INIT 0111000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R54C4:PLC2 +arc: E1_H02E0401 H01E0001 +arc: H00L0000 W1_H02E0001 +arc: H00L0100 E1_H02W0301 +arc: H00R0000 E1_H02W0601 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0601 S1_V02N0301 +arc: N3_V06N0203 E3_H06W0203 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0501 E1_H01W0100 +arc: S1_V02S0601 H06W0303 +arc: V00B0000 V02S0001 +arc: V00B0100 V02S0301 +arc: V00T0000 V02N0401 +arc: W1_H02W0201 E1_H02W0201 +arc: A0 H02W0701 +arc: A1 V01N0101 +arc: A2 H00L0100 +arc: A3 V02N0701 +arc: A5 H02E0501 +arc: A6 N1_V01N0101 +arc: B0 V02S0101 +arc: B1 V02S0101 +arc: B2 H00R0100 +arc: B3 H00L0000 +arc: B5 H00R0000 +arc: B6 H02E0301 +arc: C0 V02N0601 +arc: C1 E1_H02W0401 +arc: C2 H00L0000 +arc: C3 E1_H02W0401 +arc: C5 S1_V02N0001 +arc: C6 N1_V02S0001 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0201 +arc: D1 H02W0001 +arc: D2 H02E0001 +arc: D3 H02W0001 +arc: D5 E1_H02W0201 +arc: D6 V00B0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F5 +arc: LSR1 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F2 +arc: N1_V01N0101 Q6 +arc: S3_V06S0003 F3 +arc: S3_V06S0103 F1 +arc: V01S0100 F0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0001001101011111 +word: SLICEB.K0.INIT 1000010000100001 +word: SLICEB.K1.INIT 0001001101011111 +word: SLICEA.K0.INIT 1001000000001001 +word: SLICEA.K1.INIT 0001001101011111 +word: SLICED.K0.INIT 0010101010101010 +word: SLICED.K1.INIT 1111111111111111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R54C5:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0501 S1_V02N0501 +arc: H00L0000 S1_V02N0001 +arc: H00L0100 S1_V02N0101 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0601 E1_H02W0601 +arc: N3_V06N0203 E3_H06W0203 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0701 E1_H02W0701 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 E1_H01W0100 +arc: A0 H00L0100 +arc: A2 V02S0501 +arc: B2 H01W0100 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V01S0000 +arc: B6 V00B0000 +arc: B7 V00B0100 +arc: CE1 H00L0000 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q3 +arc: E1_H02E0401 Q4 +arc: E1_H02E0701 Q7 +arc: E3_H06E0203 Q4 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q4 +arc: H01W0100 Q2 +arc: LSR1 H02W0501 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0101 Q2 +arc: N1_V02N0301 Q3 +arc: S3_V06S0303 Q5 +arc: V00B0000 Q6 +arc: V00B0100 Q7 +arc: V01S0000 Q5 +arc: W1_H02W0601 Q6 +arc: W1_H02W0701 Q5 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R54C6:PLC2 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 H01E0101 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0601 S1_V02N0301 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 E1_H01W0000 +arc: S1_V02S0701 N1_V01S0100 +arc: S3_V06S0103 E3_H06W0103 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0501 E1_H01W0100 +arc: W1_H02W0601 V01N0001 +arc: W3_H06W0003 E1_H01W0000 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V02N0501 +arc: B6 V00B0000 +arc: B7 V00B0100 +arc: CE0 S1_V02N0201 +arc: CE1 S1_V02N0201 +arc: CE2 S1_V02N0601 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0101 Q3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0000 Q4 +arc: H01W0100 Q5 +arc: LSR1 E1_H02W0301 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0101 Q7 +arc: N1_V02N0501 Q5 +arc: S1_V02S0001 Q2 +arc: V00B0000 Q6 +arc: V00B0100 Q7 +arc: V00T0000 Q0 +arc: V01S0000 Q0 +arc: V01S0100 Q5 +arc: W1_H02W0301 Q1 +arc: W1_H02W0701 Q7 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R54C7:PLC2 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 W1_H02E0701 +arc: H00L0100 V02S0301 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0501 E1_H01W0100 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0103 E3_H06W0103 +arc: V00B0100 V02N0301 +arc: V00T0100 V02N0501 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0501 V02S0501 +arc: W1_H02W0601 E1_H02W0301 +arc: A4 F5 +arc: A6 H02E0701 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B4 V02S0701 +arc: B5 H02E0301 +arc: B6 W1_H02E0101 +arc: B7 N1_V02S0501 +arc: C4 V00T0100 +arc: C5 V00B0100 +arc: C6 V02S0001 +arc: C7 V00B0100 +arc: CE0 S1_V02N0201 +arc: CLK0 G_HPBX0000 +arc: D4 H00L0100 +arc: D5 V02N0401 +arc: D6 H00R0100 +arc: D7 V02N0401 +arc: E1_H01E0001 F6 +arc: E1_H01E0101 F4 +arc: E1_H02E0501 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: H01W0000 F7 +arc: H01W0100 F4 +arc: LSR1 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR1 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 Q1 +arc: N1_V02N0301 Q1 +arc: V00B0000 F4 +arc: V00T0000 Q0 +arc: V01S0000 F7 +arc: W1_H02W0701 F5 +arc: W3_H06W0003 Q0 +arc: W3_H06W0303 F5 +word: SLICED.K0.INIT 0001001101011111 +word: SLICED.K1.INIT 1100000000000000 +word: SLICEC.K0.INIT 1111111011001100 +word: SLICEC.K1.INIT 0011000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001010 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R54C8:PLC2 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0501 N3_V06S0303 +arc: E1_H02E0701 W1_H02E0601 +arc: E3_H06E0003 V06S0003 +arc: E3_H06E0303 N3_V06S0303 +arc: H00R0100 H02E0701 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0501 E1_H01W0100 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0003 E1_H01W0000 +arc: V00B0000 W1_H02E0401 +arc: V00B0100 S1_V02N0101 +arc: V00T0000 V02S0601 +arc: V00T0100 S1_V02N0501 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0601 E1_H01W0000 +arc: W3_H06W0103 S3_V06N0103 +arc: A1 V01N0101 +arc: B1 H00R0100 +arc: C1 H02E0401 +arc: C3 V02N0601 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D1 V02N0001 +arc: D3 N1_V02S0201 +arc: E1_H02E0101 F3 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: H00L0100 F3 +arc: H01W0100 Q4 +arc: LSR0 V00T0000 +arc: LSR1 V00T0000 +arc: M0 V00B0000 +arc: M4 V00T0100 +arc: M6 V00B0100 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 F0 +arc: N1_V01N0101 Q6 +arc: V01S0000 Q6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1000010010100101 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 + +.tile R54C9:PLC2 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 S3_V06N0203 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0000 H02W0001 +arc: H00L0100 H02W0301 +arc: H00R0000 N1_V02S0401 +arc: H01W0000 E3_H06W0103 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 H06W0003 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0401 E1_H02W0401 +arc: S1_V02S0501 S3_V06N0303 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0303 H06W0303 +arc: V00B0000 V02N0201 +arc: V00T0000 N1_V02S0401 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0201 E3_H06W0103 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0103 E3_H06W0103 +arc: A4 H02E0701 +arc: A5 H02E0501 +arc: A6 H02E0701 +arc: A7 H02E0501 +arc: B3 N1_V02S0101 +arc: B4 H00L0000 +arc: B5 H00R0000 +arc: B6 W1_H02E0301 +arc: B7 S1_V02N0701 +arc: C2 V02N0601 +arc: C3 V02N0401 +arc: C4 V00B0100 +arc: C5 H02W0601 +arc: C6 E1_H01E0101 +arc: C7 V00T0000 +arc: CE0 H02E0101 +arc: CE1 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D2 V01S0100 +arc: D3 V02S0001 +arc: D4 V00B0000 +arc: D5 H00L0100 +arc: D6 S1_V02N0601 +arc: D7 H02W0201 +arc: E1_H01E0001 Q2 +arc: E1_H01E0101 F7 +arc: E3_H06E0103 Q2 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q0 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: M0 W1_H02E0601 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR0 +arc: N3_V06N0303 F6 +arc: S3_V06S0003 F3 +arc: S3_V06S0103 Q2 +arc: V00B0100 F5 +arc: V01S0100 F3 +arc: W1_H02W0001 Q0 +arc: W1_H02W0601 F4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1111111111110000 +word: SLICEB.K1.INIT 1100000000000000 +word: SLICEC.K0.INIT 0110010011111101 +word: SLICEC.K1.INIT 1001010001001111 +word: SLICED.K0.INIT 0110010011111101 +word: SLICED.K1.INIT 1001010001110011 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R55C10:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0301 V02N0301 +arc: H00L0100 V02S0301 +arc: H00R0000 H02W0601 +arc: H00R0100 H02E0501 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0203 E3_H06W0203 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0501 W1_H02E0501 +arc: S3_V06S0003 N3_V06S0303 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0401 S3_V06N0203 +arc: A1 E1_H01E0001 +arc: A3 V00B0000 +arc: A5 H02E0501 +arc: B0 V02N0101 +arc: B1 V00B0000 +arc: B2 E1_H02W0301 +arc: B3 H00L0000 +arc: B4 V02S0701 +arc: B5 V01S0000 +arc: B7 V02S0501 +arc: C0 H00L0100 +arc: C1 H00L0000 +arc: C2 H00L0100 +arc: C3 H00R0100 +arc: C4 S1_V02N0001 +arc: C5 V00T0000 +arc: C7 H02W0401 +arc: CE0 V02S0201 +arc: CE1 V02S0201 +arc: CE2 V02S0601 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 H02E0001 +arc: D2 H00R0000 +arc: D3 H02E0001 +arc: D4 V02S0401 +arc: D5 H02E0001 +arc: D7 V02N0401 +arc: E1_H01E0001 Q0 +arc: E1_H01E0101 F1 +arc: E3_H06E0003 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H01W0000 F3 +arc: H01W0100 Q0 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0501 F7 +arc: S3_V06S0103 F1 +arc: S3_V06S0303 F5 +arc: V00B0000 Q4 +arc: V00B0100 F7 +arc: V00T0000 Q2 +arc: V01S0000 Q4 +arc: V01S0100 Q7 +arc: W1_H02W0501 F5 +arc: W3_H06W0003 F3 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111001111000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 0000000000010000 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 0000000000000001 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 0000000100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 + +.tile R55C11:PLC2 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0203 N1_V01S0000 +arc: H00R0000 V02N0401 +arc: H00R0100 E1_H02W0501 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0501 V01N0101 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0501 E1_H02W0501 +arc: V00B0100 E1_H02W0501 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0101 V06N0103 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0601 E1_H01W0000 +arc: W1_H02W0701 E1_H02W0701 +arc: W3_H06W0103 E3_H06W0003 +arc: C2 H00R0100 +arc: C3 H00R0100 +arc: C7 V00B0100 +arc: D2 H00R0000 +arc: D3 V02S0201 +arc: D7 H02E0201 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: S1_V02S0201 F2 +arc: S1_V02S0701 F7 +arc: V01S0000 F3 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 0000111111110000 +word: SLICEB.K1.INIT 0000111111110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R55C12:PLC2 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0601 E1_H01W0000 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 H02W0001 +arc: H00R0000 S1_V02N0601 +arc: H00R0100 H02E0701 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0501 W3_H06E0303 +arc: N1_V02N0601 H02W0601 +arc: N3_V06N0203 E3_H06W0203 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 V01N0001 +arc: S3_V06S0203 N1_V02S0401 +arc: S3_V06S0303 N1_V01S0100 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 V02N0301 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0101 N1_V01S0100 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0701 E3_H06W0203 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E3_H06W0203 +arc: B0 V00T0000 +arc: B1 V02S0101 +arc: B2 H00R0000 +arc: B4 V02S0701 +arc: C0 H00L0100 +arc: C1 H00L0000 +arc: C2 E1_H02W0401 +arc: C4 E1_H02W0401 +arc: C6 E1_H02W0601 +arc: C7 E1_H02W0601 +arc: CE0 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 S1_V02N0201 +arc: D1 V02N0001 +arc: D2 H02W0201 +arc: D3 W1_H02E0001 +arc: D4 N1_V02S0601 +arc: D5 V02N0601 +arc: D6 H00R0100 +arc: D7 V00B0000 +arc: E1_H01E0001 F0 +arc: E1_H01E0101 F6 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 F1 +arc: M2 V00B0100 +arc: M4 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N3_V06N0003 Q0 +arc: S1_V02S0701 F7 +arc: S3_V06S0103 F1 +arc: W1_H02W0301 Q1 +arc: W3_H06W0103 F1 +word: SLICEA.K0.INIT 0000000011111100 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 0000111111110000 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 1111110000001100 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111110000001100 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R55C13:PLC2 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0201 N1_V02S0201 +arc: E3_H06E0303 N1_V01S0100 +arc: H00L0000 V02S0001 +arc: H00L0100 N1_V02S0101 +arc: H00R0000 V02N0601 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 S1_V02N0501 +arc: N3_V06N0003 H01E0001 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 H06W0303 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0003 N1_V02S0301 +arc: S3_V06S0203 N3_V06S0203 +arc: V00T0100 V02N0501 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0601 V06N0303 +arc: W1_H02W0701 E1_H02W0701 +arc: W3_H06W0203 S3_V06N0203 +arc: B3 V02S0101 +arc: B5 H00R0000 +arc: C0 H00R0100 +arc: C1 H00R0100 +arc: C3 E1_H02W0401 +arc: C4 V00B0100 +arc: C5 H02E0401 +arc: C6 V00B0100 +arc: C7 V00B0100 +arc: CE1 H02E0101 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0001 +arc: D1 S1_V02N0001 +arc: D3 V00T0100 +arc: D4 S1_V02N0401 +arc: D5 H00L0100 +arc: D6 S1_V02N0601 +arc: D7 H02W0201 +arc: E1_H01E0001 Q5 +arc: E1_H01E0101 F3 +arc: E1_H02E0301 Q3 +arc: E1_H02E0601 F4 +arc: E1_H02E0701 Q5 +arc: E3_H06E0003 F3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 Q5 +arc: H01W0000 Q5 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 F7 +arc: S1_V02S0201 F0 +arc: S1_V02S0401 F6 +arc: S3_V06S0303 Q5 +arc: V00B0100 Q5 +arc: V01S0000 F1 +arc: W1_H02W0501 Q5 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 0000111111110000 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEA.K0.INIT 0000111111110000 +word: SLICEA.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 0000111111110000 +word: SLICEC.K1.INIT 0000111100001100 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R55C14:PLC2 +arc: E1_H02E0201 V01N0001 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 V01N0001 +arc: E3_H06E0103 V06S0103 +arc: H00L0000 V02S0201 +arc: H00R0000 V02S0401 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 E1_H01W0100 +arc: S1_V02S0601 E1_H01W0000 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N1_V02S0201 +arc: V00B0100 V02N0101 +arc: V00T0000 V02N0601 +arc: V00T0100 H02W0301 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0601 H01E0001 +arc: W1_H02W0701 E3_H06W0203 +arc: A3 E1_H01E0001 +arc: A5 N1_V01N0101 +arc: A7 N1_V01N0101 +arc: B2 H02E0301 +arc: B3 N1_V02S0101 +arc: B4 V02S0701 +arc: B5 H02E0101 +arc: B6 H02W0101 +arc: B7 H02E0101 +arc: C0 W1_H02E0601 +arc: C1 W1_H02E0601 +arc: C2 V02N0601 +arc: C3 N1_V02S0401 +arc: C4 V00T0000 +arc: C5 H02W0601 +arc: C6 V00T0000 +arc: C7 H02W0601 +arc: CE1 V02S0201 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 V00B0100 +arc: D2 H01E0101 +arc: D3 H00R0000 +arc: D4 N1_V02S0601 +arc: D5 H02E0201 +arc: D6 E1_H02W0001 +arc: D7 H02E0201 +arc: E1_H01E0001 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q6 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F0 +arc: N1_V01N0101 Q4 +arc: S1_V02S0301 F1 +arc: S1_V02S0701 F5 +arc: S3_V06S0203 F7 +arc: S3_V06S0303 F5 +arc: V01S0000 F3 +arc: V01S0100 F7 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 0000000000010000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 0000000000000001 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1000000000000000 +word: SLICEA.K0.INIT 0000111111110000 +word: SLICEA.K1.INIT 0000111111110000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R55C15:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 S3_V06N0103 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0000 V02S0201 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 S3_V06N0203 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 W1_H02E0601 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0203 N1_V02S0701 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02S0001 +arc: V00B0100 H02W0701 +arc: V00T0100 V02N0501 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0601 V06S0303 +arc: W1_H02W0701 E1_H02W0701 +arc: W3_H06W0003 E3_H06W0003 +arc: B7 N1_V02S0501 +arc: C0 H02E0401 +arc: C1 H02E0401 +arc: C5 V00T0000 +arc: C7 V00T0100 +arc: CE1 H00R0100 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0201 +arc: D1 V00B0100 +arc: D5 V00B0000 +arc: D7 S1_V02N0401 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 Q5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F0 +arc: H01W0100 F1 +arc: M2 H02W0601 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q7 +arc: N3_V06N0203 F7 +arc: V00T0000 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100111111000000 +word: SLICEA.K0.INIT 0000111111110000 +word: SLICEA.K1.INIT 0000111111110000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R55C16:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E3_H06E0103 W3_H06E0003 +arc: H00L0000 V02S0001 +arc: H00L0100 H02E0101 +arc: H00R0000 V02S0401 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 E1_H01W0100 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0301 W3_H06E0003 +arc: S1_V02S0501 W1_H02E0501 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N1_V02S0101 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 H02W0501 +arc: V00T0000 V02N0401 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0601 N1_V02S0601 +arc: W1_H02W0701 N1_V02S0701 +arc: W3_H06W0303 S3_V06N0303 +arc: B1 H01W0100 +arc: B3 H02E0301 +arc: B5 H00L0000 +arc: B6 H01E0101 +arc: C1 H00L0000 +arc: C3 H00L0100 +arc: C5 V02N0201 +arc: C6 W1_H02E0601 +arc: CE0 V02S0201 +arc: CE1 H02W0101 +arc: CE2 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V00T0100 +arc: D3 N1_V02S0001 +arc: D4 E1_H02W0001 +arc: D5 S1_V02N0601 +arc: D6 V00B0000 +arc: D7 H02E0201 +arc: E3_H06E0203 Q4 +arc: E3_H06E0303 Q6 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 Q3 +arc: M0 V00B0100 +arc: M4 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q0 +arc: V00T0100 F3 +arc: V01S0100 Q6 +arc: W3_H06W0003 Q0 +arc: W3_H06W0203 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 1111110000001100 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1100111111000000 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1111001111000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R55C17:PLC2 +arc: E1_H02E0001 V01N0001 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0501 V06S0303 +arc: E1_H02E0601 E1_H01W0000 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0203 V06N0203 +arc: H00R0000 V02S0401 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 E3_H06W0303 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 E1_H01W0100 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0401 S3_V06N0203 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N1_V02S0701 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 H02W0501 +arc: V00T0100 V02S0701 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0501 V02S0501 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 V02S0701 +arc: W3_H06W0003 E3_H06W0303 +arc: A3 E1_H02W0701 +arc: A7 E1_H01W0000 +arc: B1 V02N0301 +arc: B2 V02S0301 +arc: B3 H02W0101 +arc: B5 V01S0000 +arc: B7 N1_V01S0000 +arc: C1 N1_V01S0100 +arc: C2 E1_H02W0601 +arc: C3 W1_H02E0601 +arc: C5 V02S0001 +arc: C7 H02W0601 +arc: CE0 V02S0201 +arc: CE2 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D1 H02W0201 +arc: D2 V00B0100 +arc: D3 H00R0000 +arc: D4 V00B0000 +arc: D5 H01W0000 +arc: D6 H00L0100 +arc: D7 H00L0100 +arc: E1_H01E0001 F6 +arc: E1_H01E0101 Q4 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F3 +arc: H01W0000 F1 +arc: H01W0100 Q6 +arc: M4 V00T0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0203 Q4 +arc: V00T0000 F2 +arc: V01S0000 Q1 +arc: W3_H06W0203 Q4 +arc: W3_H06W0303 F6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICEB.K0.INIT 0000000011111100 +word: SLICEB.K1.INIT 1000110010000000 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1111111110001111 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1100111111000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R55C18:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0601 N3_V06S0303 +arc: H00R0000 V02S0601 +arc: H00R0100 V02N0701 +arc: H01W0000 W3_H06E0103 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 H01E0101 +arc: N1_V02N0401 H06W0203 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 E1_H01W0000 +arc: N3_V06N0003 H01E0001 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 V01N0001 +arc: S1_V02S0501 H06W0303 +arc: S1_V02S0701 W3_H06E0203 +arc: S3_V06S0003 N1_V02S0301 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 W3_H06E0203 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 H02E0701 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 V02S0501 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0601 S1_V02N0601 +arc: B1 V00T0000 +arc: B3 V02N0301 +arc: B5 H02W0101 +arc: B7 H02E0301 +arc: C1 N1_V01S0100 +arc: C3 H00R0100 +arc: C5 V02S0001 +arc: C6 S1_V02N0201 +arc: C7 H02E0601 +arc: CE0 H00R0000 +arc: CE1 V02S0201 +arc: CE2 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 V01S0100 +arc: D3 V00T0100 +arc: D4 V00B0000 +arc: D5 H00L0100 +arc: D6 V02S0401 +arc: D7 H02E0201 +arc: E1_H01E0101 Q3 +arc: E3_H06E0003 Q0 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F3 +arc: H01W0100 Q4 +arc: M0 V00B0100 +arc: M4 V00B0100 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q4 +arc: N3_V06N0203 Q4 +arc: S3_V06S0303 F6 +arc: V01S0000 Q0 +arc: W3_H06W0003 Q0 +arc: W3_H06W0203 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 0000111111111111 +word: SLICED.K1.INIT 0000001111001111 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1100111111000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R55C19:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0301 V02S0301 +arc: E3_H06E0003 H01E0001 +arc: H00L0000 N1_V02S0201 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0103 H06W0103 +arc: S1_V02S0001 E1_H01W0000 +arc: S1_V02S0301 S3_V06N0003 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0000 H02E0401 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 W1_H02E0301 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 E1_H01W0000 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 V01N0101 +arc: A1 E1_H02W0701 +arc: A3 E1_H02W0501 +arc: A5 E1_H01W0000 +arc: A6 V02S0101 +arc: B1 V00B0000 +arc: B2 V01N0001 +arc: B3 H00R0000 +arc: B4 H00L0000 +arc: B5 S1_V02N0501 +arc: B6 V02S0701 +arc: C1 V02N0401 +arc: C2 H00R0100 +arc: C3 S1_V02N0601 +arc: C4 V00B0100 +arc: C5 V00T0000 +arc: C6 E1_H01E0101 +arc: C7 V00T0100 +arc: D1 S1_V02N0201 +arc: D2 V02S0001 +arc: D3 E1_H02W0001 +arc: D4 V02S0401 +arc: D5 H00L0100 +arc: D6 H00R0100 +arc: D7 S1_V02N0401 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 F7 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H00R0000 F6 +arc: H01W0000 F2 +arc: N1_V01N0001 F3 +arc: N3_V06N0303 F5 +arc: V01S0000 F7 +arc: W3_H06W0203 F4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000010101100 +word: SLICED.K0.INIT 0000000010101100 +word: SLICED.K1.INIT 0000111100000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 0010000000100010 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 0000000010001010 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 + +.tile R55C20:PLC2 +arc: E1_H02E0201 N1_V01S0000 +arc: E1_H02E0401 N1_V01S0000 +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 N3_V06S0203 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 S1_V02N0201 +arc: H00L0100 S1_V02N0301 +arc: H00R0100 N1_V02S0701 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 H06W0303 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0203 H06W0203 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0201 S3_V06N0103 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 N1_V02S0501 +arc: S1_V02S0601 H01E0001 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0100 V02S0301 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 E1_H02W0301 +arc: W1_H02W0001 N1_V01S0000 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0501 V06S0303 +arc: W1_H02W0601 N3_V06S0303 +arc: W1_H02W0701 V02S0701 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0203 E3_H06W0103 +arc: A3 S1_V02N0501 +arc: A7 E1_H02W0501 +arc: B0 H00R0100 +arc: B3 H02E0101 +arc: B4 H02W0301 +arc: B5 V02N0701 +arc: B7 H02E0101 +arc: C0 V02N0401 +arc: C1 H00L0000 +arc: C3 S1_V02N0401 +arc: C4 V00B0100 +arc: C5 V02S0201 +arc: C7 V00T0000 +arc: CE2 N1_V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V01S0000 +arc: D1 H02E0201 +arc: D2 V00T0100 +arc: D3 V00T0100 +arc: D4 H00L0100 +arc: D5 V00B0000 +arc: D6 E1_H02W0001 +arc: D7 E1_H02W0001 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 F1 +arc: E1_H02E0301 F1 +arc: E1_H02E0501 F5 +arc: E3_H06E0103 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F1 +arc: H01W0100 Q6 +arc: M2 H02W0601 +arc: M6 N1_V01N0101 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V01N0101 F0 +arc: N1_V02N0001 F2 +arc: N3_V06N0103 F1 +arc: N3_V06N0303 F6 +arc: S1_V02S0301 F1 +arc: V00B0000 F4 +arc: V01S0000 F1 +arc: V01S0100 Q4 +arc: W1_H02W0301 F1 +arc: W3_H06W0103 Q2 +arc: W3_H06W0303 F5 +word: SLICEC.K0.INIT 1111110000110000 +word: SLICEC.K1.INIT 0011000000111111 +word: SLICEA.K0.INIT 0000000011111100 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1111111110001111 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1111111110001111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 + +.tile R55C21:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0601 H01E0001 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0103 W3_H06E0003 +arc: H00L0100 V02S0301 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 N1_V02S0701 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 E1_H01W0100 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0101 W3_H06E0103 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0601 H01E0001 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 H02E0401 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0301 V06N0003 +arc: W1_H02W0501 H01E0101 +arc: W1_H02W0701 W3_H06E0203 +arc: W3_H06W0203 E1_H01W0000 +arc: W3_H06W0303 N3_V06S0303 +arc: A3 V00T0000 +arc: A5 E1_H02W0501 +arc: B0 H02E0301 +arc: B3 H00R0100 +arc: B4 S1_V02N0501 +arc: B5 S1_V02N0701 +arc: B6 H01E0101 +arc: C0 H02W0401 +arc: C3 H02E0601 +arc: C4 H01E0001 +arc: C5 H02E0401 +arc: C6 V00B0100 +arc: CE0 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V02N0001 +arc: D3 N1_V02S0201 +arc: D4 H02E0201 +arc: D5 V02S0601 +arc: D6 E1_H02W0201 +arc: D7 H02W0201 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 F5 +arc: E3_H06E0003 Q0 +arc: E3_H06E0303 Q6 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: LSR0 V00T0100 +arc: LSR1 V00T0100 +arc: M0 V00B0000 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR3 LSR1 +arc: N3_V06N0303 F6 +arc: W1_H02W0601 F4 +arc: W3_H06W0003 F0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1001000000001001 +word: SLICEC.K0.INIT 0000000011111100 +word: SLICEC.K1.INIT 1011000010000000 +word: SLICEA.K0.INIT 0011000011111100 +word: SLICEA.K1.INIT 0000000011111111 +word: SLICED.K0.INIT 0011000011111100 +word: SLICED.K1.INIT 0000000011111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R55C22:PLC2 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0701 W3_H06E0203 +arc: H00L0000 H02W0001 +arc: H00R0100 V02S0501 +arc: H01W0000 E3_H06W0103 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 W3_H06E0203 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0203 S1_V02N0401 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0201 N1_V02S0201 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 H02W0601 +arc: V00T0000 V02N0401 +arc: V00T0100 H02W0101 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 V06S0303 +arc: W3_H06W0003 N3_V06S0003 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E1_H01W0100 +arc: A1 H00L0000 +arc: A3 V00T0000 +arc: A5 E1_H02W0501 +arc: A7 E1_H02W0701 +arc: B0 V02S0101 +arc: B1 S1_V02N0101 +arc: B3 H02W0301 +arc: B4 H02E0301 +arc: B5 E1_H02W0101 +arc: B7 H02W0301 +arc: C0 H02E0601 +arc: C1 W1_H02E0401 +arc: C3 H02W0401 +arc: C4 H02E0401 +arc: C5 W1_H02E0401 +arc: C7 H02W0401 +arc: CLK0 G_HPBX0000 +arc: D0 W1_H02E0201 +arc: D1 V02S0001 +arc: D2 V01S0100 +arc: D3 V00B0100 +arc: D4 W1_H02E0201 +arc: D5 H00R0100 +arc: D6 H00L0100 +arc: D7 H00L0100 +arc: E1_H01E0101 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F1 +arc: H01W0100 Q6 +arc: M2 V00B0000 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0103 F2 +arc: N3_V06N0303 F6 +arc: V00B0000 F4 +arc: V00B0100 F5 +arc: V01S0100 F5 +arc: W3_H06W0103 Q2 +word: SLICEC.K0.INIT 0000000011111100 +word: SLICEC.K1.INIT 1011000010000000 +word: SLICEA.K0.INIT 0000000011111100 +word: SLICEA.K1.INIT 1011000010000000 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1111111110001111 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1111111110001111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 + +.tile R55C23:PLC2 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 V02S0601 +arc: H00L0000 E1_H02W0001 +arc: H00R0000 V02S0601 +arc: H00R0100 V02S0701 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0601 N3_V06S0303 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0203 N3_V06S0103 +arc: V00T0000 S1_V02N0401 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 V06S0303 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0203 E3_H06W0203 +arc: A1 H00L0000 +arc: A5 V02S0101 +arc: B0 W1_H02E0101 +arc: B1 H02E0301 +arc: B3 S1_V02N0301 +arc: B5 E1_H02W0301 +arc: B7 V02N0501 +arc: C0 W1_H02E0401 +arc: C1 V02S0601 +arc: C3 V02N0601 +arc: C5 V00T0000 +arc: C7 V02S0201 +arc: CE1 V02N0201 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 S1_V02N0001 +arc: D3 V02S0001 +arc: D4 H00L0100 +arc: D5 H00L0100 +arc: D6 V02S0401 +arc: D7 H01W0000 +arc: E1_H01E0101 F0 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F1 +arc: H01W0000 F3 +arc: H01W0100 Q4 +arc: M4 E1_H01E0101 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: N3_V06N0203 F4 +arc: N3_V06N0303 Q6 +arc: V01S0000 F4 +arc: V01S0100 Q3 +arc: W3_H06W0303 Q6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEA.K0.INIT 0000000011111100 +word: SLICEA.K1.INIT 1011000010000000 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1100111111000000 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1111111110001111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 + +.tile R55C24:PLC2 +arc: E3_H06E0103 W3_H06E0003 +arc: H00L0000 V02N0001 +arc: H00R0000 H02W0401 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0701 W1_H02E0701 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0103 H06W0103 +arc: S1_V02S0201 E1_H02W0201 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00T0000 E1_H02W0201 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0203 V06S0203 +arc: A1 H00L0000 +arc: A7 H00R0000 +arc: B1 V02N0301 +arc: B6 V02S0501 +arc: B7 W1_H02E0301 +arc: C1 H02E0401 +arc: C6 V00T0000 +arc: C7 H02E0601 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 V00B0100 +arc: D6 V02S0601 +arc: D7 H02W0201 +arc: E3_H06E0003 F0 +arc: F0 F5A_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: M0 V00B0000 +arc: MUXCLK0 CLK0 +arc: V00B0000 F6 +arc: V00B0100 F7 +arc: W1_H02W0201 Q0 +arc: W3_H06W0003 F0 +word: SLICED.K0.INIT 0000000011111100 +word: SLICED.K1.INIT 1011000010000000 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1111111110001111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 + +.tile R55C25:PLC2 +arc: E1_H02E0601 N3_V06S0303 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0601 S1_V02N0301 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0203 H06W0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N1_V02S0701 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0201 N1_V01S0000 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0501 S3_V06N0303 +arc: W1_H02W0701 S3_V06N0203 +arc: W3_H06W0103 S3_V06N0103 + +.tile R55C26:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0601 E1_H01W0000 +arc: H00R0100 S1_V02N0701 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 H06W0203 +arc: N3_V06N0103 E1_H01W0100 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0401 W3_H06E0203 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 V02S0201 +arc: V00T0100 H02W0301 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0501 S3_V06N0303 +arc: W3_H06W0103 E3_H06W0003 +arc: CE0 E1_H02W0101 +arc: CE1 E1_H02W0101 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q2 +arc: E3_H06E0203 Q4 +arc: E3_H06E0303 Q6 +arc: M0 V00T0100 +arc: M2 V00B0000 +arc: M4 E1_H01E0101 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0203 Q4 +arc: N3_V06N0303 Q6 +arc: V00T0000 Q0 +arc: W3_H06W0203 Q4 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R55C27:PLC2 +arc: E1_H02E0601 N3_V06S0303 +arc: H00R0000 V02S0401 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0401 N3_V06S0203 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0303 W3_H06E0303 +arc: S1_V02S0201 H02E0201 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 W1_H02E0601 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0301 N3_V06S0003 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0003 Q0 +arc: E3_H06E0103 Q2 +arc: H01W0000 Q6 +arc: H01W0100 Q0 +arc: M0 H02E0601 +arc: M2 N1_V01N0001 +arc: M4 V00B0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q4 +arc: N3_V06N0103 Q2 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R55C28:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0601 E1_H01W0000 +arc: H00L0100 V02S0301 +arc: H00R0000 V02S0401 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0601 N1_V01S0000 +arc: S1_V02S0301 N1_V02S0201 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 H02E0601 +arc: V00B0100 N1_V02S0301 +arc: W1_H02W0101 N1_V01S0100 +arc: CE0 H00L0100 +arc: CE1 H00R0000 +arc: CE2 H00R0000 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0201 Q2 +arc: E3_H06E0203 Q4 +arc: M0 V00B0100 +arc: M2 N1_V01N0001 +arc: M4 V00T0000 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V02N0401 Q4 +arc: N3_V06N0103 Q2 +arc: N3_V06N0203 Q4 +arc: V00T0000 Q0 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R55C29:PLC2 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0601 E1_H01W0000 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0601 W3_H06E0303 +arc: N3_V06N0303 H06E0303 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 N1_V02S0601 +arc: V00B0000 V02N0201 +arc: V00T0000 H02E0001 +arc: CE0 H00R0100 +arc: CE1 H02E0101 +arc: CE2 H00R0100 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q2 +arc: E1_H02E0401 Q4 +arc: H01W0000 Q6 +arc: M0 H02E0601 +arc: M2 V00T0000 +arc: M4 E1_H01E0101 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0001 Q0 +arc: N3_V06N0003 Q0 +arc: N3_V06N0203 Q4 +arc: W3_H06W0003 Q0 +arc: W3_H06W0203 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R55C2:PLC2 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0601 E3_H06W0303 +arc: E1_H02E0701 V02N0701 +arc: H00L0000 V02N0201 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0701 S3_V06N0203 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 E1_H01W0000 +arc: V00B0000 H02E0601 +arc: V00B0100 V02S0301 +arc: V00T0100 V02S0501 +arc: CE0 S1_V02N0201 +arc: CE1 S1_V02N0201 +arc: CE2 S1_V02N0601 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q4 +arc: E1_H01E0101 Q0 +arc: E1_H02E0001 Q2 +arc: H01W0100 Q4 +arc: M0 H02E0601 +arc: M2 V00B0100 +arc: M4 V00T0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0401 Q6 +arc: V01S0100 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R55C30:PLC2 +arc: E1_H02E0701 E1_H01W0100 +arc: H00L0000 N1_V02S0201 +arc: H00R0000 N1_V02S0601 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0401 H02E0401 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 H06E0103 +arc: S1_V02S0401 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N1_V02S0701 +arc: V00B0000 N1_V02S0001 +arc: V00T0100 H02E0101 +arc: A2 V01N0101 +arc: A3 V01N0101 +arc: A4 V00T0000 +arc: A5 V00T0000 +arc: A6 N1_V01N0101 +arc: A7 S1_V02N0301 +arc: B2 S1_V02N0301 +arc: B3 V01N0001 +arc: B4 V02N0501 +arc: B5 H02W0301 +arc: B6 V01S0000 +arc: B7 N1_V02S0501 +arc: C2 H00L0000 +arc: C3 V02S0601 +arc: C4 E1_H02W0401 +arc: C5 V00T0100 +arc: C6 H02E0601 +arc: C7 V00B0100 +arc: CE0 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D2 H00R0000 +arc: D3 N1_V02S0201 +arc: D4 H02E0201 +arc: D5 V00B0000 +arc: D6 N1_V02S0401 +arc: D7 V02S0401 +arc: E1_H01E0101 F6 +arc: E1_H02E0101 F3 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: M0 H02W0601 +arc: MUXCLK0 CLK0 +arc: N1_V01N0101 F4 +arc: V00B0100 F5 +arc: V00T0000 Q0 +arc: V01S0000 F2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1000101011001111 +word: SLICEC.K1.INIT 1111010100110001 +word: SLICED.K0.INIT 1000000000000000 +word: SLICED.K1.INIT 1011000000000000 +word: SLICEB.K0.INIT 1010111100100011 +word: SLICEB.K1.INIT 1100001101000001 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R55C31:PLC2 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0401 V02N0401 +arc: H00L0000 N1_V02S0201 +arc: H00L0100 H02E0101 +arc: H00R0000 H02W0601 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0203 H06E0203 +arc: S1_V02S0401 N3_V06S0203 +arc: S3_V06S0303 N1_V02S0601 +arc: V00B0000 V02S0001 +arc: V00T0000 V02S0401 +arc: V00T0100 V02S0501 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0601 E1_H01W0000 +arc: A1 V01N0101 +arc: A4 H02E0701 +arc: B1 E1_H01W0100 +arc: B4 H00R0000 +arc: B5 S1_V02N0501 +arc: C1 H00L0000 +arc: C4 V00T0000 +arc: C5 V02S0201 +arc: CE1 V02N0201 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D1 V02S0201 +arc: D4 H00R0100 +arc: D5 H00L0100 +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00R0100 F5 +arc: H01W0100 Q2 +arc: M2 V00T0100 +arc: M6 V00B0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V01N0101 Q6 +arc: N1_V02N0601 F4 +arc: V01S0000 F1 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1100111101000101 +word: SLICEC.K0.INIT 1001000000000000 +word: SLICEC.K1.INIT 1100111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 + +.tile R55C32:PLC2 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0501 N3_V06S0303 +arc: N3_V06N0203 W3_H06E0203 +arc: N3_V06N0303 W3_H06E0303 +arc: S1_V02S0201 N3_V06S0103 +arc: S3_V06S0203 N1_V02S0701 +arc: V00B0000 V02S0201 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 V02S0501 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0601 W3_H06E0303 +arc: CE0 V02N0201 +arc: CE1 H02E0101 +arc: CE2 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q0 +arc: H01W0100 Q6 +arc: M0 V00T0000 +arc: M2 V00B0000 +arc: M4 H02E0401 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: N3_V06N0003 Q0 +arc: V01S0100 Q4 +arc: W3_H06W0003 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R55C33:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: S3_V06S0303 N3_V06S0203 +arc: W1_H02W0201 W3_H06E0103 + +.tile R55C34:PLC2 +arc: N1_V02N0401 H01E0001 + +.tile R55C3:PLC2 +arc: H00L0000 V02N0001 +arc: H00R0000 H02W0401 +arc: H00R0100 V02S0501 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 H01E0101 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0601 H02E0601 +arc: V00B0000 V02S0201 +arc: V00T0000 H02E0001 +arc: V00T0100 E1_H02W0101 +arc: A1 H02E0701 +arc: A3 H01E0001 +arc: A4 V00T0000 +arc: A5 V00T0000 +arc: A6 N1_V01N0101 +arc: A7 H02W0701 +arc: B1 H00R0100 +arc: B3 H00R0000 +arc: B4 W1_H02E0301 +arc: B5 H02W0301 +arc: B6 V00B0100 +arc: B7 H01E0101 +arc: C1 H02W0601 +arc: C3 H00L0000 +arc: C4 V00T0100 +arc: C5 W1_H02E0401 +arc: C6 V02N0201 +arc: C7 S1_V02N0201 +arc: D1 V02S0001 +arc: D3 V02S0001 +arc: D4 H02W0201 +arc: D5 H02W0001 +arc: D6 V00B0000 +arc: D7 E1_H02W0001 +arc: E1_H01E0001 F6 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: N1_V01N0101 F4 +arc: N1_V02N0101 F3 +arc: S1_V02S0501 F5 +arc: V00B0100 F7 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0001010100111111 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0001001101011111 +word: SLICEC.K0.INIT 1000010000100001 +word: SLICEC.K1.INIT 0001010100111111 +word: SLICED.K0.INIT 1000000000000000 +word: SLICED.K1.INIT 1000010000100001 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R55C4:PLC2 +arc: H00L0100 V02N0101 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 E1_H02W0701 +arc: S1_V02S0201 H01E0001 +arc: S1_V02S0501 E1_H01W0100 +arc: S3_V06S0303 N3_V06S0303 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0601 V02S0601 +arc: A0 H00L0100 +arc: A2 V01N0101 +arc: B2 H01W0100 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V00B0100 +arc: B6 V00B0000 +arc: B7 V01S0000 +arc: CE1 E1_H02W0101 +arc: CE2 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q3 +arc: E1_H02E0401 Q4 +arc: E1_H02E0501 Q7 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q4 +arc: H01W0000 Q6 +arc: H01W0100 Q2 +arc: LSR0 H02W0301 +arc: LSR1 H02W0301 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0101 Q5 +arc: S1_V02S0001 Q2 +arc: S1_V02S0701 Q7 +arc: V00B0000 Q6 +arc: V00B0100 Q5 +arc: V01S0000 Q7 +arc: V01S0100 Q2 +arc: W1_H02W0701 Q5 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R55C5:PLC2 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0701 H01E0101 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 H06W0303 +arc: N3_V06N0103 E3_H06W0103 +arc: S1_V02S0401 E1_H02W0401 +arc: V00T0000 V02N0601 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0301 V01N0101 +arc: B0 V02S0301 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V02N0701 +arc: B6 V00B0000 +arc: B7 V00B0100 +arc: CE0 H02W0101 +arc: CE1 H02W0101 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q3 +arc: E1_H01E0101 Q1 +arc: E1_H02E0101 Q3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0000 Q7 +arc: H01W0100 Q4 +arc: LSR0 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 Q5 +arc: N1_V01N0101 Q0 +arc: S1_V02S0201 Q2 +arc: V00B0000 Q6 +arc: V00B0100 Q7 +arc: V01S0000 Q6 +arc: V01S0100 Q5 +arc: W1_H02W0001 Q0 +arc: W1_H02W0101 Q1 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R55C6:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0501 W1_H02E0501 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0000 V02N0001 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0203 E3_H06W0203 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0601 H01E0001 +arc: V00B0100 V02N0101 +arc: V00T0100 H02W0301 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0601 N1_V01S0000 +arc: W1_H02W0701 H01E0101 +arc: A4 V02S0101 +arc: A5 H02E0701 +arc: A7 E1_H01W0000 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B4 V02N0501 +arc: B5 V02S0701 +arc: B7 V02N0701 +arc: C4 V00T0100 +arc: C5 H02W0601 +arc: C7 W1_H02E0401 +arc: CE0 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D4 V02S0401 +arc: D5 V00B0000 +arc: D7 S1_V02N0401 +arc: E1_H01E0101 F4 +arc: E1_H02E0301 Q1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: LSR1 H02E0301 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR1 +arc: N1_V01N0001 Q0 +arc: S1_V02S0501 F5 +arc: V00B0000 F6 +arc: V00T0000 Q0 +arc: W3_H06W0003 Q0 +word: SLICEC.K0.INIT 0001001101011111 +word: SLICEC.K1.INIT 1001000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001010 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1000010010100101 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 + +.tile R55C7:PLC2 +arc: E1_H02E0101 S3_V06N0103 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0601 V02S0601 +arc: H00L0000 H02W0201 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 H02W0501 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 N1_V02S0501 +arc: S1_V02S0601 N1_V01S0000 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 V02S0201 +arc: V00B0100 H02E0501 +arc: V00T0100 H02E0301 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0401 N1_V01S0000 +arc: W3_H06W0303 E3_H06W0203 +arc: A1 V01N0101 +arc: A4 V00T0100 +arc: A5 V00T0100 +arc: A6 V02S0101 +arc: B1 V00T0000 +arc: B4 H00L0000 +arc: B5 H00L0000 +arc: B6 V02N0501 +arc: B7 H02W0301 +arc: C1 H02E0401 +arc: C4 V00B0100 +arc: C5 V01N0101 +arc: C6 E1_H01E0101 +arc: C7 E1_H02W0401 +arc: CE1 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D1 N1_V01S0000 +arc: D4 S1_V02N0601 +arc: D5 H02E0201 +arc: D6 H00R0100 +arc: D7 E1_H02W0201 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 F7 +arc: E1_H02E0301 F1 +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q2 +arc: M2 V00B0000 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V02N0701 F7 +arc: S3_V06S0303 F6 +arc: V00T0000 Q2 +arc: V01S0000 F7 +arc: W1_H02W0501 F7 +arc: W1_H02W0601 F4 +arc: W1_H02W0701 F7 +arc: W3_H06W0203 F7 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000011101110111 +word: SLICED.K0.INIT 0001001101011111 +word: SLICED.K1.INIT 0011000000000000 +word: SLICEC.K0.INIT 1001100100001001 +word: SLICEC.K1.INIT 0001010100111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R55C8:PLC2 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 S3_V06N0203 +arc: E1_H02E0501 V06S0303 +arc: E3_H06E0003 N3_V06S0003 +arc: H00R0000 H02E0401 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 V01N0001 +arc: N3_V06N0103 H06W0103 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0601 S3_V06N0303 +arc: S3_V06S0003 N3_V06S0303 +arc: V00B0000 V02N0201 +arc: V00B0100 H02W0501 +arc: V00T0000 H02W0201 +arc: V00T0100 H02E0301 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0301 N1_V01S0100 +arc: W1_H02W0401 S3_V06N0203 +arc: W1_H02W0701 N1_V01S0100 +arc: A4 H02E0501 +arc: A7 H00L0000 +arc: B4 H02W0301 +arc: B7 V02S0701 +arc: C4 E1_H01E0101 +arc: C5 V00T0000 +arc: C7 H01E0001 +arc: CE0 S1_V02N0201 +arc: CE1 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D4 V01N0001 +arc: D5 V00B0000 +arc: D7 H00R0100 +arc: E1_H01E0101 F5 +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 Q2 +arc: H00R0100 F5 +arc: M0 V00B0100 +arc: M2 H02E0601 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N1_V01N0101 Q2 +arc: S1_V02S0401 F4 +arc: S1_V02S0701 F5 +arc: S3_V06S0303 F6 +arc: W1_H02W0201 Q0 +arc: W1_H02W0501 F5 +arc: W3_H06W0303 F5 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0001010100111111 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0001010100111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 + +.tile R55C9:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0401 N3_V06S0203 +arc: E1_H02E0501 E1_H01W0100 +arc: H00R0000 V02S0401 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 N1_V01S0000 +arc: N3_V06N0003 E3_H06W0003 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 S3_V06N0203 +arc: S3_V06S0003 N3_V06S0303 +arc: V00B0000 V02N0201 +arc: V00B0100 E1_H02W0701 +arc: V00T0100 E1_H02W0301 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 N1_V02S0301 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0501 E1_H02W0501 +arc: B3 V02N0101 +arc: B4 V02S0501 +arc: B7 V01S0000 +arc: C3 V02N0401 +arc: C4 V00T0100 +arc: C7 V02S0001 +arc: CE1 V02S0201 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D3 V02N0001 +arc: D4 N1_V02S0401 +arc: D5 H02W0201 +arc: D6 V02S0601 +arc: D7 H01W0000 +arc: E3_H06E0203 Q4 +arc: E3_H06E0303 Q6 +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F3 +arc: M4 V00B0000 +arc: M6 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0303 Q6 +arc: V01S0000 Q3 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 1111110000001100 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1100111111000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R56C10:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0301 E3_H06W0003 +arc: E1_H02E0501 S1_V02N0501 +arc: E3_H06E0003 N3_V06S0003 +arc: E3_H06E0303 S3_V06N0303 +arc: H00L0000 H02E0201 +arc: H00L0100 V02S0101 +arc: H00R0000 H02W0601 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0601 H06W0303 +arc: N3_V06N0003 E3_H06W0003 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0103 N1_V02S0101 +arc: V00B0000 V02S0201 +arc: V00B0100 V02S0301 +arc: V00T0000 H02E0001 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0701 V06S0203 +arc: B1 H02W0301 +arc: B2 E1_H01W0100 +arc: B4 V02N0501 +arc: B7 V00T0000 +arc: C1 V02N0601 +arc: C2 E1_H02W0601 +arc: C4 E1_H02W0601 +arc: C7 V00T0100 +arc: CE0 H00L0100 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D1 H00R0000 +arc: D2 V02S0001 +arc: D3 E1_H02W0001 +arc: D4 V00B0000 +arc: D5 V02N0401 +arc: D7 S1_V02N0401 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q4 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q1 +arc: M2 V00B0100 +arc: M4 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F7 +arc: N1_V02N0701 Q7 +arc: N3_V06N0103 F1 +arc: W1_H02W0301 F1 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111110000110000 +word: SLICEC.K0.INIT 1111110000001100 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111110000001100 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R56C11:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0601 V06S0303 +arc: E3_H06E0003 W3_H06E0003 +arc: H00L0000 V02S0201 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 V02S0701 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0301 H06W0003 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0601 V01N0001 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: V00B0000 S1_V02N0201 +arc: W1_H02W0201 S3_V06N0103 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0701 V06S0203 +arc: A0 V01N0101 +arc: A2 V02S0501 +arc: A3 H02E0501 +arc: A4 V00B0000 +arc: A5 V02S0101 +arc: A6 H02W0501 +arc: A7 V02S0301 +arc: B2 H00R0000 +arc: B3 H00R0100 +arc: B4 N1_V01S0000 +arc: B5 H00L0000 +arc: B6 V02N0501 +arc: B7 S1_V02N0701 +arc: E1_H01E0001 F3 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F5 +arc: N1_V01N0101 F2 +arc: S3_V06S0203 F7 +arc: V01S0000 F4 +arc: W1_H02W0601 F6 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R56C12:PLC2 +arc: E1_H02E0601 N3_V06S0303 +arc: H00L0100 H02E0101 +arc: H00R0000 V02S0401 +arc: H00R0100 V02S0701 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0601 H02W0601 +arc: N3_V06N0003 E1_H01W0000 +arc: N3_V06N0103 E1_H01W0100 +arc: N3_V06N0203 S1_V02N0401 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 H06W0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 V02N0101 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 H02W0301 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 E1_H02W0301 +arc: W3_H06W0303 V01N0101 +arc: A0 V02S0501 +arc: A1 H00L0100 +arc: A2 H02W0501 +arc: A3 V00B0000 +arc: A4 H02W0701 +arc: A5 V00T0000 +arc: A6 H00R0000 +arc: A7 V00T0100 +arc: B0 V02N0301 +arc: B1 V01N0001 +arc: B2 H00R0100 +arc: B3 V02S0301 +arc: B4 V02N0501 +arc: B5 S1_V02N0701 +arc: B6 V00B0100 +arc: B7 V02N0701 +arc: E1_H01E0001 F6 +arc: E3_H06E0203 F7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: N1_V01N0001 F2 +arc: S1_V02S0101 F3 +arc: S1_V02S0301 F1 +arc: S3_V06S0003 F0 +arc: S3_V06S0303 F5 +arc: V01S0100 F4 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R56C13:PLC2 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0701 W1_H02E0601 +arc: H00L0000 V02S0201 +arc: H00L0100 E1_H02W0101 +arc: H00R0000 V02S0401 +arc: H00R0100 V02S0501 +arc: H01W0000 E3_H06W0103 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0601 H06W0303 +arc: N3_V06N0003 E1_H01W0000 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0303 E1_H01W0100 +arc: S1_V02S0001 H01E0001 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 H02E0601 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 V02N0301 +arc: V00T0000 H02W0001 +arc: V00T0100 E1_H02W0301 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0301 V06S0003 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 V06S0203 +arc: W3_H06W0303 E3_H06W0303 +arc: A0 V02S0701 +arc: A1 H00L0100 +arc: A2 V00T0000 +arc: A3 S1_V02N0501 +arc: A4 V02N0101 +arc: A5 V02S0101 +arc: A6 E1_H02W0701 +arc: A7 V00T0100 +arc: B0 H00R0100 +arc: B1 V00B0000 +arc: B2 N1_V02S0301 +arc: B3 H00R0000 +arc: B4 N1_V01S0000 +arc: B5 H00L0000 +arc: B6 V00B0100 +arc: B7 S1_V02N0701 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 F4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F6 +arc: N1_V02N0501 F7 +arc: S1_V02S0201 F2 +arc: S1_V02S0701 F5 +arc: S3_V06S0003 F0 +arc: V01S0000 F3 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 0110011010101010 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R56C14:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 S3_V06N0003 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 V06S0303 +arc: E3_H06E0003 S3_V06N0003 +arc: E3_H06E0103 V06S0103 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 E1_H02W0201 +arc: H00L0100 H02E0101 +arc: H00R0000 V02S0601 +arc: H00R0100 V02S0501 +arc: H01W0000 E3_H06W0103 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 E1_H01W0100 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 N1_V01S0000 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0201 H01E0001 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0401 S3_V06N0203 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0103 H01E0101 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02N0001 +arc: V00B0100 V02N0301 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0301 S3_V06N0003 +arc: W1_H02W0501 V06S0303 +arc: W3_H06W0003 S3_V06N0003 +arc: A0 H02E0701 +arc: A1 H00L0100 +arc: A2 S1_V02N0501 +arc: A3 V02N0501 +arc: A4 S1_V02N0301 +arc: A5 V00T0100 +arc: A6 H02W0501 +arc: A7 N1_V02S0101 +arc: B0 V02S0301 +arc: B1 V00T0000 +arc: B2 H00R0100 +arc: B3 H00R0000 +arc: B4 V02N0701 +arc: B5 H00L0000 +arc: B6 V00B0100 +arc: B7 V00B0000 +arc: E1_H01E0001 F3 +arc: E1_H02E0701 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F6 +arc: N1_V01N0101 F7 +arc: N1_V02N0001 F2 +arc: N1_V02N0301 F1 +arc: N1_V02N0401 F4 +arc: N3_V06N0003 F0 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R56C15:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0303 V06S0303 +arc: H00L0000 H02E0001 +arc: H00L0100 V02S0301 +arc: H00R0100 N1_V02S0701 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 V01N0001 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 S3_V06N0003 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0501 W3_H06E0303 +arc: S3_V06S0203 N1_V01S0000 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 H02E0401 +arc: V00T0000 V02S0601 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 N3_V06S0003 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0701 N3_V06S0203 +arc: W3_H06W0303 V06S0303 +arc: A0 H02W0701 +arc: A1 H00L0000 +arc: B0 V02N0301 +arc: B1 V00T0000 +arc: C4 V00T0100 +arc: C5 V00T0100 +arc: C6 V00T0100 +arc: C7 V00T0100 +arc: D4 V00B0000 +arc: D5 H00R0100 +arc: D6 N1_V02S0401 +arc: D7 H00L0100 +arc: E1_H01E0001 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F1 +arc: N1_V01N0101 F5 +arc: V01S0000 F0 +arc: V01S0100 F7 +arc: W1_H02W0401 F4 +word: SLICED.K0.INIT 0000111111110000 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 0000111111110000 +word: SLICEC.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R56C16:PLC2 +arc: E1_H02E0001 E1_H01W0000 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 N1_V01S0100 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 N1_V02S0001 +arc: H00L0100 E1_H02W0101 +arc: H00R0000 H02W0601 +arc: H00R0100 E1_H02W0501 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 S1_V02N0101 +arc: N3_V06N0003 H06W0003 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0201 W3_H06E0103 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0100 H02W0501 +arc: V00T0000 W1_H02E0201 +arc: V00T0100 H02W0301 +arc: W1_H02W0101 N3_V06S0103 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0701 E1_H02W0701 +arc: W3_H06W0303 E1_H01W0100 +arc: B1 H01W0100 +arc: B3 H02E0301 +arc: B4 V02S0501 +arc: B7 V02N0501 +arc: C1 H00L0000 +arc: C3 H00L0100 +arc: C4 E1_H02W0601 +arc: C7 N1_V02S0001 +arc: CE0 H00R0000 +arc: CE1 H02E0101 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0001 +arc: D1 V01S0100 +arc: D3 V00T0100 +arc: D4 H00L0100 +arc: D5 V02N0401 +arc: D6 H00R0100 +arc: D7 V01N0001 +arc: E1_H01E0001 Q4 +arc: E3_H06E0003 Q0 +arc: E3_H06E0303 Q6 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q0 +arc: H01W0100 Q3 +arc: M0 V00B0100 +arc: M4 V00T0000 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0601 Q6 +arc: V01S0100 F3 +arc: W3_H06W0003 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111110000110000 +word: SLICEC.K0.INIT 1111110000001100 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1100111111000000 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1100111111000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R56C17:PLC2 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0003 S3_V06N0003 +arc: H00L0000 N1_V02S0001 +arc: H00R0000 N1_V02S0601 +arc: H00R0100 W1_H02E0701 +arc: H01W0000 E3_H06W0103 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0401 H06W0203 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 E1_H01W0100 +arc: N3_V06N0203 E1_H01W0000 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0601 N3_V06S0303 +arc: V00B0000 H02E0401 +arc: V00T0000 V02N0401 +arc: V00T0100 N1_V02S0501 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 N1_V02S0601 +arc: A0 H01E0001 +arc: B0 V02N0301 +arc: B1 V02N0101 +arc: B3 V01N0001 +arc: B5 H02E0301 +arc: B7 H02W0301 +arc: C0 V02N0401 +arc: C1 W1_H02E0601 +arc: C3 H00L0000 +arc: C4 V02N0201 +arc: C5 V02N0201 +arc: C6 V02N0201 +arc: C7 V02N0201 +arc: CE1 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 E1_H02W0001 +arc: D2 H02E0001 +arc: D3 S1_V02N0201 +arc: D4 V02N0601 +arc: D5 W1_H02E0001 +arc: D6 H00R0100 +arc: D7 V00B0000 +arc: E1_H01E0101 Q2 +arc: E3_H06E0103 Q2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 Q2 +arc: M2 V00T0100 +arc: M4 V00T0000 +arc: M6 V00T0000 +arc: MUXCLK1 CLK0 +arc: S1_V02S0401 F6 +arc: V01S0100 F4 +arc: W1_H02W0101 F1 +arc: W3_H06W0003 F0 +arc: W3_H06W0103 Q2 +word: SLICEA.K0.INIT 1111110100000000 +word: SLICEA.K1.INIT 0011000000111111 +word: SLICEC.K0.INIT 0000111111111111 +word: SLICEC.K1.INIT 0011000000111111 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1100111111000000 +word: SLICED.K0.INIT 0000111111111111 +word: SLICED.K1.INIT 0011000000111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R56C18:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0601 W1_H02E0601 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0203 W1_H02E0401 +arc: H00L0000 S1_V02N0201 +arc: H00R0000 S1_V02N0601 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 H01E0101 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0501 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N1_V02S0701 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 H02E0601 +arc: V00B0100 H02E0501 +arc: V00T0000 W1_H02E0201 +arc: V00T0100 V02N0701 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0301 N1_V02S0301 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 V06S0203 +arc: W3_H06W0003 E3_H06W0303 +arc: A1 W1_H02E0701 +arc: A7 V02S0301 +arc: B1 V00B0000 +arc: B3 H02E0301 +arc: B5 V01S0000 +arc: B6 S1_V02N0701 +arc: B7 V02N0501 +arc: C1 H02W0401 +arc: C3 H00L0000 +arc: C5 N1_V02S0001 +arc: C6 V00T0000 +arc: C7 V00T0100 +arc: CE1 N1_V02S0201 +arc: CE2 N1_V02S0601 +arc: CLK0 G_HPBX0000 +arc: D1 W1_H02E0201 +arc: D3 H00R0000 +arc: D4 H02E0201 +arc: D5 V02S0401 +arc: D6 H02W0201 +arc: D7 H00L0100 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 F7 +arc: H01W0100 F6 +arc: M4 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F3 +arc: N3_V06N0203 Q4 +arc: V01S0000 Q3 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000010101100 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 0000000010001010 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1100111111000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R56C19:PLC2 +arc: E1_H02E0101 W1_H02E0001 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0401 N1_V01S0000 +arc: E1_H02E0601 V02N0601 +arc: H00L0000 V02N0001 +arc: H00L0100 V02S0301 +arc: H00R0000 H02W0401 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 H02E0601 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 E1_H01W0100 +arc: N3_V06N0303 E1_H01W0100 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0401 N1_V01S0000 +arc: S3_V06S0103 N1_V01S0100 +arc: V00B0000 V02S0001 +arc: V00B0100 W1_H02E0501 +arc: V00T0000 H02E0201 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0701 E1_H02W0701 +arc: W3_H06W0103 E1_H01W0100 +arc: A3 V00B0000 +arc: A5 H02E0701 +arc: B2 S1_V02N0101 +arc: B3 H00R0000 +arc: B5 H02E0301 +arc: B7 V00T0000 +arc: C2 H00R0100 +arc: C3 H00L0000 +arc: C5 H02W0601 +arc: C7 S1_V02N0001 +arc: CE3 N1_V02S0601 +arc: CLK0 G_HPBX0000 +arc: D2 E1_H02W0201 +arc: D3 V01S0100 +arc: D5 H00R0100 +arc: D6 S1_V02N0401 +arc: D7 H00L0100 +arc: E3_H06E0303 Q6 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: M0 V00T0100 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 F2 +arc: V01S0100 F5 +arc: W3_H06W0003 F3 +arc: W3_H06W0303 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000011001010 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 0000000010001010 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1111001111000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R56C20:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0401 N1_V01S0000 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 V01N0001 +arc: E1_H02E0701 V01N0101 +arc: E3_H06E0003 N3_V06S0003 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 V06S0203 +arc: H00L0000 H02W0001 +arc: H00R0000 H02E0601 +arc: H00R0100 V02N0701 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0303 H06W0303 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 S1_V02N0101 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 E3_H06W0103 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0601 V02S0601 +arc: A3 E1_H02W0501 +arc: A5 V02S0301 +arc: A7 E1_H02W0701 +arc: B0 H02W0101 +arc: B3 H02E0101 +arc: B4 S1_V02N0701 +arc: B5 H00R0000 +arc: B7 H02W0301 +arc: C0 H00L0000 +arc: C3 V02N0601 +arc: C4 E1_H02W0401 +arc: C5 V02S0001 +arc: C7 H02E0401 +arc: CLK0 G_HPBX0000 +arc: D0 S1_V02N0201 +arc: D1 V00T0100 +arc: D2 V02N0001 +arc: D3 V02N0001 +arc: D4 S1_V02N0401 +arc: D5 H01W0000 +arc: D7 H00R0100 +arc: E1_H02E0201 Q0 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: H01W0100 F2 +arc: M0 V00B0100 +arc: M2 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N3_V06N0103 Q2 +arc: V01S0000 Q0 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 F4 +arc: W3_H06W0303 F5 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000011001010 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 0000000010001010 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1111111110001111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 + +.tile R56C21:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0601 V02S0601 +arc: E3_H06E0003 W3_H06E0303 +arc: H00L0000 H02E0201 +arc: H00L0100 H02E0301 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0203 E1_H01W0000 +arc: S1_V02S0501 E1_H01W0100 +arc: S1_V02S0601 H01E0001 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 V02N0001 +arc: V00B0100 V02N0101 +arc: V00T0000 H02E0001 +arc: V00T0100 E1_H02W0101 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 N3_V06S0303 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0103 E3_H06W0103 +arc: A5 S1_V02N0101 +arc: B0 V02S0101 +arc: B3 H02W0101 +arc: B5 V02N0701 +arc: B6 H02E0301 +arc: C0 H00L0100 +arc: C2 H00L0000 +arc: C3 H00R0100 +arc: C5 H02E0601 +arc: C6 V00T0000 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V02S0201 +arc: D1 V00T0100 +arc: D2 E1_H02W0001 +arc: D3 E1_H02W0201 +arc: D5 V00B0000 +arc: D6 V02S0401 +arc: D7 S1_V02N0401 +arc: E1_H01E0101 Q0 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F3 +arc: H01W0100 F2 +arc: M0 V00B0100 +arc: M4 H02E0401 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: N1_V02N0401 F4 +arc: V01S0000 Q6 +arc: V01S0100 Q6 +arc: W3_H06W0003 Q0 +word: SLICEB.K0.INIT 1111000000000000 +word: SLICEB.K1.INIT 0011000000111111 +word: SLICED.K0.INIT 1111110000110000 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1110000011101110 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R56C22:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0601 S3_V06N0303 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0203 V06S0203 +arc: H00L0000 H02W0001 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 V02S0601 +arc: H00R0100 W1_H02E0501 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 W3_H06E0303 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0601 N1_V02S0301 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02S0001 +arc: V00B0100 S1_V02N0101 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 H02W0301 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0701 W3_H06E0203 +arc: W3_H06W0303 E3_H06W0303 +arc: A3 H02W0501 +arc: A5 V00T0000 +arc: B0 H00R0100 +arc: B3 H00L0000 +arc: B4 V02S0501 +arc: B5 S1_V02N0501 +arc: C0 H02E0401 +arc: C3 H02W0401 +arc: C4 H02E0601 +arc: C5 H02W0601 +arc: CE3 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V00T0100 +arc: D2 V01S0100 +arc: D3 V01S0100 +arc: D4 H00L0100 +arc: D5 E1_H02W0001 +arc: E3_H06E0103 F2 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 Q2 +arc: H01W0100 Q0 +arc: M0 V00B0100 +arc: M2 N1_V01N0001 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F4 +arc: N3_V06N0103 F2 +arc: S1_V02S0401 Q6 +arc: V01S0100 F5 +arc: W3_H06W0103 F2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000011111100 +word: SLICEC.K1.INIT 1011000010000000 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111111100000000 +word: SLICEB.K1.INIT 1111111110001111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 + +.tile R56C23:PLC2 +arc: E1_H02E0001 V06N0003 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0601 N1_V02S0601 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 V02S0201 +arc: H00R0000 N1_V02S0601 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 W3_H06E0003 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 H02E0601 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 H01E0001 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0701 N1_V02S0601 +arc: V00T0000 V02N0401 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 V02S0501 +arc: W1_H02W0601 N1_V02S0601 +arc: W1_H02W0701 N3_V06S0203 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 N1_V01S0000 +arc: A1 V02S0701 +arc: A7 N1_V02S0301 +arc: B0 H02W0301 +arc: B1 V02N0101 +arc: B7 V02N0701 +arc: C0 H00L0000 +arc: C1 N1_V02S0601 +arc: C7 V00T0000 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 S1_V02N0001 +arc: D6 H00L0100 +arc: D7 H00L0100 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F1 +arc: M6 N1_V01N0101 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 F0 +arc: N3_V06N0303 F6 +arc: W3_H06W0303 Q6 +word: SLICEA.K0.INIT 0000000011111100 +word: SLICEA.K1.INIT 1011000010000000 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1111111110001111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 + +.tile R56C24:PLC2 +arc: H00L0000 V02S0201 +arc: H00R0000 V02N0401 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0701 W3_H06E0203 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 S1_V02N0301 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 N1_V01S0100 +arc: W3_H06W0303 E3_H06W0303 +arc: A1 V02N0501 +arc: A3 E1_H02W0501 +arc: A5 V02N0301 +arc: A7 H00R0000 +arc: B1 H02E0301 +arc: B2 N1_V02S0101 +arc: B3 V02N0101 +arc: B4 H02W0301 +arc: B5 W1_H02E0101 +arc: B7 H02E0301 +arc: C1 H02E0401 +arc: C2 H00L0000 +arc: C3 N1_V02S0601 +arc: C4 V02S0201 +arc: C5 H02E0601 +arc: C7 H02E0401 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V01S0100 +arc: D2 V00T0100 +arc: D3 V00B0100 +arc: D4 N1_V02S0601 +arc: D5 V00B0000 +arc: D6 H00R0100 +arc: D7 H00R0100 +arc: E3_H06E0303 F6 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00R0100 F5 +arc: H01W0000 Q6 +arc: M0 V00T0000 +arc: M6 N1_V01N0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 F4 +arc: N1_V02N0401 F6 +arc: N3_V06N0003 F0 +arc: N3_V06N0303 F6 +arc: V00T0000 F2 +arc: V01S0100 F3 +arc: W3_H06W0003 Q0 +word: SLICEB.K0.INIT 0000000011111100 +word: SLICEB.K1.INIT 1011000010000000 +word: SLICEC.K0.INIT 0000000011111100 +word: SLICEC.K1.INIT 1011000010000000 +word: SLICED.K0.INIT 1111111100000000 +word: SLICED.K1.INIT 1111111110001111 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1111111110001111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 + +.tile R56C25:PLC2 +arc: N1_V02N0301 W1_H02E0301 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0303 W3_H06E0303 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 N1_V02S0601 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0301 N1_V02S0301 + +.tile R56C26:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0601 V02S0601 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0601 N1_V01S0000 +arc: N3_V06N0203 H06E0203 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 W3_H06E0103 +arc: S1_V02S0301 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0003 +arc: W1_H02W0501 V02N0501 +arc: W3_H06W0103 E3_H06W0003 +arc: W3_H06W0303 E3_H06W0303 + +.tile R56C27:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0601 V01N0001 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 H01E0001 +arc: N3_V06N0303 H06E0303 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0501 N1_V02S0501 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0203 +arc: W1_H02W0601 V02N0601 +arc: CE1 V02S0201 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q2 +arc: M2 E1_H02W0601 +arc: MUXCLK1 CLK0 +arc: N1_V02N0201 Q2 +arc: N3_V06N0103 Q2 +arc: W3_H06W0103 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R56C28:PLC2 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0601 H01E0001 +arc: H00L0100 N1_V02S0301 +arc: H00R0100 V02N0701 +arc: N1_V02N0501 N3_V06S0303 +arc: N3_V06N0103 W3_H06E0103 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0601 W1_H02E0601 +arc: V00B0100 V02S0301 +arc: V01S0000 N3_V06S0103 +arc: CE0 H00R0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0003 Q0 +arc: E3_H06E0303 Q6 +arc: M0 V00T0000 +arc: M2 H02E0601 +arc: M4 V00B0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0001 Q0 +arc: N1_V02N0601 Q6 +arc: N3_V06N0003 Q0 +arc: N3_V06N0303 Q6 +arc: V00B0000 Q4 +arc: V00T0000 Q2 +arc: W3_H06W0003 Q0 +arc: W3_H06W0303 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R56C29:PLC2 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0401 W3_H06E0203 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0303 H06E0303 +arc: V00T0000 H02E0201 +arc: W1_H02W0601 E1_H01W0000 +arc: CE1 V02S0201 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0001 Q2 +arc: M2 V00T0000 +arc: MUXCLK1 CLK0 +arc: N3_V06N0103 Q2 +arc: W3_H06W0103 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R56C2:PLC2 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 V01N0101 +arc: H00R0000 S1_V02N0401 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0701 H06W0203 +arc: V00B0000 H02W0601 +arc: CE0 V02N0201 +arc: CE1 H00R0000 +arc: CE2 H00R0000 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q2 +arc: E1_H02E0201 Q2 +arc: E1_H02E0401 Q4 +arc: H01W0100 Q6 +arc: M0 H02W0601 +arc: M2 H02E0601 +arc: M4 V00B0000 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: V01S0100 Q4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R56C30:PLC2 +arc: H00R0000 E1_H02W0601 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0501 E1_H01W0100 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0203 +arc: V00T0000 V02S0401 +arc: V00T0100 V02N0501 +arc: W1_H02W0701 N1_V02S0701 +arc: CE0 H02W0101 +arc: CE1 H02W0101 +arc: CE2 H02W0101 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0401 Q6 +arc: H01W0000 Q6 +arc: M0 V00T0000 +arc: M2 W1_H02E0601 +arc: M4 V00T0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 Q0 +arc: N3_V06N0303 Q6 +arc: V01S0100 Q4 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R56C31:PLC2 +arc: H00L0100 S1_V02N0101 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0601 N1_V01S0000 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0303 H06E0303 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 H02W0401 +arc: V00T0000 W1_H02E0001 +arc: W1_H02W0101 S1_V02N0101 +arc: CE0 S1_V02N0201 +arc: CE1 S1_V02N0201 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q2 +arc: H01W0100 Q0 +arc: M0 V00T0000 +arc: M2 V00B0000 +arc: M4 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q4 +arc: V01S0000 Q2 +arc: V01S0100 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R56C32:PLC2 +arc: H00L0000 V02S0201 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 N1_V01S0100 +arc: V00B0100 V02N0101 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0601 N1_V01S0000 +arc: CE0 V02S0201 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q0 +arc: M0 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0003 Q0 +arc: N3_V06N0303 Q6 +arc: V00T0000 Q0 +arc: W1_H02W0401 Q6 +arc: W3_H06W0003 Q0 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R56C3:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: H00L0000 H02E0201 +arc: H00R0000 V02S0601 +arc: H00R0100 H02E0701 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0301 S3_V06N0003 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0501 E1_H02W0501 +arc: V00B0000 H02E0401 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 N1_V02S0501 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0201 V06N0103 +arc: W1_H02W0601 N3_V06S0303 +arc: A0 H01E0001 +arc: A1 V02N0701 +arc: A2 W1_H02E0501 +arc: A3 E1_H02W0501 +arc: A4 S1_V02N0101 +arc: A5 H02E0501 +arc: A7 H00L0000 +arc: B0 V00B0000 +arc: B1 H00R0100 +arc: B2 V02S0301 +arc: B3 W1_H02E0301 +arc: B4 H02E0301 +arc: B5 V00B0100 +arc: B7 V00T0000 +arc: C0 N1_V02S0601 +arc: C1 V02N0601 +arc: C2 V02S0401 +arc: C3 V02S0401 +arc: C4 E1_H01E0101 +arc: C5 H02E0601 +arc: C7 V02S0201 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 H00R0000 +arc: D2 E1_H02W0201 +arc: D3 N1_V02S0001 +arc: D4 S1_V02N0601 +arc: D5 H00L0100 +arc: D7 V02S0601 +arc: E1_H01E0101 F5 +arc: E1_H02E0201 F0 +arc: E1_H02E0601 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F1 +arc: M6 N1_V01N0101 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 F3 +arc: N1_V02N0201 F2 +arc: S1_V02S0601 F6 +word: SLICEC.K0.INIT 1010111000001100 +word: SLICEC.K1.INIT 0111000000000000 +word: SLICEA.K0.INIT 1000010000100001 +word: SLICEA.K1.INIT 0001010100111111 +word: SLICEB.K0.INIT 1000010000100001 +word: SLICEB.K1.INIT 0000011101110111 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0001010100111111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 + +.tile R56C4:PLC2 +arc: E1_H02E0601 N1_V01S0000 +arc: E1_H02E0701 V02S0701 +arc: H00L0000 H02W0001 +arc: H00L0100 V02N0301 +arc: H00R0000 H02W0401 +arc: H00R0100 E1_H02W0501 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 H02E0201 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0701 E1_H01W0100 +arc: V00B0100 E1_H02W0701 +arc: A1 V02N0501 +arc: A3 V02S0501 +arc: A6 H02W0701 +arc: A7 N1_V01S0100 +arc: B1 E1_H02W0301 +arc: B3 V02N0301 +arc: B4 V01S0000 +arc: B5 H00R0000 +arc: B6 N1_V02S0501 +arc: B7 V02S0501 +arc: C1 H00L0000 +arc: C3 H00L0000 +arc: C4 V02S0201 +arc: C5 F4 +arc: C6 V02S0001 +arc: C7 S1_V02N0201 +arc: D1 V00B0100 +arc: D3 N1_V02S0201 +arc: D4 H00R0100 +arc: D5 E1_H02W0201 +arc: D6 H02E0001 +arc: D7 H00L0100 +arc: E1_H01E0001 F5 +arc: E1_H02E0401 F4 +arc: E3_H06E0003 F0 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: M0 V00B0000 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F4 +arc: N1_V02N0301 F3 +arc: V00B0000 F6 +arc: V01S0000 F7 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0001010100111111 +word: SLICED.K0.INIT 0000011101110111 +word: SLICED.K1.INIT 1000010000100001 +word: SLICEC.K0.INIT 0011111111111111 +word: SLICEC.K1.INIT 0000001100000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0001001101011111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 + +.tile R56C5:PLC2 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 N1_V02S0701 +arc: E3_H06E0003 V06S0003 +arc: E3_H06E0303 W1_H02E0601 +arc: H00R0000 N1_V02S0401 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0101 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 V02N0001 +arc: V00B0100 E1_H02W0501 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0101 N3_V06S0103 +arc: W1_H02W0201 N1_V01S0000 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0701 N1_V02S0701 +arc: W3_H06W0203 N1_V01S0000 +arc: A1 V01N0101 +arc: A4 S1_V02N0301 +arc: A6 S1_V02N0301 +arc: B1 H02W0101 +arc: B3 E1_H01W0100 +arc: B4 V01S0000 +arc: B6 V01S0000 +arc: C0 S1_V02N0401 +arc: C1 S1_V02N0401 +arc: C3 H00R0100 +arc: C4 H01E0001 +arc: C5 V00B0100 +arc: C6 H01E0001 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0001 +arc: D1 H00R0000 +arc: D3 V02N0001 +arc: D4 V00B0000 +arc: D5 V02S0401 +arc: D6 V00B0000 +arc: E1_H02E0001 F0 +arc: E1_H02E0101 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F3 +arc: H00R0100 F5 +arc: H01W0100 F1 +arc: LSR0 V00T0100 +arc: M6 H02E0401 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0101 F4 +arc: N1_V02N0601 F4 +arc: V01S0000 F5 +arc: W1_H02W0401 Q6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1100111111001100 +word: SLICEA.K0.INIT 1111000000000000 +word: SLICEA.K1.INIT 1000010000100001 +word: SLICEC.K0.INIT 1011001110100000 +word: SLICEC.K1.INIT 0000000000001111 +word: SLICED.K0.INIT 0100110001011111 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R56C6:PLC2 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 V06N0303 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 W1_H02E0601 +arc: H00L0000 N1_V02S0001 +arc: H00R0000 S1_V02N0601 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 W1_H02E0701 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 V02N0301 +arc: V00T0000 H02E0201 +arc: V00T0100 H02W0101 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0501 V02S0501 +arc: W1_H02W0701 V02N0701 +arc: A0 S1_V02N0501 +arc: A3 V00T0000 +arc: A5 V02N0301 +arc: A6 H00L0000 +arc: A7 H02E0701 +arc: B0 H02E0101 +arc: B3 S1_V02N0101 +arc: B5 S1_V02N0701 +arc: B6 V00B0100 +arc: B7 V00B0000 +arc: C0 V02N0601 +arc: C3 V02S0601 +arc: C5 E1_H02W0401 +arc: C6 V00T0100 +arc: C7 V00T0000 +arc: D0 V02N0001 +arc: D3 H00R0000 +arc: D5 H00R0100 +arc: D6 S1_V02N0401 +arc: D7 N1_V02S0601 +arc: E1_H01E0101 F7 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F0 +arc: M0 H02E0601 +arc: M4 E1_H01E0101 +arc: N1_V01N0101 F0 +arc: N1_V02N0001 F0 +arc: N1_V02N0101 F3 +arc: N1_V02N0401 F6 +arc: S3_V06S0203 F4 +arc: W1_H02W0201 F0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1001000000001001 +word: SLICED.K0.INIT 1001000000001001 +word: SLICED.K1.INIT 0000011101110111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0001010100111111 +word: SLICEA.K0.INIT 1000000000000000 +word: SLICEA.K1.INIT 1111111111111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R56C7:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 S1_V02N0701 +arc: H00R0000 V02N0601 +arc: H00R0100 V02S0501 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S1_V02N0401 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0601 H02W0601 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 N1_V02S0001 +arc: V00T0000 V02S0601 +arc: W1_H02W0101 N1_V02S0101 +arc: A0 N1_V02S0501 +arc: A3 N1_V02S0701 +arc: A4 V02S0101 +arc: A6 S1_V02N0101 +arc: A7 H02E0701 +arc: B0 W1_H02E0101 +arc: B2 V02N0301 +arc: B3 H00R0000 +arc: B4 V02N0701 +arc: B5 V02S0701 +arc: B6 V01S0000 +arc: B7 V02N0501 +arc: C0 H02E0601 +arc: C2 H00L0100 +arc: C3 N1_V01N0001 +arc: C4 V00B0100 +arc: C5 V02S0001 +arc: C6 E1_H01E0101 +arc: C7 V00T0000 +arc: CLK0 G_HPBX0000 +arc: D0 S1_V02N0201 +arc: D2 H02W0001 +arc: D3 N1_V01S0000 +arc: D4 V02S0601 +arc: D5 V02N0401 +arc: D6 V02S0401 +arc: D7 H00R0100 +arc: E1_H01E0001 F5 +arc: E1_H01E0101 F2 +arc: E3_H06E0303 Q6 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F3 +arc: H01W0000 F0 +arc: H01W0100 F0 +arc: M0 V00B0000 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F5 +arc: N1_V02N0201 F0 +arc: S1_V02S0401 F4 +arc: V00B0100 F5 +arc: V01S0000 F7 +arc: W1_H02W0001 F0 +arc: W1_H02W0501 F5 +word: SLICEC.K0.INIT 0001010100111111 +word: SLICEC.K1.INIT 1100000000000000 +word: SLICED.K0.INIT 0010101011111111 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEA.K0.INIT 1000000000000000 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEB.K0.INIT 0011000011110000 +word: SLICEB.K1.INIT 0001010100111111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 + +.tile R56C8:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 V02S0601 +arc: H00L0000 V02S0201 +arc: H00R0000 W1_H02E0401 +arc: H00R0100 H02E0701 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0501 S3_V06N0303 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0501 S3_V06N0303 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 V01N0001 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0601 V06S0303 +arc: A1 H00L0000 +arc: A2 N1_V02S0501 +arc: A5 N1_V02S0101 +arc: A7 E1_H02W0701 +arc: B1 H02E0101 +arc: B2 H00R0000 +arc: B5 V01S0000 +arc: B7 V00B0100 +arc: C1 H00R0100 +arc: C2 H00L0100 +arc: C3 V02N0401 +arc: C5 V00T0000 +arc: C7 V02N0001 +arc: CLK0 G_HPBX0000 +arc: D1 V01S0100 +arc: D2 V00T0100 +arc: D3 H02W0001 +arc: D5 H02E0001 +arc: D7 H01W0000 +arc: E1_H02E0201 F0 +arc: E3_H06E0203 Q7 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F3 +arc: H01W0000 F4 +arc: M0 H02E0601 +arc: M4 V00B0000 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F3 +arc: V01S0000 F2 +arc: V01S0100 F3 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111111111101100 +word: SLICEB.K0.INIT 0001001101011111 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1110101011000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111111110111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R56C9:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0601 V06S0303 +arc: H00R0000 S1_V02N0401 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0601 H06W0303 +arc: N1_V02N0701 N3_V06S0203 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 N1_V02S0701 +arc: S3_V06S0003 E1_H01W0000 +arc: V00B0100 H02E0501 +arc: V00T0000 H02E0201 +arc: V00T0100 H02E0301 +arc: V01S0000 S3_V06N0103 +arc: W1_H02W0001 S3_V06N0003 +arc: A1 E1_H01E0001 +arc: B1 V00T0000 +arc: B6 H02E0101 +arc: C1 H02E0601 +arc: C6 H01E0001 +arc: CE2 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D1 H00R0000 +arc: D6 V02S0601 +arc: D7 V02N0401 +arc: E1_H01E0001 Q4 +arc: E3_H06E0103 Q1 +arc: E3_H06E0303 Q6 +arc: F1 F1_SLICE +arc: F6 F5D_SLICE +arc: M4 V00T0100 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1110111111001111 +word: SLICED.K0.INIT 1111110000001100 +word: SLICED.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R57C10:PLC2 +arc: H00R0000 V02N0601 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 H02E0601 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 W1_H02E0601 +arc: V00B0100 V02S0301 +arc: V00T0000 W1_H02E0201 +arc: V00T0100 V02S0701 +arc: V01S0100 N3_V06S0303 +arc: W3_H06W0303 E3_H06W0203 +arc: B1 H00R0100 +arc: B4 S1_V02N0701 +arc: C0 H00R0100 +arc: C1 V02N0601 +arc: C4 V00B0100 +arc: CE1 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 S1_V02N0201 +arc: D4 V00B0000 +arc: D5 V02N0401 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F5C_SLICE +arc: H01W0000 F1 +arc: M2 V00T0100 +arc: M4 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N3_V06N0003 F0 +arc: N3_V06N0103 Q2 +arc: W1_H02W0201 F0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000001111 +word: SLICEA.K1.INIT 0000000000110000 +word: SLICEC.K0.INIT 1111110000001100 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R57C11:PLC2 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0501 N1_V02S0501 +arc: H00L0000 V02N0201 +arc: H00R0000 V02N0601 +arc: H00R0100 N1_V02S0501 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0201 H06W0103 +arc: S3_V06S0003 H06W0003 +arc: S3_V06S0103 N3_V06S0103 +arc: V00B0000 V02S0001 +arc: V00B0100 V02S0301 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0001 V06N0003 +arc: B3 V02N0301 +arc: C1 H00R0100 +arc: C3 H00L0000 +arc: C4 V00T0100 +arc: C5 V00T0100 +arc: CE1 H02W0101 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D1 H00R0000 +arc: D3 N1_V01S0000 +arc: D4 V00B0000 +arc: D5 N1_V02S0401 +arc: E1_H02E0301 Q3 +arc: E3_H06E0003 F3 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: M6 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 F1 +arc: N1_V02N0501 F5 +arc: N3_V06N0003 F3 +arc: S3_V06S0303 Q6 +arc: V01S0100 F4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 0000111111110000 +word: SLICEC.K1.INIT 0000111111110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R57C12:PLC2 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0601 N3_V06S0303 +arc: H00L0100 N1_V02S0101 +arc: H00R0000 V02S0601 +arc: H00R0100 H02E0501 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0601 H06E0303 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0103 S1_V02N0101 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0401 N1_V02S0101 +arc: S3_V06S0003 E1_H01W0000 +arc: S3_V06S0103 N3_V06S0003 +arc: V00B0000 V02S0001 +arc: V00B0100 H02E0501 +arc: V00T0100 V02S0501 +arc: W1_H02W0101 N1_V02S0101 +arc: B5 H02E0101 +arc: C0 H00R0100 +arc: C1 H00R0100 +arc: C2 H00R0100 +arc: C3 H00R0100 +arc: C5 V00T0100 +arc: C6 V00B0100 +arc: C7 V00B0100 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0001 +arc: D1 H00R0000 +arc: D2 H02E0201 +arc: D3 N1_V01S0000 +arc: D5 N1_V02S0601 +arc: D6 S1_V02N0401 +arc: D7 V00B0000 +arc: E1_H01E0101 F0 +arc: E3_H06E0303 Q5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F2 +arc: N1_V01N0101 F5 +arc: N1_V02N0101 F1 +arc: N1_V02N0301 F3 +arc: N1_V02N0501 F7 +arc: S3_V06S0303 F5 +arc: V01S0100 F6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111001111000000 +word: SLICEB.K0.INIT 0000111111110000 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICED.K0.INIT 0000111111110000 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEA.K0.INIT 0000111111110000 +word: SLICEA.K1.INIT 0000111111110000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R57C13:PLC2 +arc: E1_H02E0201 V02N0201 +arc: H00L0100 V02S0301 +arc: H00R0000 S1_V02N0601 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 N3_V06S0303 +arc: N3_V06N0103 H06E0103 +arc: S1_V02S0001 N1_V01S0000 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0601 H02W0601 +arc: S3_V06S0203 H06W0203 +arc: S3_V06S0303 N1_V01S0100 +arc: V00B0000 V02S0201 +arc: V00B0100 V02N0101 +arc: V00T0000 H02W0001 +arc: V00T0100 H02W0301 +arc: W1_H02W0701 H01E0101 +arc: B3 H00R0000 +arc: B5 V02S0501 +arc: B6 E1_H02W0301 +arc: C0 N1_V02S0601 +arc: C1 N1_V02S0601 +arc: C3 E1_H02W0401 +arc: C5 V00T0000 +arc: C6 V00T0100 +arc: CE1 H02E0101 +arc: CE2 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0201 +arc: D1 H02E0201 +arc: D3 V02S0001 +arc: D5 V00B0000 +arc: D6 H00L0100 +arc: D7 H02W0201 +arc: E1_H02E0301 Q3 +arc: E1_H02E0601 Q6 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q5 +arc: M6 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0301 F1 +arc: N3_V06N0003 F3 +arc: N3_V06N0303 F5 +arc: S1_V02S0701 F5 +arc: S3_V06S0003 F3 +arc: V01S0100 F0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111001111000000 +word: SLICEA.K0.INIT 0000111111110000 +word: SLICEA.K1.INIT 0000111111110000 +word: SLICED.K0.INIT 1111110000001100 +word: SLICED.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R57C14:PLC2 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 V02N0001 +arc: H00R0000 V02S0401 +arc: H00R0100 N1_V02S0701 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0001 H06E0003 +arc: S1_V02S0101 E3_H06W0103 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 W1_H02E0601 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02S0001 +arc: V00B0100 V02S0101 +arc: V00T0000 S1_V02N0401 +arc: V00T0100 N1_V02S0701 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0601 N3_V06S0303 +arc: W3_H06W0003 N3_V06S0003 +arc: W3_H06W0103 E3_H06W0103 +arc: A1 V02N0701 +arc: A2 V02N0701 +arc: A5 V02N0101 +arc: A6 V02N0101 +arc: B1 V00T0000 +arc: B2 H00L0000 +arc: B5 H00L0000 +arc: B6 V00T0000 +arc: C1 H00R0100 +arc: C2 H00R0100 +arc: C5 V00T0100 +arc: C6 V00T0100 +arc: C7 V02N0001 +arc: D1 V02S0001 +arc: D2 V02S0001 +arc: D5 V00B0000 +arc: D6 V00B0000 +arc: D7 V00B0000 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: M0 V00B0100 +arc: M1 H00R0000 +arc: M2 V00B0100 +arc: M3 H02E0201 +arc: M4 V00B0100 +arc: M5 H00R0000 +arc: M6 V00B0100 +arc: S3_V06S0003 F3 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1000000000000000 +word: SLICEB.K0.INIT 1000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0100110000000000 +word: SLICED.K0.INIT 0111111111111111 +word: SLICED.K1.INIT 0000111111111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 + +.tile R57C15:PLC2 +arc: E1_H02E0001 S3_V06N0003 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 V02S0501 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 W1_H02E0601 +arc: H00L0000 H02W0001 +arc: H00L0100 V02S0301 +arc: H00R0000 V02S0401 +arc: H00R0100 S1_V02N0501 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0203 S1_V02N0401 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0601 H06E0303 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 E1_H01W0100 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N1_V02S0501 +arc: V00B0100 E1_H02W0701 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 E3_H06W0103 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0501 N3_V06S0303 +arc: W1_H02W0701 N1_V01S0100 +arc: B1 H00R0100 +arc: B5 S1_V02N0501 +arc: C1 H00L0000 +arc: C3 V02N0601 +arc: C5 V00T0000 +arc: CE0 H00L0100 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D1 H00R0000 +arc: D3 V00B0100 +arc: D5 H02E0201 +arc: E1_H01E0101 F1 +arc: E1_H02E0101 F1 +arc: E1_H02E0301 Q1 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: H01W0100 Q5 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V02N0301 F3 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111001111000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000111111110000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R57C16:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0601 V06S0303 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0100 H02E0101 +arc: H00R0000 V02N0401 +arc: H00R0100 H02E0701 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0103 W3_H06E0103 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0101 E3_H06W0103 +arc: S1_V02S0201 W3_H06E0103 +arc: S3_V06S0003 N1_V02S0301 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0100 H02E0501 +arc: W1_H02W0001 N1_V02S0001 +arc: A3 V00B0000 +arc: B1 H00R0100 +arc: B2 W1_H02E0101 +arc: B3 H00L0000 +arc: B5 H02W0301 +arc: B6 H02E0301 +arc: B7 V00B0000 +arc: C0 S1_V02N0401 +arc: C1 S1_V02N0401 +arc: C2 W1_H02E0601 +arc: C3 V02N0601 +arc: C5 H02E0401 +arc: C6 W1_H02E0601 +arc: C7 H02W0601 +arc: CE1 V02N0201 +arc: CE2 H02W0101 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0201 +arc: D1 V00B0100 +arc: D2 H02E0201 +arc: D3 H00R0000 +arc: D5 V02S0401 +arc: D6 H00L0100 +arc: D7 H02E0001 +arc: E1_H01E0101 F0 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 F7 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H01W0100 F3 +arc: M0 H02E0601 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V02N0501 Q5 +arc: N3_V06N0203 F7 +arc: N3_V06N0303 Q6 +arc: S3_V06S0203 F7 +arc: V00B0000 Q6 +arc: V01S0000 Q2 +arc: W3_H06W0003 F3 +arc: W3_H06W0203 F7 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111001111000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 0000000000000001 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEA.K0.INIT 0000111111111111 +word: SLICEA.K1.INIT 0000001111110011 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R57C17:PLC2 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0501 N3_V06S0303 +arc: E1_H02E0601 E1_H01W0000 +arc: E3_H06E0003 V06S0003 +arc: H00L0000 S1_V02N0001 +arc: H00L0100 H02E0101 +arc: H00R0000 V02N0401 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 V01N0101 +arc: N3_V06N0103 H06W0103 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0101 H06W0103 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0103 H06W0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02N0001 +arc: V00B0100 V02S0101 +arc: V00T0000 S1_V02N0401 +arc: V00T0100 H02E0101 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 N3_V06S0203 +arc: A0 V02N0701 +arc: A6 N1_V01N0101 +arc: B0 V00T0000 +arc: B1 V02N0101 +arc: B3 V02S0301 +arc: B4 H00L0000 +arc: B6 V02N0501 +arc: B7 S1_V02N0501 +arc: C0 N1_V02S0401 +arc: C1 H00L0100 +arc: C3 V02N0601 +arc: C4 V02S0001 +arc: C6 V00T0000 +arc: C7 V00T0100 +arc: CE1 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 H01E0101 +arc: D1 H00R0000 +arc: D3 V02N0001 +arc: D4 V00B0000 +arc: D5 V02N0601 +arc: D6 V02S0401 +arc: D7 H02E0001 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: M4 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 Q3 +arc: N1_V01N0101 Q4 +arc: N3_V06N0003 F0 +arc: V01S0000 F3 +arc: W3_H06W0103 F1 +arc: W3_H06W0203 F7 +arc: W3_H06W0303 F6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 1111110100000000 +word: SLICED.K1.INIT 0011000000111111 +word: SLICEA.K0.INIT 1110111100000000 +word: SLICEA.K1.INIT 0011000000111111 +word: SLICEC.K0.INIT 1111110000110000 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R57C18:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0501 N3_V06S0303 +arc: E3_H06E0103 N3_V06S0103 +arc: H00L0000 H02W0001 +arc: H00L0100 N1_V02S0301 +arc: H00R0100 H02E0501 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0203 S1_V02N0401 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02N0201 +arc: V00B0100 V02S0101 +arc: V00T0000 W1_H02E0201 +arc: A1 V02N0501 +arc: A3 S1_V02N0501 +arc: B1 H02W0301 +arc: B2 H00R0100 +arc: B3 W1_H02E0101 +arc: B4 H02E0301 +arc: B7 S1_V02N0701 +arc: C1 E1_H02W0601 +arc: C2 H00L0100 +arc: C3 H00L0000 +arc: C4 H02W0601 +arc: C6 E1_H01E0101 +arc: C7 V00T0000 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V01S0100 +arc: D2 H02W0001 +arc: D3 V02N0001 +arc: D4 V02N0601 +arc: D5 V00B0000 +arc: D6 H02E0201 +arc: D7 E1_H02W0001 +arc: E1_H01E0001 F6 +arc: E1_H01E0101 Q4 +arc: E1_H02E0601 Q4 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F2 +arc: M0 H02E0601 +arc: M4 V00B0100 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V02N0001 Q0 +arc: N3_V06N0003 F0 +arc: V01S0100 F3 +word: SLICEB.K0.INIT 0000000011111100 +word: SLICEB.K1.INIT 1011000010000000 +word: SLICED.K0.INIT 0000111111111111 +word: SLICED.K1.INIT 0000001111001111 +word: SLICEA.K0.INIT 1111111100000000 +word: SLICEA.K1.INIT 1111111110001111 +word: SLICEC.K0.INIT 1111110000110000 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R57C19:PLC2 +arc: E1_H02E0201 H01E0001 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 S3_V06N0203 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 N1_V02S0001 +arc: H00R0000 H02E0601 +arc: H00R0100 E1_H02W0501 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 E1_H02W0701 +arc: S1_V02S0201 H06W0103 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0401 H06E0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 H02E0401 +arc: V00B0100 E1_H02W0701 +arc: V00T0100 S1_V02N0701 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0601 S1_V02N0601 +arc: A1 H00R0000 +arc: A3 H02W0501 +arc: A5 H02W0501 +arc: B1 V00B0000 +arc: B2 S1_V02N0301 +arc: B3 H00L0000 +arc: B4 S1_V02N0501 +arc: B5 H00L0000 +arc: C1 V02S0401 +arc: C2 H00R0100 +arc: C3 E1_H02W0601 +arc: C4 V00B0100 +arc: C5 E1_H02W0401 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D1 V00B0100 +arc: D2 S1_V02N0001 +arc: D3 E1_H02W0201 +arc: D4 V02N0601 +arc: D5 H01W0000 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 F1 +arc: M6 V00T0100 +arc: MUXCLK3 CLK0 +arc: N3_V06N0003 F3 +arc: N3_V06N0103 F2 +arc: N3_V06N0203 F4 +arc: N3_V06N0303 F5 +arc: V01S0100 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000011001010 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 0000000010001100 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 0000000010001100 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 + +.tile R57C20:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 N1_V01S0000 +arc: E1_H02E0501 N1_V02S0501 +arc: E3_H06E0303 W3_H06E0203 +arc: H00R0000 V02S0401 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0701 H02W0701 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 H02W0101 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0301 V06S0003 +arc: A4 F5 +arc: A5 S1_V02N0301 +arc: A7 V00T0100 +arc: B4 E1_H02W0101 +arc: B5 S1_V02N0701 +arc: B7 V00B0000 +arc: C4 V00B0100 +arc: C5 S1_V02N0001 +arc: C7 V00T0000 +arc: CE1 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D1 H00R0000 +arc: D4 H02W0001 +arc: D5 V02N0601 +arc: D7 H00R0100 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 F1 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 F4 +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 F5 +arc: M2 E1_H02W0601 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F1 +arc: N1_V02N0101 F1 +arc: N1_V02N0401 F4 +arc: N1_V02N0601 F4 +arc: N3_V06N0103 F1 +arc: N3_V06N0203 F4 +arc: S1_V02S0301 F1 +arc: S3_V06S0103 F1 +arc: W1_H02W0101 F1 +arc: W1_H02W0501 F5 +arc: W1_H02W0601 F4 +arc: W3_H06W0103 F1 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000011111111 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000010101100 +word: SLICEC.K0.INIT 0010000000110000 +word: SLICEC.K1.INIT 1101111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R57C21:PLC2 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0601 V02S0601 +arc: E3_H06E0203 N1_V01S0000 +arc: E3_H06E0303 H01E0101 +arc: H00L0100 H02E0301 +arc: H00R0000 W1_H02E0401 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0701 E1_H01W0100 +arc: N3_V06N0103 H01E0101 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0501 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 H01E0101 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 S1_V02N0401 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0101 N1_V01S0100 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0501 E1_H01W0100 +arc: W1_H02W0601 E1_H01W0000 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0103 N3_V06S0103 +arc: W3_H06W0203 E1_H01W0000 +arc: W3_H06W0303 E1_H01W0100 +arc: A0 V02S0501 +arc: A4 E1_H02W0701 +arc: A5 V02N0301 +arc: A6 H02W0701 +arc: B0 H02E0301 +arc: B1 V00B0000 +arc: B3 N1_V02S0301 +arc: B4 H00R0000 +arc: B5 E1_H02W0301 +arc: B6 H02E0301 +arc: B7 V00T0000 +arc: C0 H02W0401 +arc: C1 E1_H02W0401 +arc: C2 H00L0100 +arc: C3 H02E0401 +arc: C4 V00T0100 +arc: C5 H02W0401 +arc: C6 H02W0401 +arc: C7 E1_H02W0601 +arc: D0 F2 +arc: D1 S1_V02N0001 +arc: D2 H02E0201 +arc: D3 E1_H02W0001 +arc: D4 H00R0100 +arc: D5 H02W0201 +arc: D6 W1_H02E0201 +arc: D7 V02N0601 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 F4 +arc: E1_H02E0201 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F5 +arc: M2 V00B0100 +arc: N1_V01N0001 F7 +arc: N1_V02N0401 F6 +arc: V01S0100 F5 +word: SLICEA.K0.INIT 1111110100000000 +word: SLICEA.K1.INIT 0011000000111111 +word: SLICEC.K0.INIT 0000000000000100 +word: SLICEC.K1.INIT 0001000011011111 +word: SLICED.K0.INIT 1111110100000000 +word: SLICED.K1.INIT 0011000000111111 +word: SLICEB.K0.INIT 0000111111111111 +word: SLICEB.K1.INIT 0011001100001111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R57C22:PLC2 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 V01N0001 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 N3_V06S0303 +arc: H00R0000 S1_V02N0601 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0401 W3_H06E0203 +arc: N1_V02N0501 H06E0303 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0103 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0100 S1_V02N0101 +arc: V00T0000 V02S0401 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 W3_H06E0303 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 E1_H01W0100 +arc: A0 H00R0000 +arc: B0 F1 +arc: C0 V02S0601 +arc: C1 H02E0401 +arc: C3 V02N0401 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 V02N0001 +arc: D3 V00T0100 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 Q6 +arc: E1_H02E0101 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: H01W0000 F3 +arc: H01W0100 F1 +arc: LSR1 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F1 +arc: N1_V02N0001 F0 +arc: N1_V02N0101 F1 +arc: N1_V02N0301 F1 +arc: S1_V02S0101 F1 +arc: V00T0100 F1 +arc: V01S0000 Q6 +arc: V01S0100 F1 +arc: W3_H06W0103 F1 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000111100000000 +word: SLICEA.K0.INIT 1011000010000000 +word: SLICEA.K1.INIT 0000000000001111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R57C23:PLC2 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 V01N0001 +arc: E3_H06E0003 W3_H06E0003 +arc: H00R0000 E1_H02W0601 +arc: H00R0100 E1_H02W0501 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0201 S3_V06N0103 +arc: S3_V06S0103 N3_V06S0103 +arc: V00B0000 V02S0201 +arc: V00B0100 V02N0101 +arc: V00T0000 V02N0601 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 H01E0001 +arc: W1_H02W0701 H01E0101 +arc: A7 H00R0000 +arc: B4 E1_H02W0301 +arc: B7 H02E0101 +arc: C4 W1_H02E0601 +arc: C7 V00T0100 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D4 V00B0000 +arc: D5 H02E0201 +arc: D7 V02N0401 +arc: E3_H06E0103 Q2 +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: H01W0100 Q4 +arc: M0 H02W0601 +arc: M2 V00T0000 +arc: M4 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: S3_V06S0003 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1011000010000000 +word: SLICEC.K0.INIT 1111110000001100 +word: SLICEC.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 + +.tile R57C24:PLC2 +arc: E1_H02E0201 W3_H06E0103 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 W1_H02E0501 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 S3_V06N0303 +arc: S1_V02S0701 N3_V06S0203 +arc: V00B0100 H02E0501 +arc: V00T0000 V02N0401 +arc: V01S0000 N3_V06S0103 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0601 V02N0601 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 E1_H02W0101 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: M0 V00B0100 +arc: M2 W1_H02E0601 +arc: M4 V00T0000 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S3_V06S0003 Q0 +arc: S3_V06S0103 Q2 +arc: S3_V06S0203 Q4 +arc: S3_V06S0303 Q6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R57C25:PLC2 +arc: E1_H02E0201 V02N0201 +arc: H00R0100 E1_H02W0501 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 S3_V06N0003 +arc: N1_V02N0501 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 H02W0601 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0100 V02N0301 +arc: W1_H02W0001 V06N0003 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 S1_V02N0601 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0103 Q2 +arc: M0 V00B0100 +arc: M2 W1_H02E0601 +arc: M4 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: V01S0000 Q0 +arc: V01S0100 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R57C26:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0701 N3_V06S0203 +arc: E3_H06E0203 W3_H06E0103 +arc: H00R0100 H02W0501 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0701 N3_V06S0203 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0601 N1_V02S0601 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 E1_H01W0000 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 E1_H02W0301 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 N1_V02S0401 +arc: W1_H02W0601 N3_V06S0303 +arc: W1_H02W0701 E1_H01W0100 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0003 Q0 +arc: E3_H06E0103 Q2 +arc: M0 V00T0100 +arc: M2 V00T0000 +arc: M4 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: V01S0100 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R57C27:PLC2 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 N3_V06S0303 +arc: E1_H02E0701 N3_V06S0203 +arc: E3_H06E0103 W1_H02E0201 +arc: H00R0100 H02E0501 +arc: H01W0100 W3_H06E0303 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0601 N3_V06S0303 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 E1_H01W0100 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0501 W3_H06E0303 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 V02N0201 +arc: V00B0100 V02N0101 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 E1_H02W0101 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0501 W3_H06E0303 +arc: W1_H02W0701 N3_V06S0203 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q6 +arc: M0 V00B0000 +arc: M2 V00B0100 +arc: M4 V00T0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0001 Q2 +arc: S1_V02S0601 Q4 +arc: S3_V06S0303 Q6 +arc: V01S0000 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R57C28:PLC2 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0701 V02N0701 +arc: E3_H06E0203 W3_H06E0203 +arc: H00R0100 H02E0501 +arc: H01W0100 W3_H06E0303 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0701 W1_H02E0701 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 W3_H06E0203 +arc: V00B0100 E1_H02W0701 +arc: V00T0000 V02N0601 +arc: V00T0100 H02W0301 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0201 N1_V01S0000 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0701 N3_V06S0203 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: M0 H02W0601 +arc: M2 V00T0100 +arc: M4 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0001 Q2 +arc: S3_V06S0003 Q0 +arc: S3_V06S0303 Q6 +arc: V01S0000 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R57C29:PLC2 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0401 V01N0001 +arc: E1_H02E0501 W1_H02E0501 +arc: H00R0100 W1_H02E0501 +arc: N1_V02N0701 N3_V06S0203 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0501 N1_V02S0501 +arc: S1_V02S0601 N1_V02S0601 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 H06E0203 +arc: V00B0000 V02N0201 +arc: V00B0100 V02N0101 +arc: V00T0100 H02W0101 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0601 V02N0601 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0001 Q0 +arc: M0 V00B0100 +arc: M2 V00T0100 +arc: M4 H02E0401 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0401 Q4 +arc: V01S0000 Q2 +arc: V01S0100 Q6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R57C2:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0601 E3_H06W0303 +arc: E1_H02E0701 N1_V01S0100 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0601 E1_H01W0000 +arc: N3_V06N0103 E3_H06W0103 +arc: V00B0100 H02W0701 +arc: V00T0100 H02E0101 +arc: CE0 S1_V02N0201 +arc: CE1 S1_V02N0201 +arc: CE2 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q2 +arc: E1_H02E0201 Q0 +arc: H01W0100 Q4 +arc: M0 V00B0100 +arc: M2 V00T0100 +arc: M4 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R57C30:PLC2 +arc: H00R0100 H02E0501 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0501 E1_H02W0501 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0401 V01N0001 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02N0001 +arc: V00T0100 V02N0701 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0701 V01N0101 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: M0 E1_H02W0601 +arc: M2 V00T0100 +arc: M4 H02E0401 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0001 Q2 +arc: S3_V06S0003 Q0 +arc: S3_V06S0203 Q4 +arc: V01S0100 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R57C31:PLC2 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0501 N1_V01S0100 +arc: S1_V02S0001 W1_H02E0001 +arc: S3_V06S0203 N1_V02S0401 +arc: S3_V06S0303 N3_V06S0203 + +.tile R57C32:PLC2 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0601 N3_V06S0303 +arc: W1_H02W0501 N3_V06S0303 +arc: W1_H02W0601 E1_H01W0000 + +.tile R57C33:PLC2 +arc: H01W0000 W3_H06E0103 + +.tile R57C34:PLC2 +arc: E3_H06E0203 W3_H06E0203 +arc: S3_V06S0203 W3_H06E0203 + +.tile R57C37:PLC2 +arc: S3_V06S0203 H06E0203 + +.tile R57C3:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0701 E1_H01W0100 +arc: H00L0000 H02E0201 +arc: H00R0100 V02S0501 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 H02E0701 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0501 N3_V06S0303 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 E1_H02W0501 +arc: W1_H02W0701 N1_V01S0100 +arc: A4 W1_H02E0701 +arc: A5 W1_H02E0701 +arc: A7 N1_V01N0101 +arc: B4 H00L0000 +arc: B5 H02E0101 +arc: B7 V00B0100 +arc: C1 H00R0100 +arc: C4 E1_H02W0401 +arc: C5 H02E0601 +arc: C7 V02S0001 +arc: CE1 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D1 S1_V02N0001 +arc: D4 E1_H02W0201 +arc: D5 V02S0601 +arc: D7 V00B0000 +arc: E1_H01E0001 F1 +arc: E1_H02E0401 F4 +arc: E3_H06E0103 F1 +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 F1 +arc: H01W0100 F7 +arc: M2 E1_H02W0601 +arc: MUXCLK1 CLK0 +arc: N1_V01N0101 Q2 +arc: N1_V02N0201 Q2 +arc: N1_V02N0301 F1 +arc: S3_V06S0303 F5 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0001001101011111 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1000010000100001 +word: SLICEC.K1.INIT 1000000011001100 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R57C40:PLC2 +arc: E3_H06E0203 W3_H06E0203 +arc: S3_V06S0203 W3_H06E0203 + +.tile R57C43:PLC2 +arc: S3_V06S0203 H06E0203 + +.tile R57C4:PLC2 +arc: H00L0000 S1_V02N0001 +arc: H00L0100 W1_H02E0301 +arc: H00R0000 S1_V02N0601 +arc: H00R0100 H02E0501 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0501 S1_V02N0401 +arc: S1_V02S0601 H01E0001 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 H02E0401 +arc: V00B0100 V02S0101 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 E1_H02W0301 +arc: A0 H02W0701 +arc: A2 V02N0501 +arc: A4 N1_V01N0101 +arc: A5 E1_H02W0701 +arc: A7 H02E0701 +arc: B0 V02N0301 +arc: B1 V02N0101 +arc: B2 H02W0301 +arc: B3 H00L0000 +arc: B4 V01S0000 +arc: B5 H02E0301 +arc: B6 V02S0701 +arc: B7 V02S0701 +arc: C0 V02N0601 +arc: C1 H00L0100 +arc: C2 H00L0000 +arc: C3 H00R0100 +arc: C4 E1_H01E0101 +arc: C5 V00T0100 +arc: C6 F4 +arc: C7 E1_H02W0601 +arc: D0 V00B0100 +arc: D1 E1_H02W0001 +arc: D2 H00R0000 +arc: D3 E1_H02W0001 +arc: D4 V00B0000 +arc: D5 V02N0401 +arc: D6 E1_H02W0201 +arc: D7 H02E0001 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 F0 +arc: E1_H02E0401 F6 +arc: E1_H02E0601 F4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 F4 +arc: M6 V00T0000 +arc: N1_V01N0101 F2 +arc: S3_V06S0003 F3 +arc: V01S0000 F5 +word: SLICEB.K0.INIT 1000010000100001 +word: SLICEB.K1.INIT 0011000011110000 +word: SLICEC.K0.INIT 1000000000000000 +word: SLICEC.K1.INIT 1001000000001001 +word: SLICEA.K0.INIT 1001000000001001 +word: SLICEA.K1.INIT 0011000011110000 +word: SLICED.K0.INIT 1100000000000000 +word: SLICED.K1.INIT 1111111110000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 + +.tile R57C5:PLC2 +arc: E1_H02E0301 E1_H01W0100 +arc: H00L0100 V02S0101 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0501 H02W0501 +arc: N3_V06N0103 H06W0103 +arc: S1_V02S0001 H01E0001 +arc: V00B0000 H02E0401 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0501 V06S0303 +arc: W1_H02W0601 V01N0001 +arc: A0 H00L0100 +arc: B2 H01W0100 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V02N0701 +arc: B6 V01S0000 +arc: B7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q4 +arc: E1_H01E0101 Q7 +arc: E1_H02E0101 Q3 +arc: E1_H02E0501 Q7 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q4 +arc: H01W0100 Q2 +arc: LSR1 V00B0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0101 Q2 +arc: V00B0100 Q7 +arc: V01S0000 Q6 +arc: V01S0100 Q5 +arc: W1_H02W0401 Q6 +arc: W1_H02W0701 Q5 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R57C6:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 H01E0001 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0601 S1_V02N0601 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 H02W0701 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0601 H02W0601 +arc: V00B0000 W1_H02E0401 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 E1_H01W0000 +arc: B0 V00T0000 +arc: B1 Q1 +arc: B2 H00L0000 +arc: B3 Q3 +arc: B4 H00R0000 +arc: B5 V00B0100 +arc: B6 H02W0101 +arc: B7 H02E0301 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q4 +arc: H01W0000 Q6 +arc: H01W0100 Q7 +arc: LSR1 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0101 Q4 +arc: S1_V02S0001 Q2 +arc: S1_V02S0301 Q3 +arc: V00B0100 Q5 +arc: V00T0000 Q0 +arc: V01S0000 Q0 +arc: V01S0100 Q1 +arc: W1_H02W0701 Q5 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEB.K0.INIT 1100110000000000 +word: SLICEB.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R57C7:PLC2 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 S1_V02N0601 +arc: S3_V06S0303 H06W0303 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 H02E0201 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0601 N3_V06S0303 +arc: W1_H02W0701 V02N0701 +arc: A4 E1_H01W0000 +arc: A5 V00T0100 +arc: A7 W1_H02E0501 +arc: B0 H01W0100 +arc: B1 Q1 +arc: B4 W1_H02E0101 +arc: B5 H02E0301 +arc: B7 V00T0000 +arc: C4 E1_H01E0101 +arc: C5 V00B0100 +arc: C7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: D4 H02W0201 +arc: D5 V02S0601 +arc: D7 H02W0001 +arc: E1_H01E0001 F6 +arc: E1_H01E0101 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F4 +arc: H01W0100 Q0 +arc: LSR0 H02E0501 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR0 +arc: V00T0100 Q1 +arc: V01S0000 F4 +word: SLICEC.K0.INIT 1001000000000000 +word: SLICEC.K1.INIT 1010001001010001 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1000110000100011 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001010 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 1100110000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R57C8:PLC2 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0601 V02N0601 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 S1_V02N0401 +arc: N3_V06N0103 E3_H06W0103 +arc: V00B0000 V02S0001 +arc: V00B0100 V02N0101 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 H01E0001 +arc: W3_H06W0103 E3_H06W0103 +arc: A3 S1_V02N0501 +arc: B3 H00R0000 +arc: B5 S1_V02N0701 +arc: C3 N1_V02S0401 +arc: C4 E1_H01E0101 +arc: C5 V00T0000 +arc: CE0 S1_V02N0201 +arc: CLK0 G_HPBX0000 +arc: D3 V02N0001 +arc: D4 H00R0100 +arc: D5 V00B0000 +arc: E1_H01E0101 Q0 +arc: E3_H06E0103 Q2 +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00R0000 F4 +arc: H00R0100 F5 +arc: H01W0000 Q0 +arc: M0 V00T0100 +arc: M2 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 F5 +arc: S1_V02S0501 F5 +arc: S1_V02S0701 F5 +arc: S3_V06S0303 F5 +arc: W1_H02W0501 F5 +arc: W3_H06W0303 F5 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1111000000000000 +word: SLICEC.K1.INIT 0011000000000000 +word: SLICEB.K0.INIT 1111111111111111 +word: SLICEB.K1.INIT 1000101010101010 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R57C9:PLC2 +arc: E1_H02E0601 V06S0303 +arc: E3_H06E0203 V06N0203 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 V02N0701 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0401 N1_V01S0000 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: S3_V06S0203 E1_H01W0000 +arc: V00B0000 V02S0201 +arc: V00B0100 V02S0101 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0001 V06N0003 +arc: W1_H02W0401 E1_H01W0000 +arc: A3 E1_H01E0001 +arc: A5 N1_V01N0101 +arc: B3 H00R0000 +arc: B5 N1_V02S0701 +arc: C3 N1_V02S0401 +arc: C5 V00T0000 +arc: CE0 H00R0100 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D3 S1_V02N0001 +arc: D5 V02N0401 +arc: E1_H01E0001 Q6 +arc: E3_H06E0003 Q3 +arc: E3_H06E0303 Q5 +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: M0 V00B0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1110101011000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1110101011000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R59C10:PLC2 +arc: H00R0100 S1_V02N0501 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0701 V01N0101 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 V02N0401 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 S1_V02N0701 +arc: W3_H06W0103 E3_H06W0003 +arc: A3 V00B0000 +arc: A7 H00L0000 +arc: B3 H00R0100 +arc: B7 H02E0301 +arc: C3 W1_H02E0401 +arc: C7 W1_H02E0401 +arc: CE0 V02N0201 +arc: CE2 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D3 H02E0001 +arc: D7 H02E0001 +arc: E3_H06E0003 Q3 +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: M0 V00B0100 +arc: M4 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V00B0000 Q4 +arc: V01S0100 Q7 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1110101011000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1110101011000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R59C11:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0000 N1_V02S0201 +arc: H00R0000 H02W0601 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0701 E3_H06W0203 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 E1_H02W0501 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0401 V02N0401 +arc: A4 V02N0301 +arc: B1 V00T0000 +arc: B4 H02W0101 +arc: C1 N1_V01N0001 +arc: C4 V00B0100 +arc: C5 F4 +arc: CE1 N1_V02S0201 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D1 H00R0000 +arc: D4 S1_V02N0401 +arc: D5 H00L0100 +arc: E1_H01E0101 F1 +arc: E1_H02E0601 Q6 +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00L0100 F1 +arc: M2 V00T0100 +arc: M6 V00B0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N3_V06N0203 F4 +arc: N3_V06N0303 F5 +arc: S3_V06S0203 F4 +arc: V00T0000 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0011000000000000 +word: SLICEC.K0.INIT 1110111100000000 +word: SLICEC.K1.INIT 1111000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R59C12:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0401 N1_V02S0401 +arc: H00L0100 V02N0301 +arc: H00R0000 N1_V02S0401 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0401 H06E0203 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0103 N3_V06S0003 +arc: V00B0100 V02S0101 +arc: V00T0100 V02S0501 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0601 N3_V06S0303 +arc: W3_H06W0003 N3_V06S0003 +arc: B1 V02N0101 +arc: B5 V02N0701 +arc: B7 H02E0101 +arc: C1 H00L0100 +arc: C4 S1_V02N0201 +arc: C5 V00T0100 +arc: C7 V02N0201 +arc: CE0 H00R0000 +arc: CE2 S1_V02N0601 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D1 V00B0100 +arc: D4 H00R0100 +arc: D5 V02N0401 +arc: D7 V02S0601 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 Q4 +arc: E1_H02E0301 F1 +arc: E1_H02E0701 F7 +arc: E3_H06E0103 Q2 +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F5 +arc: M2 H02E0601 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V02N0701 F5 +arc: N3_V06N0103 F1 +arc: V01S0000 Q7 +arc: W3_H06W0203 F7 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111001111000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 0000000000001111 +word: SLICEC.K1.INIT 0000000000000011 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R59C13:PLC2 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 H01E0101 +arc: E1_H02E0601 V02N0601 +arc: E3_H06E0003 H01E0001 +arc: E3_H06E0303 W3_H06E0303 +arc: H00R0000 H02E0401 +arc: H00R0100 H02W0501 +arc: N1_V02N0601 H02W0601 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0003 H06W0003 +arc: S3_V06S0303 E1_H01W0100 +arc: V00B0000 V02S0201 +arc: V00B0100 H02W0501 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 H02E0101 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0601 N3_V06S0303 +arc: W1_H02W0701 V01N0101 +arc: B1 H00R0100 +arc: B3 H00R0100 +arc: B5 V00B0100 +arc: C1 N1_V02S0601 +arc: C3 V02S0401 +arc: C5 V00T0000 +arc: C7 V00T0100 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE2 V02S0601 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D1 N1_V02S0001 +arc: D3 H02W0201 +arc: D5 V00B0000 +arc: D7 V02N0401 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 Q7 +arc: E1_H02E0701 F7 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F3 +arc: N1_V01N0101 Q5 +arc: N3_V06N0003 F3 +arc: N3_V06N0103 F1 +arc: N3_V06N0303 F5 +arc: S1_V02S0101 Q3 +arc: S1_V02S0501 F5 +arc: V01S0000 Q1 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000001111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 + +.tile R59C14:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0501 N3_V06S0303 +arc: E1_H02E0601 W1_H02E0301 +arc: E3_H06E0003 V06N0003 +arc: H00L0100 W1_H02E0101 +arc: H00R0000 N1_V02S0601 +arc: H00R0100 H02E0701 +arc: N1_V02N0401 V01N0001 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 H01E0001 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0401 V01N0001 +arc: S1_V02S0501 N3_V06S0303 +arc: V00B0000 V02N0201 +arc: V00B0100 V02N0301 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0001 N1_V01S0000 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0501 N3_V06S0303 +arc: W1_H02W0601 N3_V06S0303 +arc: A0 H02E0501 +arc: B0 V02N0301 +arc: B1 V00T0000 +arc: B4 H01E0101 +arc: B5 V01S0000 +arc: C0 H00L0100 +arc: C1 F6 +arc: C2 V02S0601 +arc: C3 V02S0601 +arc: C4 V00B0100 +arc: C5 F6 +arc: C6 H02E0401 +arc: C7 H02E0601 +arc: CE0 H02E0101 +arc: CE2 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0001 +arc: D1 V02N0201 +arc: D2 V00T0100 +arc: D3 H00R0000 +arc: D4 H00R0100 +arc: D5 V00B0000 +arc: D6 V02N0601 +arc: D7 H01W0000 +arc: E3_H06E0103 F1 +arc: E3_H06E0303 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: H01W0100 F6 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V02N0001 F2 +arc: N1_V02N0301 F3 +arc: N1_V02N0601 F6 +arc: N3_V06N0103 F1 +arc: N3_V06N0303 F5 +arc: S3_V06S0003 Q0 +arc: S3_V06S0203 F7 +arc: S3_V06S0303 F5 +arc: V00T0000 Q0 +arc: V01S0000 Q4 +arc: W3_H06W0303 F6 +word: SLICEB.K0.INIT 0000111111110000 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1100000000000000 +word: SLICEA.K0.INIT 1000100010001011 +word: SLICEA.K1.INIT 1100000000000000 +word: SLICED.K0.INIT 1111000000000000 +word: SLICED.K1.INIT 1111111111110000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 + +.tile R59C15:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 E1_H01W0000 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W1_H02E0201 +arc: H00R0000 V02S0601 +arc: H00R0100 H02E0501 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 S3_V06N0203 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0103 N3_V06S0103 +arc: V00B0000 S1_V02N0201 +arc: V00T0100 S1_V02N0501 +arc: B1 H00R0100 +arc: B4 V02N0701 +arc: B5 H02E0301 +arc: B6 W1_H02E0301 +arc: B7 V01S0000 +arc: C1 H02W0401 +arc: C4 S1_V02N0001 +arc: C5 V02N0001 +arc: C6 S1_V02N0001 +arc: C7 V00T0100 +arc: CE0 H00R0000 +arc: CE1 H02E0101 +arc: CE2 W1_H02E0101 +arc: CE3 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D1 W1_H02E0001 +arc: D4 H02E0201 +arc: D5 S1_V02N0601 +arc: D6 H02E0001 +arc: D7 S1_V02N0601 +arc: E1_H01E0101 F1 +arc: E1_H02E0201 Q2 +arc: E1_H02E0301 Q1 +arc: E3_H06E0203 F7 +arc: E3_H06E0303 Q6 +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q4 +arc: M2 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0401 Q4 +arc: N3_V06N0103 F1 +arc: N3_V06N0203 F7 +arc: N3_V06N0303 F5 +arc: S3_V06S0203 F7 +arc: S3_V06S0303 F5 +arc: V01S0000 Q6 +arc: W3_H06W0203 F7 +arc: W3_H06W0303 Q6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1100110011110000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R59C16:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 E3_H06W0303 +arc: E3_H06E0103 N3_V06S0103 +arc: H00L0100 V02N0301 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0601 S1_V02N0601 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0301 H06E0003 +arc: S3_V06S0103 N1_V02S0201 +arc: V00B0000 H02E0401 +arc: V00T0000 H02E0201 +arc: V00T0100 H02E0101 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0401 V06S0203 +arc: B0 E1_H02W0301 +arc: B2 H02E0301 +arc: B3 H00L0000 +arc: B6 E1_H02W0301 +arc: C0 V02N0601 +arc: C2 H00L0100 +arc: C3 H02W0601 +arc: C6 H02W0401 +arc: CE1 V02N0201 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0201 +arc: D1 H02E0001 +arc: D2 H01E0101 +arc: D3 N1_V02S0001 +arc: D6 V02S0401 +arc: D7 V00B0000 +arc: E1_H01E0001 Q6 +arc: E1_H01E0101 Q4 +arc: E1_H02E0401 Q4 +arc: E3_H06E0203 Q4 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 Q2 +arc: H01W0000 Q4 +arc: M0 V00T0100 +arc: M4 V00T0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 Q0 +arc: N1_V02N0201 Q0 +arc: N3_V06N0003 F3 +arc: N3_V06N0103 Q2 +arc: S3_V06S0003 F3 +arc: W3_H06W0003 F3 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 1100110011110000 +word: SLICED.K0.INIT 1111110000110000 +word: SLICED.K1.INIT 1111111100000000 +word: SLICEA.K0.INIT 1111110000110000 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R59C17:PLC2 +arc: E1_H02E0601 E1_H01W0000 +arc: H00L0100 N1_V02S0101 +arc: H00R0000 V02S0401 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 E1_H01W0100 +arc: N3_V06N0303 H06E0303 +arc: S1_V02S0401 N1_V01S0000 +arc: S1_V02S0601 N3_V06S0303 +arc: V00B0000 V02N0201 +arc: V00B0100 W1_H02E0501 +arc: V00T0000 V02N0401 +arc: V00T0100 H02E0101 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0601 V02S0601 +arc: A4 N1_V01N0101 +arc: B3 H00L0000 +arc: B4 H01E0101 +arc: B5 H02E0301 +arc: C2 H00L0000 +arc: C3 H02E0601 +arc: C4 H01E0001 +arc: C5 V00T0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D2 H00R0000 +arc: D3 V00B0100 +arc: D4 F2 +arc: D5 V00B0000 +arc: E1_H01E0001 Q6 +arc: E1_H01E0101 Q0 +arc: E1_H02E0201 Q0 +arc: E3_H06E0003 Q0 +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00L0000 Q0 +arc: H01W0000 Q0 +arc: M0 H02W0601 +arc: M2 W1_H02E0601 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: N1_V02N0201 Q0 +arc: W3_H06W0203 F4 +arc: W3_H06W0303 F5 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1110111100000000 +word: SLICEC.K1.INIT 0011000000111111 +word: SLICEB.K0.INIT 0000111111111111 +word: SLICEB.K1.INIT 0000001111001111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R59C18:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0601 N1_V01S0000 +arc: E3_H06E0203 N3_V06S0203 +arc: H00L0000 H02E0201 +arc: H00L0100 V02N0101 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0701 N1_V01S0100 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02N0201 +arc: V00B0100 V02S0101 +arc: V00T0000 H02W0201 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0601 H01E0001 +arc: A6 H00L0000 +arc: B6 V00B0000 +arc: B7 S1_V02N0701 +arc: C6 W1_H02E0401 +arc: C7 V00T0000 +arc: CE0 H00L0100 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D6 S1_V02N0601 +arc: D7 V02N0401 +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q4 +arc: H01W0100 F6 +arc: M0 V00B0100 +arc: M2 N1_V01N0001 +arc: M4 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 Q2 +arc: N3_V06N0203 F7 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1111101100000000 +word: SLICED.K1.INIT 0011000000111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 + +.tile R59C19:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0401 E1_H01W0000 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0601 W3_H06E0303 +arc: N1_V02N0701 N1_V01S0100 +arc: S1_V02S0401 N1_V01S0000 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N1_V02S0401 +arc: V00B0000 V02S0201 +arc: V00B0100 V02S0301 +arc: W1_H02W0201 N1_V02S0201 +arc: CE2 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q6 +arc: E3_H06E0203 Q4 +arc: H01W0100 Q0 +arc: M0 H02W0601 +arc: M2 W1_H02E0601 +arc: M4 V00B0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0103 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R59C20:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0701 N3_V06S0203 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 H02E0201 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 V02N0401 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0303 W3_H06E0303 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0103 N1_V02S0201 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0100 E1_H02W0701 +arc: V00T0100 V02S0501 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0601 H01E0001 +arc: B1 E1_H02W0301 +arc: C0 V02N0601 +arc: C1 H00L0000 +arc: CE1 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 H00R0000 +arc: F0 F5A_SLICE +arc: H01W0000 Q6 +arc: H01W0100 Q4 +arc: M0 V00B0100 +arc: M2 W1_H02E0601 +arc: M4 V00T0000 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F0 +arc: V00T0000 Q2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1111111100001111 +word: SLICEA.K1.INIT 0011000000111111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R59C21:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0401 N1_V01S0000 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0701 N3_V06S0203 +arc: E3_H06E0003 N3_V06S0003 +arc: E3_H06E0103 N3_V06S0103 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0203 W3_H06E0203 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0401 N1_V02S0101 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 H02E0401 +arc: V00T0100 W1_H02E0101 +arc: V01S0100 S3_V06N0303 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0701 V06S0203 +arc: W3_H06W0003 N3_V06S0003 +arc: CE0 H02E0101 +arc: CE2 H02E0101 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0601 Q4 +arc: M0 V00B0000 +arc: M2 V00T0000 +arc: M4 V00T0100 +arc: M6 W1_H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0201 Q2 +arc: N1_V02N0401 Q6 +arc: V00T0000 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R59C22:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0501 V06N0303 +arc: E1_H02E0601 H01E0001 +arc: H00L0100 N1_V02S0101 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 W3_H06E0203 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 E1_H02W0601 +arc: S1_V02S0301 N3_V06S0003 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02N0201 +arc: V00B0100 V02S0101 +arc: V00T0000 W1_H02E0001 +arc: V00T0100 H02E0101 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0701 W3_H06E0203 +arc: B1 H01W0100 +arc: B6 V00T0000 +arc: C1 H00L0100 +arc: C6 V00T0100 +arc: CE2 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D1 V02N0001 +arc: D6 V00B0000 +arc: D7 E1_H02W0001 +arc: E3_H06E0203 Q4 +arc: F1 F1_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 Q2 +arc: M2 H02E0601 +arc: M4 H02E0401 +arc: M6 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0103 F1 +arc: W1_H02W0401 Q6 +arc: W3_H06W0303 Q6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1100111111000000 +word: SLICED.K0.INIT 1111110000001100 +word: SLICED.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R59C23:PLC2 +arc: H00L0100 W1_H02E0101 +arc: H00R0100 H02W0501 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0301 W3_H06E0003 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 H02E0601 +arc: N3_V06N0303 H06E0303 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 V02S0201 +arc: V00B0100 H02E0501 +arc: V00T0000 V02S0401 +arc: V00T0100 V02S0501 +arc: B0 V02N0301 +arc: C0 H00L0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V01S0000 +arc: D1 V00B0100 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q4 +arc: E3_H06E0303 Q6 +arc: F0 F5A_SLICE +arc: H01W0100 Q0 +arc: M0 V00B0000 +arc: M2 E1_H02W0601 +arc: M4 V00T0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: N3_V06N0003 Q0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1111110000001100 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R59C24:PLC2 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0501 N1_V02S0501 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 N3_V06S0303 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0701 W3_H06E0203 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 S3_V06N0003 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02S0201 +arc: V00B0100 V02S0101 +arc: V00T0000 V02S0401 +arc: V00T0100 W1_H02E0301 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 S1_V02N0601 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0601 Q6 +arc: E3_H06E0103 Q2 +arc: M0 V00T0100 +arc: M2 V00B0100 +arc: M4 V00T0000 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S3_V06S0003 Q0 +arc: V01S0000 Q4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R59C25:PLC2 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0401 E1_H01W0000 +arc: H00R0100 H02E0501 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 H02W0701 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0701 W3_H06E0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 V02S0201 +arc: V00B0100 V02S0101 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 H02E0101 +arc: W1_H02W0601 N1_V01S0000 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0001 Q2 +arc: E1_H02E0601 Q6 +arc: E3_H06E0003 Q0 +arc: M0 V00B0000 +arc: M2 V00T0100 +arc: M4 V00T0000 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V01S0000 Q0 +arc: V01S0100 Q4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R59C26:PLC2 +arc: E1_H02E0601 W1_H02E0601 +arc: E1_H02E0701 N3_V06S0203 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 V02N0201 +arc: H00R0100 H02W0501 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0601 N1_V01S0000 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0303 W3_H06E0303 +arc: S1_V02S0001 E1_H01W0000 +arc: S1_V02S0601 H02W0601 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 V02S0301 +arc: V00T0000 V02S0601 +arc: V00T0100 V02S0701 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0201 N1_V01S0000 +arc: W1_H02W0701 N3_V06S0203 +arc: CE0 V02N0201 +arc: CE1 V02N0201 +arc: CE2 H00R0100 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q6 +arc: E1_H02E0401 Q4 +arc: H01W0000 Q6 +arc: M0 V00T0100 +arc: M2 V00B0100 +arc: M4 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0201 Q0 +arc: S1_V02S0401 Q4 +arc: V01S0100 Q2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R59C27:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0601 W1_H02E0301 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 N1_V02S0001 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 H01E0001 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 H02E0401 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 V02S0501 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 N1_V02S0601 +arc: B0 H01W0100 +arc: B1 V00B0000 +arc: B2 V02S0301 +arc: B3 H01W0100 +arc: C0 V02S0601 +arc: C1 H00L0000 +arc: C2 W1_H02E0401 +arc: C3 V02S0601 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V00B0100 +arc: D2 W1_H02E0001 +arc: D3 H00R0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: H01W0000 F3 +arc: H01W0100 Q4 +arc: M4 V00T0100 +arc: M6 V00T0000 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: S1_V02S0301 F1 +arc: V01S0000 F2 +arc: V01S0100 F0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1100001100111100 +word: SLICEB.K1.INIT 1111110011000000 +word: SLICEA.K0.INIT 1100001100111100 +word: SLICEA.K1.INIT 1111110011000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R59C28:PLC2 +arc: E1_H02E0601 N1_V01S0000 +arc: H00L0000 V02N0201 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0701 N1_V01S0100 +arc: S1_V02S0001 H06E0003 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0601 W1_H02E0601 +arc: S1_V02S0701 W3_H06E0203 +arc: S3_V06S0103 E1_H01W0100 +arc: V00B0100 V02S0101 +arc: V00T0000 H02E0001 +arc: V00T0100 H02E0101 +arc: CE0 V02N0201 +arc: CE1 V02N0201 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q2 +arc: H01W0000 Q4 +arc: M0 V00T0000 +arc: M2 V00T0100 +arc: M4 H02E0401 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: S3_V06S0203 Q4 +arc: V01S0000 Q2 +arc: V01S0100 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R59C29:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0501 V06S0303 +arc: E1_H02E0601 N1_V01S0000 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0000 V02N0201 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 W3_H06E0103 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0701 H01E0101 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N1_V01S0100 +arc: V00B0100 V02S0101 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 W3_H06E0303 +arc: CE0 V02N0201 +arc: CE1 V02N0201 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: H01W0100 Q4 +arc: M0 W1_H02E0601 +arc: M2 H02E0601 +arc: M4 V00B0100 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0001 Q0 +arc: S1_V02S0601 Q6 +arc: V01S0000 Q2 +arc: V01S0100 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R59C2:PLC2 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0701 S1_V02N0701 +arc: N1_V01N0101 S3_V06N0203 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0601 E1_H01W0000 +arc: S1_V02S0401 S3_V06N0203 +arc: V00B0100 V02S0101 +arc: A5 V00B0000 +arc: B5 V02N0501 +arc: C5 V02N0001 +arc: CLK0 G_HPBX0000 +arc: D4 V00B0000 +arc: D5 V02N0401 +arc: F4 F5C_SLICE +arc: H01W0100 Q4 +arc: M4 V00B0100 +arc: MUXCLK2 CLK0 +arc: V00B0000 Q4 +arc: V01S0000 Q4 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 0100010110001010 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 + +.tile R59C30:PLC2 +arc: E3_H06E0303 W3_H06E0303 +arc: N1_V02N0401 W3_H06E0203 +arc: N1_V02N0501 H02E0501 +arc: N3_V06N0103 S3_V06N0103 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0501 E1_H01W0100 +arc: S3_V06S0003 E1_H01W0000 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02S0201 +arc: V00B0100 H02E0701 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 V02S0701 +arc: W1_H02W0501 V01N0101 +arc: CE0 H02E0101 +arc: CE1 H02E0101 +arc: CE2 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: M0 V00B0100 +arc: M2 V00T0000 +arc: M4 V00B0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: S1_V02S0201 Q0 +arc: S1_V02S0401 Q6 +arc: S1_V02S0601 Q4 +arc: V01S0000 Q2 +arc: V01S0100 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R59C31:PLC2 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 W1_H02E0201 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 E1_H01W0000 +arc: V00B0000 V02S0001 +arc: V00T0000 V02S0401 +arc: V00T0100 V02S0701 +arc: CE0 V02N0201 +arc: CE1 V02N0201 +arc: CE2 V02N0601 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q4 +arc: H01W0100 Q6 +arc: M0 V00B0000 +arc: M2 W1_H02E0601 +arc: M4 V00T0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V01S0000 Q2 +arc: V01S0100 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R59C32:PLC2 +arc: H01W0000 W3_H06E0103 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 W3_H06E0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 H06E0303 +arc: V01S0000 N3_V06S0103 + +.tile R59C33:PLC2 +arc: E3_H06E0003 W3_H06E0303 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 W3_H06E0303 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 H06E0303 + +.tile R59C34:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile R59C35:PLC2 +arc: S3_V06S0003 N3_V06S0303 + +.tile R59C36:PLC2 +arc: S3_V06S0003 H06E0003 + +.tile R59C39:PLC2 +arc: E3_H06E0003 W3_H06E0003 +arc: S3_V06S0003 W3_H06E0003 + +.tile R59C3:PLC2 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0401 V02N0401 +arc: H00L0000 H02E0201 +arc: H00R0000 V02N0401 +arc: H01W0000 E3_H06W0103 +arc: N1_V02N0001 E3_H06W0003 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 E3_H06W0103 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0501 S3_V06N0303 +arc: S3_V06S0203 E3_H06W0203 +arc: V00B0100 N1_V02S0101 +arc: V00T0100 N1_V02S0501 +arc: CE0 H00R0000 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0601 Q4 +arc: M0 V00B0100 +arc: M4 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q0 +arc: N3_V06N0203 Q4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R59C42:PLC2 +arc: S3_V06S0003 H06E0003 + +.tile R59C45:PLC2 +arc: S3_V06S0003 W3_H06E0003 + +.tile R59C4:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0601 V02N0601 +arc: E1_H02E0701 E1_H01W0100 +arc: H00R0000 N1_V02S0601 +arc: N1_V02N0601 H02E0601 +arc: S3_V06S0103 E3_H06W0103 +arc: V00B0000 V02S0001 +arc: V00B0100 W1_H02E0701 +arc: V00T0000 V02N0601 +arc: V00T0100 H02E0301 +arc: CE0 H02E0101 +arc: CE1 H00R0000 +arc: CE2 N1_V02S0601 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: M0 V00B0100 +arc: M2 V00T0100 +arc: M4 V00T0000 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: N1_V02N0001 Q0 +arc: N1_V02N0201 Q2 +arc: N1_V02N0401 Q4 +arc: V01S0100 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R59C5:PLC2 +arc: E1_H02E0701 V02S0701 +arc: H00R0000 W1_H02E0401 +arc: N3_V06N0003 H06W0003 +arc: V00B0000 V02S0001 +arc: V00B0100 V02S0301 +arc: A4 V02N0101 +arc: A5 H02E0701 +arc: B4 H02E0101 +arc: B5 V00B0100 +arc: C4 N1_V02S0001 +arc: C5 S1_V02N0001 +arc: CE1 W1_H02E0101 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D4 H00R0100 +arc: D5 H02W0001 +arc: E3_H06E0203 Q4 +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00R0100 F5 +arc: H01W0100 Q6 +arc: M2 H02E0601 +arc: M6 V00B0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V01S0000 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0010101011111111 +word: SLICEC.K1.INIT 0001010100111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R59C6:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0701 V06S0203 +arc: H00L0100 N1_V02S0101 +arc: H00R0100 H02E0701 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0501 S3_V06N0303 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0001 H06W0003 +arc: S1_V02S0501 S3_V06N0303 +arc: V00T0000 N1_V02S0601 +arc: W1_H02W0001 V02N0001 +arc: CE0 H00L0100 +arc: CE1 H00R0100 +arc: CE2 H00L0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: M0 H02W0601 +arc: M2 N1_V01N0001 +arc: M4 E1_H02W0401 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: N1_V02N0201 Q2 +arc: N1_V02N0401 Q4 +arc: N1_V02N0601 Q4 +arc: N3_V06N0303 Q6 +arc: V01S0100 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R59C7:PLC2 +arc: H00L0000 H02E0001 +arc: H00L0100 V02S0301 +arc: H00R0000 H02W0601 +arc: H00R0100 H02W0501 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 E1_H01W0000 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0601 E1_H02W0601 +arc: S3_V06S0103 H06W0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 H02E0701 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 H02E0301 +arc: W1_H02W0601 H01E0001 +arc: A0 H00L0000 +arc: A1 E1_H01E0001 +arc: A4 E1_H02W0501 +arc: A5 V02N0301 +arc: B0 H01W0100 +arc: B1 V02N0101 +arc: B4 V02S0501 +arc: B5 S1_V02N0501 +arc: C0 H00L0100 +arc: C1 H00R0100 +arc: C4 V00T0000 +arc: C5 F4 +arc: CE1 H02W0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V00B0100 +arc: D4 H00R0100 +arc: D5 V00B0000 +arc: E1_H01E0001 Q2 +arc: E3_H06E0303 Q5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0100 Q2 +arc: M2 V00T0100 +arc: M6 H02E0401 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V02N0001 F0 +arc: N1_V02N0601 Q6 +arc: V01S0100 F1 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0100000011000000 +word: SLICEC.K1.INIT 1100111011111111 +word: SLICEA.K0.INIT 1001000000001001 +word: SLICEA.K1.INIT 1000000011001100 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R59C8:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0501 N1_V02S0501 +arc: H00R0100 V02S0701 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0501 V01N0101 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0303 E3_H06W0303 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0501 N1_V02S0501 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02N0001 +arc: V00B0100 V02S0101 +arc: V00T0000 V02N0401 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 N1_V02S0501 +arc: W3_H06W0003 E3_H06W0303 +arc: CE0 E1_H02W0101 +arc: CE1 E1_H02W0101 +arc: CE2 E1_H02W0101 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q4 +arc: H01W0000 Q6 +arc: H01W0100 Q0 +arc: M0 V00T0000 +arc: M2 V00B0000 +arc: M4 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: N1_V02N0001 Q2 +arc: N1_V02N0401 Q6 +arc: W1_H02W0601 Q4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R59C9:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0701 S3_V06N0203 +arc: E3_H06E0203 N1_V01S0000 +arc: H00L0000 E1_H02W0001 +arc: H00R0100 H02E0501 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 E3_H06W0303 +arc: N3_V06N0203 H06W0203 +arc: N3_V06N0303 S3_V06N0303 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0203 E3_H06W0203 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0501 H01E0101 +arc: W1_H02W0601 V02N0601 +arc: W3_H06W0203 E3_H06W0203 +arc: B3 H00L0000 +arc: B6 V02N0701 +arc: C2 H00R0100 +arc: C3 H02W0601 +arc: C6 V02N0001 +arc: CLK0 G_HPBX0000 +arc: D2 V00T0100 +arc: D3 V02N0201 +arc: D6 H02E0001 +arc: D7 V02S0401 +arc: E1_H01E0101 F2 +arc: E3_H06E0303 Q6 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F2 +arc: H01W0100 F2 +arc: M6 E1_H02W0401 +arc: MUXCLK3 CLK0 +arc: N3_V06N0003 F3 +arc: N3_V06N0103 F2 +arc: V00T0100 F3 +arc: W3_H06W0003 F3 +arc: W3_H06W0103 F2 +word: SLICEB.K0.INIT 1111000000000000 +word: SLICEB.K1.INIT 1100000000000000 +word: SLICED.K0.INIT 1111110000001100 +word: SLICED.K1.INIT 1111111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R60C10:PLC2 +arc: E1_H02E0001 V06S0003 +arc: E3_H06E0303 N1_V01S0100 +arc: H00R0000 H02E0601 +arc: H00R0100 V02S0701 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0601 H01E0001 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0001 H01E0001 +arc: S1_V02S0101 N3_V06S0103 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0100 V02S0101 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 H02E0301 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0501 H01E0101 +arc: W1_H02W0601 S1_V02N0601 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0203 E3_H06W0103 +arc: A3 V00B0000 +arc: B3 H00R0100 +arc: C1 H02E0401 +arc: C3 W1_H02E0401 +arc: CE2 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D1 V00T0100 +arc: D3 V00T0100 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: H01W0000 Q6 +arc: M4 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q1 +arc: N1_V01N0101 Q3 +arc: V00B0000 Q4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1011101000110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R60C11:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 N3_V06S0203 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0203 N3_V06S0203 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0301 H02W0301 +arc: N1_V02N0401 S1_V02N0401 +arc: N3_V06N0003 S1_V02N0301 +arc: N3_V06N0103 S1_V02N0101 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0501 N3_V06S0303 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 E1_H02W0701 + +.tile R60C12:PLC2 +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0401 V02N0401 +arc: E3_H06E0203 N1_V01S0000 +arc: H00L0000 H02W0001 +arc: H00R0000 V02N0601 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0701 V01N0101 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 E1_H02W0501 +arc: S3_V06S0103 N3_V06S0103 +arc: V00B0100 H02W0501 +arc: V00T0100 V02N0701 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 E1_H02W0201 +arc: A1 V02S0701 +arc: B1 E1_H01W0100 +arc: B3 H02E0101 +arc: B5 H02E0101 +arc: B7 H02E0101 +arc: C1 H00L0000 +arc: C3 H00R0100 +arc: C5 H02W0401 +arc: C7 V00T0100 +arc: CE1 H00R0000 +arc: CE2 V02N0601 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D1 V00B0100 +arc: D3 S1_V02N0001 +arc: D5 V02S0401 +arc: D7 S1_V02N0601 +arc: E1_H01E0101 Q3 +arc: E1_H02E0101 F3 +arc: E1_H02E0501 F5 +arc: E1_H02E0701 F7 +arc: E3_H06E0303 Q5 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F0 +arc: M0 H02W0601 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0303 F5 +arc: V01S0000 Q7 +arc: W1_H02W0001 Q0 +arc: W3_H06W0003 F3 +arc: W3_H06W0203 F7 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111001111000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 + +.tile R60C13:PLC2 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 W1_H02E0301 +arc: E3_H06E0003 W3_H06E0003 +arc: H00R0100 V02S0701 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 S1_V02N0301 +arc: S1_V02S0101 N3_V06S0103 +arc: S3_V06S0103 H06W0103 +arc: V00B0100 V02S0301 +arc: V00T0100 V02S0501 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0601 S1_V02N0601 +arc: W3_H06W0003 E1_H02W0001 +arc: A1 E1_H01E0001 +arc: A3 V00B0000 +arc: A5 V00T0000 +arc: B0 N1_V02S0301 +arc: B1 V00T0000 +arc: B2 V02S0101 +arc: B3 H00R0000 +arc: B4 H02E0301 +arc: B5 H00L0000 +arc: B6 N1_V01S0000 +arc: C0 H02E0401 +arc: C1 H00L0000 +arc: C2 E1_H02W0601 +arc: C3 N1_V01N0001 +arc: C4 V02N0001 +arc: C5 V00B0100 +arc: C6 V02N0001 +arc: C7 H02W0401 +arc: CE0 V02N0201 +arc: CE1 V02N0201 +arc: CE2 V02N0601 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 H00R0000 +arc: D2 N1_V02S0001 +arc: D3 V01S0100 +arc: D4 H00R0100 +arc: D5 H01W0000 +arc: D6 H02W0001 +arc: D7 E1_H02W0201 +arc: E1_H01E0001 Q6 +arc: E1_H01E0101 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H00R0000 Q4 +arc: H01W0000 F7 +arc: H01W0100 Q2 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 Q4 +arc: S1_V02S0301 F3 +arc: V00B0000 Q6 +arc: V00T0000 Q2 +arc: V01S0100 Q0 +arc: W1_H02W0001 Q0 +arc: W1_H02W0501 F7 +arc: W1_H02W0701 F5 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 0000000100000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 0000000000000001 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 0001000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 + +.tile R60C14:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0201 W1_H02E0701 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0501 W3_H06E0303 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0100 V02S0101 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 V02S0501 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 H01E0101 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0701 H01E0101 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N1_V01S0000 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 H02E0501 +arc: V00T0000 V02S0401 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 H01E0101 +arc: W3_H06W0103 E1_H01W0100 +arc: A6 V02N0301 +arc: A7 V02N0301 +arc: B3 H00R0100 +arc: B6 S1_V02N0501 +arc: B7 V00T0000 +arc: C1 H02E0401 +arc: C3 H00L0100 +arc: C6 V00T0100 +arc: C7 V00T0100 +arc: CE1 V02N0201 +arc: CE2 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D1 E1_H02W0201 +arc: D3 H00R0000 +arc: D6 V02N0401 +arc: D7 V02N0401 +arc: E1_H02E0101 F3 +arc: E3_H06E0103 Q1 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 Q4 +arc: M4 V00B0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: S3_V06S0303 F6 +arc: V01S0100 Q3 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICED.K0.INIT 1000000000000000 +word: SLICED.K1.INIT 0111111111111111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R60C15:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H01E0101 W3_H06E0203 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 E1_H01W0000 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0701 H06E0203 +arc: N3_V06N0003 S1_V02N0301 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0701 W3_H06E0203 +arc: V00B0000 H02E0401 +arc: V00B0100 H02E0501 +arc: V00T0100 V02S0501 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0601 S1_V02N0601 +arc: A3 V02N0701 +arc: B0 V02N0301 +arc: B1 V00T0000 +arc: B3 H00L0000 +arc: B4 W1_H02E0301 +arc: B5 H00R0000 +arc: B6 H02W0301 +arc: B7 V02N0701 +arc: C0 W1_H02E0601 +arc: C1 H02W0601 +arc: C2 H00L0100 +arc: C3 V02N0401 +arc: C4 V02N0001 +arc: C5 V00T0100 +arc: C6 V02N0001 +arc: C7 E1_H02W0601 +arc: CE0 W1_H02E0101 +arc: CE2 W1_H02E0101 +arc: CE3 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0201 +arc: D1 S1_V02N0001 +arc: D2 V00B0100 +arc: D3 E1_H02W0001 +arc: D4 V00B0000 +arc: D5 V02N0601 +arc: D6 V02S0601 +arc: D7 V02N0601 +arc: E3_H06E0003 Q0 +arc: E3_H06E0103 F1 +arc: E3_H06E0203 F7 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H00L0100 F3 +arc: H00R0000 Q4 +arc: H01W0100 F3 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0601 Q4 +arc: N3_V06N0103 F1 +arc: N3_V06N0203 F7 +arc: N3_V06N0303 F5 +arc: S3_V06S0103 F1 +arc: S3_V06S0203 F7 +arc: S3_V06S0303 F5 +arc: V00T0000 Q0 +arc: V01S0100 Q6 +arc: W1_H02W0001 F2 +arc: W3_H06W0103 F1 +arc: W3_H06W0203 F7 +arc: W3_H06W0303 F5 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1100110011110000 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 1100110011110000 +word: SLICEB.K0.INIT 1111000000000000 +word: SLICEB.K1.INIT 0000000000000001 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R60C16:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0401 E1_H01W0000 +arc: H00L0100 W1_H02E0301 +arc: H00R0000 V02N0401 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0601 W3_H06E0303 +arc: N1_V02N0701 V01N0101 +arc: S1_V02S0001 H06E0003 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0201 N3_V06S0103 +arc: V00B0000 E1_H02W0601 +arc: V00T0100 N1_V02S0501 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0601 E1_H02W0301 +arc: B0 V00B0000 +arc: B1 V00T0000 +arc: B4 H02E0301 +arc: B5 H02E0101 +arc: C0 H00L0100 +arc: C1 N1_V01S0100 +arc: C4 V02N0001 +arc: C5 S1_V02N0201 +arc: CE0 V02N0201 +arc: CE1 H02W0101 +arc: CE2 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 W1_H02E0001 +arc: D1 H00R0000 +arc: D4 H02E0001 +arc: D5 V02N0401 +arc: E1_H01E0001 Q0 +arc: E3_H06E0003 Q0 +arc: E3_H06E0103 F1 +arc: E3_H06E0303 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 Q2 +arc: H01W0100 Q4 +arc: M2 V00T0100 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: N1_V02N0401 Q4 +arc: N3_V06N0103 F1 +arc: N3_V06N0303 F5 +arc: S3_V06S0103 F1 +arc: S3_V06S0303 F5 +arc: V00T0000 Q0 +arc: W3_H06W0103 F1 +arc: W3_H06W0303 F5 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1100110011110000 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 1100110011110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R60C17:PLC2 +arc: E1_H02E0301 W3_H06E0003 +arc: E1_H02E0401 V02S0401 +arc: E3_H06E0003 N3_V06S0003 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 W3_H06E0203 +arc: N1_V02N0601 S1_V02N0601 +arc: N3_V06N0003 S1_V02N0001 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 E3_H06W0203 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0401 N1_V02S0101 +arc: S3_V06S0003 N3_V06S0303 +arc: V00B0000 N1_V02S0201 +arc: V00T0100 N1_V02S0701 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0601 N1_V01S0000 +arc: CE0 H02W0101 +arc: CE2 H02W0101 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q4 +arc: M0 V00B0000 +arc: M2 V00T0000 +arc: M4 V00T0100 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: N1_V02N0001 Q2 +arc: V00T0000 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R60C18:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 S1_V02N0601 +arc: H00L0000 W1_H02E0201 +arc: H00R0100 E1_H02W0701 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0401 E1_H02W0401 +arc: N3_V06N0303 V01N0101 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 N1_V02S0201 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 H06E0203 +arc: V00B0000 V02N0201 +arc: V00T0100 W1_H02E0101 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0601 W3_H06E0303 +arc: B0 V02N0301 +arc: B3 V01N0001 +arc: C0 H00R0100 +arc: C3 H00L0000 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0201 +arc: D1 E1_H02W0201 +arc: D3 V00T0100 +arc: E1_H01E0101 Q6 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: M0 V00B0000 +arc: M4 E1_H01E0101 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0201 Q0 +arc: N3_V06N0003 F3 +arc: V01S0100 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0011000000111111 +word: SLICEA.K0.INIT 1111110000110000 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R60C19:PLC2 +arc: E1_H02E0501 N3_V06S0303 +arc: E1_H02E0601 V01N0001 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 V01N0101 +arc: N1_V02N0701 H06W0203 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 H06E0303 +arc: V00B0100 H02E0501 +arc: V00T0000 V02S0401 +arc: W1_H02W0201 E1_H02W0701 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q2 +arc: M0 V00B0000 +arc: M2 N1_V01N0001 +arc: M4 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V02N0001 Q0 +arc: V00B0000 Q4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R60C20:PLC2 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0301 V02N0301 +arc: E3_H06E0103 V06S0103 +arc: E3_H06E0303 N1_V01S0100 +arc: H00L0000 H02W0001 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 V02N0401 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 H01E0101 +arc: S1_V02S0201 W1_H02E0201 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0100 H02E0501 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0701 W3_H06E0203 +arc: A1 V02S0701 +arc: A3 V02N0501 +arc: A4 F5 +arc: A5 E1_H02W0501 +arc: A7 N1_V01N0101 +arc: B1 V02S0101 +arc: B3 H00R0000 +arc: B4 F1 +arc: B5 S1_V02N0701 +arc: B7 E1_H02W0301 +arc: C1 S1_V02N0401 +arc: C3 H00L0000 +arc: C4 E1_H01E0101 +arc: C5 V00B0100 +arc: C7 W1_H02E0601 +arc: D1 V02N0201 +arc: D3 V02S0001 +arc: D4 H00R0100 +arc: D5 V02S0601 +arc: D7 H00L0100 +arc: E1_H01E0101 F3 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: N3_V06N0203 F4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1000010000100001 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1001000000001001 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1001000000001001 +word: SLICEC.K0.INIT 1000000000000000 +word: SLICEC.K1.INIT 1000010000100001 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R60C21:PLC2 +arc: E1_H02E0501 N3_V06S0303 +arc: E1_H02E0601 V06S0303 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0100 V02N0301 +arc: H00R0000 S1_V02N0401 +arc: H00R0100 S1_V02N0501 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0103 W3_H06E0103 +arc: N3_V06N0203 W3_H06E0203 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0301 S3_V06N0003 +arc: S1_V02S0501 N1_V01S0100 +arc: S1_V02S0601 V01N0001 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 V02N0001 +arc: V00T0000 V02N0601 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0401 N3_V06S0203 +arc: A0 H00L0100 +arc: A2 S1_V02N0701 +arc: A3 V02N0501 +arc: A4 S1_V02N0101 +arc: A5 V00T0000 +arc: A6 S1_V02N0301 +arc: A7 V02N0101 +arc: B2 V02N0301 +arc: B3 H00R0100 +arc: B4 H02E0301 +arc: B5 H00R0000 +arc: B6 V02N0701 +arc: B7 V00B0000 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F4 +arc: N1_V01N0001 F2 +arc: N1_V02N0301 F3 +arc: N1_V02N0401 F6 +arc: N1_V02N0501 F7 +arc: W1_H02W0701 F5 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R60C22:PLC2 +arc: E1_H02E0301 W1_H02E0201 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 S1_V02N0201 +arc: H00R0000 V02N0601 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 E1_H01W0100 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0103 W3_H06E0103 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0701 V01N0101 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 S1_V02N0101 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0301 V02S0301 +arc: W1_H02W0501 V06S0303 +arc: A0 V02N0501 +arc: A1 H00R0000 +arc: A2 V02N0701 +arc: A3 S1_V02N0501 +arc: A4 H02W0701 +arc: A5 V00T0000 +arc: A6 V00T0100 +arc: A7 V02N0301 +arc: B0 S1_V02N0301 +arc: B1 V02N0101 +arc: B2 H02W0301 +arc: B3 H00L0000 +arc: B4 H02W0101 +arc: B5 S1_V02N0701 +arc: B6 V00B0100 +arc: B7 V00B0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F3 +arc: N1_V02N0401 F6 +arc: N3_V06N0203 F4 +arc: W1_H02W0001 F2 +arc: W1_H02W0701 F5 +arc: W3_H06W0003 F0 +arc: W3_H06W0103 F1 +arc: W3_H06W0203 F7 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R60C23:PLC2 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0501 V01N0101 +arc: E3_H06E0003 V06S0003 +arc: H00L0000 S1_V02N0201 +arc: H00R0000 V02N0401 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0501 E1_H01W0100 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0401 V01N0001 +arc: S3_V06S0003 N3_V06S0303 +arc: V00B0000 V02N0001 +arc: V00B0100 V02N0301 +arc: V00T0000 V02N0601 +arc: V00T0100 V02N0501 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0701 N1_V02S0701 +arc: A0 S1_V02N0501 +arc: A1 V02N0701 +arc: A2 E1_H02W0501 +arc: A3 S1_V02N0701 +arc: A4 V02N0101 +arc: A5 V00T0000 +arc: A6 V00T0100 +arc: A7 H02W0701 +arc: B0 V02S0101 +arc: B1 V02S0301 +arc: B2 E1_H02W0301 +arc: B3 E1_H02W0101 +arc: B4 H00R0000 +arc: B5 H00L0000 +arc: B6 V00B0100 +arc: B7 V00B0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: H01W0100 F7 +arc: N1_V02N0201 F2 +arc: N1_V02N0401 F6 +arc: N3_V06N0003 F0 +arc: N3_V06N0303 F5 +arc: W3_H06W0003 F3 +arc: W3_H06W0203 F4 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R60C24:PLC2 +arc: E1_H02E0701 V06S0203 +arc: H00L0000 V02N0001 +arc: H00R0000 V02N0401 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0601 N3_V06S0303 +arc: S1_V02S0001 N1_V01S0000 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N1_V01S0100 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 S1_V02N0001 +arc: V00T0000 S1_V02N0601 +arc: W1_H02W0001 S3_V06N0003 +arc: W1_H02W0701 V06N0203 +arc: A0 V02S0701 +arc: A1 H00R0000 +arc: A2 V01N0101 +arc: A3 E1_H02W0701 +arc: A4 E1_H02W0501 +arc: A5 V00T0000 +arc: A6 V02N0301 +arc: A7 S1_V02N0101 +arc: B0 V02N0101 +arc: B1 V02S0101 +arc: B2 V01N0001 +arc: B3 H00L0000 +arc: B4 S1_V02N0501 +arc: B5 E1_H02W0301 +arc: B6 V02N0501 +arc: B7 V00B0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F5 +arc: N1_V02N0201 F2 +arc: N1_V02N0301 F3 +arc: N1_V02N0501 F7 +arc: N3_V06N0003 F0 +arc: N3_V06N0103 F1 +arc: N3_V06N0203 F4 +arc: N3_V06N0303 F6 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R60C25:PLC2 +arc: E1_H02E0201 N1_V01S0000 +arc: E1_H02E0401 E1_H01W0000 +arc: N1_V02N0001 W1_H02E0001 +arc: S1_V02S0101 N1_V01S0100 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0401 S3_V06N0203 +arc: S1_V02S0501 N1_V02S0501 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 V02N0201 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 W1_H02E0101 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0501 V02N0501 +arc: A0 V02N0701 +arc: A1 W1_H02E0501 +arc: B0 V00T0000 +arc: B1 V00B0000 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0601 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: M4 V00B0100 +arc: M6 V00T0100 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0003 F0 +arc: N3_V06N0103 F1 +arc: S3_V06S0203 Q4 +arc: V01S0000 Q6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R60C26:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0601 V02S0601 +arc: E3_H06E0003 N3_V06S0003 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0303 +arc: H00R0000 H02E0401 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0201 W3_H06E0103 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0501 E1_H01W0100 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 N1_V02S0101 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0101 W3_H06E0103 +arc: W1_H02W0301 V06N0003 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0701 V06N0203 +arc: CE0 H00R0000 +arc: CE1 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q0 +arc: E1_H01E0101 Q2 +arc: M0 V00B0000 +arc: M2 V00T0000 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0401 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R60C27:PLC2 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0601 V06S0303 +arc: E1_H02E0701 N1_V02S0701 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 V02N0201 +arc: N1_V02N0401 N3_V06S0203 +arc: S1_V02S0001 N1_V01S0000 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0501 N1_V01S0100 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02S0201 +arc: V00B0100 H02W0701 +arc: W1_H02W0101 S1_V02N0101 +arc: B0 V00T0000 +arc: B1 N1_V02S0301 +arc: B4 H01E0101 +arc: B5 H02E0301 +arc: B6 H02E0301 +arc: B7 V00T0000 +arc: C0 H00L0000 +arc: C1 V02S0601 +arc: C4 H02E0401 +arc: C5 H02E0601 +arc: C6 H02E0601 +arc: C7 V02N0201 +arc: CE1 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0201 +arc: D1 V02S0001 +arc: D4 W1_H02E0201 +arc: D5 E1_H02W0001 +arc: D6 E1_H02W0001 +arc: D7 V00B0000 +arc: E1_H01E0101 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F6 +arc: M2 V00B0100 +arc: MUXCLK1 CLK0 +arc: N1_V01N0101 F5 +arc: S1_V02S0701 F7 +arc: V00T0000 Q2 +arc: V01S0000 F1 +arc: V01S0100 F4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1100001100111100 +word: SLICEA.K1.INIT 1111110011000000 +word: SLICED.K0.INIT 1100001100111100 +word: SLICED.K1.INIT 1111110011000000 +word: SLICEC.K0.INIT 1100001100111100 +word: SLICEC.K1.INIT 1111110011000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R60C28:PLC2 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0101 N1_V01S0100 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 W1_H02E0201 +arc: H00L0100 V02S0101 +arc: H00R0000 V02S0401 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0201 W1_H02E0201 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0501 E1_H02W0501 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02S0001 +arc: V00B0100 V02S0101 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0701 N1_V02S0701 +arc: B0 H00R0100 +arc: B1 N1_V02S0301 +arc: B4 N1_V02S0501 +arc: B5 H02E0301 +arc: B6 V01S0000 +arc: B7 N1_V01S0000 +arc: C0 H00L0100 +arc: C1 H02E0401 +arc: C4 H02E0401 +arc: C5 H02E0601 +arc: C6 V02S0201 +arc: C7 V00B0100 +arc: CE1 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 H02W0201 +arc: D4 H02W0201 +arc: D5 V00B0000 +arc: D6 V02S0601 +arc: D7 V02S0401 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: M2 V00T0000 +arc: MUXCLK1 CLK0 +arc: N1_V01N0101 F5 +arc: S1_V02S0201 F0 +arc: S1_V02S0301 F1 +arc: S1_V02S0401 F6 +arc: S1_V02S0601 F4 +arc: S1_V02S0701 F7 +arc: V01S0000 Q2 +arc: V01S0100 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1100001100111100 +word: SLICEA.K1.INIT 1111110011000000 +word: SLICED.K0.INIT 1100001100111100 +word: SLICED.K1.INIT 1111110011000000 +word: SLICEC.K0.INIT 1100001100111100 +word: SLICEC.K1.INIT 1111110011000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R60C29:PLC2 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0701 W1_H02E0701 +arc: H00R0000 E1_H02W0601 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 H06E0303 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0501 N1_V02S0501 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N1_V02S0401 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 V02S0101 +arc: V00T0000 N1_V02S0601 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0701 V02S0701 +arc: B2 H02E0101 +arc: B3 H00L0000 +arc: B4 H00L0000 +arc: B5 H02W0301 +arc: B7 H02E0101 +arc: C2 N1_V02S0601 +arc: C3 N1_V01S0100 +arc: C4 V02S0001 +arc: C5 H02W0601 +arc: C7 V00T0000 +arc: CE0 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D2 V02S0201 +arc: D3 V00B0100 +arc: D4 V02S0401 +arc: D5 V02N0601 +arc: D7 E1_H02W0201 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: M0 V00B0000 +arc: MUXCLK0 CLK0 +arc: N1_V01N0101 F7 +arc: S1_V02S0001 F2 +arc: S1_V02S0101 F3 +arc: S1_V02S0401 F4 +arc: S1_V02S0701 F5 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111110011000000 +word: SLICEC.K0.INIT 1100001100111100 +word: SLICEC.K1.INIT 1111110011000000 +word: SLICEB.K0.INIT 1100001100111100 +word: SLICEB.K1.INIT 1111110011000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R60C2:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0701 E1_H01W0100 +arc: H00L0100 E1_H02W0101 +arc: H00R0000 V02S0401 +arc: H00R0100 S1_V02N0501 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 E3_H06W0103 +arc: N1_V02N0501 S1_V02N0501 +arc: N3_V06N0103 E3_H06W0103 +arc: S1_V02S0001 N1_V01S0000 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0401 N1_V01S0000 +arc: S1_V02S0601 E1_H01W0000 +arc: V00T0000 V02S0401 +arc: V00T0100 S1_V02N0501 +arc: A3 H02E0701 +arc: A5 V02N0101 +arc: A7 Q7 +arc: B3 H00R0100 +arc: B5 V01S0000 +arc: B7 S1_V02N0701 +arc: C3 F6 +arc: C5 V00T0100 +arc: C6 V00B0100 +arc: C7 S1_V02N0201 +arc: CLK0 G_HPBX0000 +arc: D3 H00R0000 +arc: D4 V00B0000 +arc: D5 V02N0601 +arc: D6 V00B0000 +arc: D7 V02S0401 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 Q7 +arc: E1_H02E0301 F1 +arc: E1_H02E0401 Q4 +arc: E1_H02E0501 Q7 +arc: E1_H02E0601 Q4 +arc: E3_H06E0103 F1 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q7 +arc: M0 H02E0601 +arc: M1 H00L0100 +arc: M2 H02E0601 +arc: M4 V00T0000 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0401 F6 +arc: S1_V02S0701 Q7 +arc: V00B0000 Q4 +arc: V00B0100 Q7 +arc: V01S0000 Q4 +arc: V01S0100 Q7 +word: SLICED.K0.INIT 1111000000000000 +word: SLICED.K1.INIT 0100010110101010 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 0110000001100110 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1111111111111111 +word: SLICEB.K1.INIT 1000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R60C30:PLC2 +arc: E1_H02E0301 N1_V02S0301 +arc: H00L0000 E1_H02W0201 +arc: H00L0100 V02S0101 +arc: H00R0100 V02S0501 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0501 N1_V02S0501 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 V02S0501 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0601 E1_H01W0000 +arc: B0 E1_H02W0101 +arc: B1 V00B0000 +arc: B2 E1_H01W0100 +arc: B3 N1_V02S0101 +arc: B4 N1_V01S0000 +arc: B5 E1_H02W0101 +arc: C0 H02W0401 +arc: C1 V02N0601 +arc: C2 E1_H01W0000 +arc: C3 N1_V01S0100 +arc: C4 V02S0201 +arc: C5 H02W0401 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0001 +arc: D1 V02N0001 +arc: D2 H02E0001 +arc: D3 V00T0100 +arc: D4 H00R0100 +arc: D5 H00L0100 +arc: E1_H01E0001 F4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: M6 V00T0000 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 F2 +arc: S1_V02S0101 F1 +arc: S1_V02S0601 Q6 +arc: V00B0000 Q6 +arc: V01S0100 F0 +arc: W1_H02W0101 F3 +arc: W1_H02W0501 F5 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1100001100111100 +word: SLICEA.K1.INIT 1111110011000000 +word: SLICEB.K0.INIT 1100001100111100 +word: SLICEB.K1.INIT 1111110011000000 +word: SLICEC.K0.INIT 1100001100111100 +word: SLICEC.K1.INIT 1111110011000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R60C31:PLC2 +arc: E1_H02E0501 V06S0303 +arc: H00L0000 H02W0201 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0601 E1_H01W0000 +arc: S1_V02S0401 H01E0001 +arc: S1_V02S0501 N1_V01S0100 +arc: S1_V02S0601 E1_H01W0000 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 H02E0301 +arc: W1_H02W0201 V02S0201 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0601 E1_H01W0000 +arc: CE0 H00L0000 +arc: CE1 H00L0000 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q4 +arc: H01W0000 Q2 +arc: H01W0100 Q6 +arc: M0 H02W0601 +arc: M2 V00T0000 +arc: M4 V00B0100 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V01S0100 Q0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R60C32:PLC2 +arc: H01W0000 W3_H06E0103 +arc: S1_V02S0201 W3_H06E0103 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0003 N1_V01S0000 +arc: S3_V06S0203 N3_V06S0103 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0601 N1_V02S0601 + +.tile R60C33:PLC2 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0203 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 N3_V06S0203 + +.tile R60C34:PLC2 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0303 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 W3_H06E0303 + +.tile R60C36:PLC2 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 H06E0203 + +.tile R60C37:PLC2 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0303 H06E0303 + +.tile R60C39:PLC2 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0203 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 W3_H06E0203 + +.tile R60C3:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: H00L0000 H02W0001 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 E3_H06W0203 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0203 E3_H06W0203 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0201 H01E0001 +arc: S1_V02S0401 H02E0401 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 H02E0601 +arc: V00B0100 H02E0501 +arc: V00T0000 H02W0201 +arc: V00T0100 V02S0501 +arc: A1 H02E0501 +arc: A4 V02N0301 +arc: A5 V02N0301 +arc: A6 F7 +arc: A7 E1_H01W0000 +arc: B1 V01N0001 +arc: B2 H00L0000 +arc: B3 E1_H01W0100 +arc: B4 E1_H02W0101 +arc: B5 E1_H02W0101 +arc: B6 F1 +arc: B7 H01E0101 +arc: C1 H02E0401 +arc: C2 H02E0401 +arc: C3 H02E0401 +arc: C4 V02N0201 +arc: C5 V02N0201 +arc: C6 E1_H01E0101 +arc: C7 V00T0000 +arc: CLK0 G_HPBX0000 +arc: D1 H02E0001 +arc: D2 H02E0001 +arc: D3 H02E0001 +arc: D4 S1_V02N0601 +arc: D5 S1_V02N0601 +arc: D6 V02N0601 +arc: D7 V00B0000 +arc: E1_H01E0001 Q4 +arc: E1_H01E0101 F2 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: H01W0100 Q4 +arc: LSR1 V00T0100 +arc: M2 V00B0100 +arc: M4 H02W0401 +arc: MUXCLK2 CLK0 +arc: MUXLSR2 LSR1 +arc: V01S0000 Q4 +arc: V01S0100 Q4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1011111100000000 +word: SLICED.K0.INIT 0001001101011111 +word: SLICED.K1.INIT 0111011111001111 +word: SLICEB.K0.INIT 0000000000111111 +word: SLICEB.K1.INIT 0000000011110011 +word: SLICEC.K0.INIT 1000000000000000 +word: SLICEC.K1.INIT 0111111111111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R60C40:PLC2 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0303 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 W3_H06E0303 + +.tile R60C42:PLC2 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 H06E0303 + +.tile R60C43:PLC2 +arc: S3_V06S0203 H06E0203 +arc: S3_V06S0303 H06E0303 + +.tile R60C45:PLC2 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 W3_H06E0303 + +.tile R60C4:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0301 V06S0003 +arc: H00L0000 V02N0001 +arc: H00R0100 V02N0501 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 N1_V01S0100 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0401 H06W0203 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0203 E3_H06W0203 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 V02N0701 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0401 H01E0001 +arc: A0 V01N0101 +arc: A1 V01N0101 +arc: A2 V01N0101 +arc: A3 V01N0101 +arc: A4 W1_H02E0701 +arc: A5 S1_V02N0301 +arc: B0 V01N0001 +arc: B1 V01N0001 +arc: B2 V01N0001 +arc: B3 V01N0001 +arc: B4 H02W0101 +arc: B5 V00B0100 +arc: C0 H00L0000 +arc: C1 H00L0000 +arc: C2 H00L0000 +arc: C3 H00L0000 +arc: C4 V02N0201 +arc: C5 V00T0000 +arc: CLK1 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 V00T0100 +arc: D2 V00T0100 +arc: D3 V00T0100 +arc: D4 H00R0100 +arc: D5 H02E0201 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: H01W0000 Q3 +arc: H01W0100 Q1 +arc: LSR1 V00B0000 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: W1_H02W0001 Q2 +arc: W1_H02W0201 Q0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R60C5:PLC2 +arc: E1_H02E0601 S1_V02N0601 +arc: H00L0000 H02E0001 +arc: H00L0100 H02E0301 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 E1_H01W0000 +arc: S3_V06S0103 H06E0103 +arc: V00B0100 V02N0101 +arc: W1_H02W0101 S1_V02N0101 +arc: A3 E1_H02W0701 +arc: B3 H00L0000 +arc: C3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D3 V00B0100 +arc: E3_H06E0003 Q3 +arc: F3 F3_SLICE +arc: MUXCLK1 CLK0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0010101011111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R60C6:PLC2 +arc: E1_H02E0601 S1_V02N0601 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 S1_V02N0201 +arc: N3_V06N0203 E3_H06W0203 +arc: S1_V02S0001 H06W0003 +arc: S1_V02S0101 N3_V06S0103 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 V02N0301 +arc: V00T0000 H02W0201 +arc: V00T0100 V02S0501 +arc: W1_H02W0401 S1_V02N0401 +arc: C3 E1_H01W0000 +arc: CE0 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D3 V02S0001 +arc: F3 F3_SLICE +arc: H00L0100 F3 +arc: H01W0000 Q0 +arc: H01W0100 Q4 +arc: LSR0 V00T0100 +arc: LSR1 V00T0100 +arc: M0 V00B0000 +arc: M4 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR0 +arc: N1_V01N0101 Q4 +arc: N1_V02N0201 Q0 +arc: N1_V02N0401 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R60C7:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0401 E1_H01W0000 +arc: H00R0000 W1_H02E0601 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 S1_V02N0401 +arc: N3_V06N0103 S1_V02N0201 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0601 E1_H02W0601 +arc: S3_V06S0003 H06W0003 +arc: S3_V06S0203 H06W0203 +arc: V00B0100 V02S0301 +arc: V00T0100 E1_H02W0301 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0701 E1_H01W0100 +arc: W3_H06W0203 E1_H02W0701 +arc: A2 H02W0701 +arc: A3 E1_H01E0001 +arc: B2 H00R0000 +arc: B3 H01W0100 +arc: C2 V02N0401 +arc: C3 N1_V01S0100 +arc: C6 H02W0401 +arc: C7 E1_H02W0401 +arc: CE2 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D2 V02N0001 +arc: D3 V00T0100 +arc: D6 E1_H02W0201 +arc: D7 V00B0000 +arc: E1_H01E0001 Q4 +arc: E3_H06E0003 Q3 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: H01W0100 F2 +arc: M4 V00B0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V02N0701 F7 +arc: N3_V06N0203 F7 +arc: S1_V02S0401 F6 +arc: S3_V06S0303 F6 +arc: V00B0000 F6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1111000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0000000001111111 +word: SLICEB.K1.INIT 1111101111110011 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R60C8:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 V02N0401 +arc: E3_H06E0303 V06S0303 +arc: H00L0000 H02E0201 +arc: H00L0100 H02W0301 +arc: H00R0100 V02S0501 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 S1_V02N0401 +arc: S1_V02S0601 H02W0601 +arc: V00B0000 W1_H02E0601 +arc: V00T0100 V02N0501 +arc: W1_H02W0701 V02N0701 +arc: A1 V02N0701 +arc: A3 N1_V02S0501 +arc: A4 E1_H02W0501 +arc: A7 H00R0000 +arc: B1 V00T0000 +arc: B3 H01W0100 +arc: B4 H00L0000 +arc: B6 E1_H02W0101 +arc: B7 V00B0000 +arc: C0 E1_H01W0000 +arc: C1 H02E0401 +arc: C2 E1_H01W0000 +arc: C3 H00R0100 +arc: C4 V02N0201 +arc: C6 V02S0001 +arc: C7 S1_V02N0201 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0001 +arc: D1 H02W0001 +arc: D2 E1_H02W0001 +arc: D3 V00T0100 +arc: D4 H00L0100 +arc: D6 N1_V02S0601 +arc: D7 H02W0201 +arc: E1_H01E0101 F3 +arc: E3_H06E0203 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q6 +arc: H01W0000 F7 +arc: H01W0100 F2 +arc: M4 E1_H01E0101 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 F2 +arc: N1_V02N0301 F1 +arc: N1_V02N0601 Q6 +arc: N3_V06N0103 F2 +arc: N3_V06N0303 Q6 +arc: S1_V02S0201 F0 +arc: S1_V02S0401 Q6 +arc: S3_V06S0003 F0 +arc: S3_V06S0303 Q6 +arc: V00T0000 F0 +arc: V01S0100 F0 +arc: W1_H02W0401 Q6 +arc: W3_H06W0103 F2 +word: SLICED.K0.INIT 1111001111000000 +word: SLICED.K1.INIT 0010011111111111 +word: SLICEA.K0.INIT 0000000000001111 +word: SLICEA.K1.INIT 1000110000001100 +word: SLICEB.K0.INIT 0000111100000000 +word: SLICEB.K1.INIT 1000000011001100 +word: SLICEC.K0.INIT 1110101011000000 +word: SLICEC.K1.INIT 1111111111111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R60C9:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0401 E1_H01W0000 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0303 S1_V02N0501 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 E1_H02W0701 +arc: V00T0100 H02E0301 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0701 E3_H06W0203 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0303 E3_H06W0303 +arc: A3 V02S0701 +arc: A4 E1_H02W0501 +arc: B2 H00L0000 +arc: B3 H02E0101 +arc: B4 E1_H02W0101 +arc: C2 V02N0601 +arc: C3 H00R0100 +arc: C4 V02S0001 +arc: C5 V00B0100 +arc: CE0 H00R0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D2 V01S0100 +arc: D3 H02E0201 +arc: D4 W1_H02E0001 +arc: D5 V00B0000 +arc: E1_H01E0001 F4 +arc: E1_H01E0101 Q6 +arc: E1_H02E0301 F3 +arc: E1_H02E0601 F4 +arc: E3_H06E0003 F3 +arc: E3_H06E0203 F4 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00L0000 Q0 +arc: H00R0000 F4 +arc: H01W0000 Q5 +arc: H01W0100 F2 +arc: M0 H02W0601 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 Q5 +arc: N1_V02N0101 F3 +arc: N1_V02N0601 F4 +arc: N3_V06N0003 F3 +arc: N3_V06N0203 F4 +arc: S1_V02S0601 F4 +arc: V01S0000 F3 +arc: V01S0100 F3 +arc: W1_H02W0301 F3 +arc: W1_H02W0601 F4 +arc: W3_H06W0003 F3 +arc: W3_H06W0203 F4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000001100001111 +word: SLICEB.K1.INIT 1000000000000000 +word: SLICEC.K0.INIT 1000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R61C10:PLC2 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0203 E3_H06W0203 +arc: S3_V06S0303 E3_H06W0303 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0601 N3_V06S0303 +arc: W1_H02W0701 W3_H06E0203 +arc: W3_H06W0303 E3_H06W0303 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000001010 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R61C11:PLC2 +arc: E1_H02E0001 V01N0001 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 V01N0001 +arc: H00L0100 V02N0101 +arc: H00R0100 V02S0501 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 S1_V02N0301 +arc: V00B0100 S1_V02N0301 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0301 W3_H06E0003 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 W3_H06E0303 +arc: W1_H02W0701 E1_H01W0100 +arc: B0 V00B0000 +arc: B1 V00T0000 +arc: C0 H00L0100 +arc: C1 E1_H01W0000 +arc: CE0 V02S0201 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 V00B0100 +arc: E3_H06E0003 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0001 Q0 +arc: S3_V06S0003 Q0 +arc: V00B0000 Q6 +arc: V00T0000 Q0 +arc: V01S0000 Q0 +arc: V01S0100 Q0 +arc: W3_H06W0103 F1 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 1100000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R61C12:PLC2 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 N3_V06S0303 +arc: E1_H02E0601 N3_V06S0303 +arc: E3_H06E0003 N1_V01S0000 +arc: E3_H06E0103 N3_V06S0103 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 N3_V06S0303 +arc: H00R0000 H02W0601 +arc: H00R0100 V02S0501 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 N1_V01S0100 +arc: V00B0000 S1_V02N0201 +arc: B2 V02N0101 +arc: C2 H00R0100 +arc: C3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D2 V02S0001 +arc: D3 H00R0000 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: H00L0100 Q3 +arc: H01W0000 F2 +arc: H01W0100 F2 +arc: LSR0 V00B0000 +arc: MUXCLK1 CLK0 +arc: MUXLSR1 LSR0 +arc: N1_V02N0301 Q3 +arc: S3_V06S0003 Q3 +arc: V01S0100 Q3 +arc: W1_H02W0101 Q3 +word: SLICEB.K0.INIT 1100000000000000 +word: SLICEB.K1.INIT 0000111100000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R61C13:PLC2 +arc: E1_H02E0101 V02S0101 +arc: E1_H02E0301 V02S0301 +arc: H00L0100 V02S0101 +arc: H00R0000 H02E0401 +arc: H00R0100 H02E0501 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0601 N1_V01S0000 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0501 V01N0101 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 H02E0401 +arc: V00B0100 S1_V02N0301 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0601 V02N0601 +arc: B2 H00L0000 +arc: B4 V01S0000 +arc: C2 W1_H02E0401 +arc: C3 N1_V01N0001 +arc: C4 V00T0100 +arc: C5 E1_H01E0101 +arc: CE0 H00R0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D2 H00R0000 +arc: D3 H02W0201 +arc: D4 S1_V02N0601 +arc: D5 H02W0201 +arc: E1_H01E0101 Q4 +arc: E3_H06E0003 F3 +arc: E3_H06E0203 Q4 +arc: E3_H06E0303 F5 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00L0000 Q0 +arc: M0 V00B0000 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q2 +arc: N3_V06N0203 Q4 +arc: S3_V06S0103 Q2 +arc: V01S0000 Q6 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1111000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R61C14:PLC2 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0501 S1_V02N0501 +arc: H00L0100 V02N0301 +arc: H00R0000 W1_H02E0601 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 N3_V06S0303 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 S1_V02N0101 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0501 W1_H02E0501 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0103 N3_V06S0003 +arc: V00B0000 V02N0001 +arc: V01S0000 N3_V06S0103 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0201 S1_V02N0201 +arc: B2 H00L0000 +arc: C2 H00L0100 +arc: C3 N1_V01N0001 +arc: CE0 H00R0000 +arc: CE1 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D2 V02N0001 +arc: D3 S1_V02N0201 +arc: E1_H02E0001 Q2 +arc: E3_H06E0003 F3 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: H00L0000 Q0 +arc: M0 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 Q2 +arc: W3_H06W0103 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 1111000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R61C15:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: H00L0100 S1_V02N0301 +arc: H00R0000 H02W0401 +arc: H00R0100 V02S0701 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 N1_V01S0100 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 V01N0101 +arc: S3_V06S0003 N1_V01S0000 +arc: V00B0100 H02E0501 +arc: V00T0100 N1_V02S0701 +arc: B6 H02E0301 +arc: B7 V00B0000 +arc: C1 H00L0000 +arc: C6 V00T0100 +arc: C7 V02S0201 +arc: CE1 H00R0100 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D1 V02S0001 +arc: D6 S1_V02N0601 +arc: D7 H00L0100 +arc: E3_H06E0203 F7 +arc: F1 F1_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: M2 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0401 Q6 +arc: N3_V06N0103 Q1 +arc: N3_V06N0203 F7 +arc: N3_V06N0303 Q6 +arc: S3_V06S0203 F7 +arc: V00B0000 Q6 +arc: W3_H06W0203 F7 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1111001111000000 +word: SLICED.K1.INIT 1100110011110000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R61C16:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 W1_H02E0201 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0601 V02N0601 +arc: H00L0000 V02S0201 +arc: H00L0100 V02S0101 +arc: H00R0000 H02W0401 +arc: H00R0100 S1_V02N0701 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0601 N1_V01S0000 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0201 N3_V06S0103 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 H06E0203 +arc: V00B0100 V02N0101 +arc: V00T0000 H02W0001 +arc: V00T0100 E1_H02W0301 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0401 N1_V01S0000 +arc: B3 H02E0101 +arc: B4 W1_H02E0101 +arc: B5 V01S0000 +arc: C3 V02N0601 +arc: C4 V00T0000 +arc: C5 V00T0100 +arc: CE1 H00R0000 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D3 V00B0100 +arc: D4 H00L0100 +arc: D5 H00R0100 +arc: E3_H06E0303 F5 +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q3 +arc: N1_V02N0301 F3 +arc: N3_V06N0203 Q4 +arc: N3_V06N0303 F5 +arc: S3_V06S0303 F5 +arc: V01S0000 Q4 +arc: W3_H06W0203 Q4 +arc: W3_H06W0303 F5 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1100110011110000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R61C17:PLC2 +arc: E1_H02E0201 V06N0103 +arc: E1_H02E0401 V02N0401 +arc: H00L0000 H02E0001 +arc: H00R0000 H02E0601 +arc: N1_V02N0601 N1_V01S0000 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 N1_V02S0601 +arc: S1_V02S0701 N3_V06S0203 +arc: V00B0000 H02W0401 +arc: V00B0100 H02W0701 +arc: V00T0000 V02S0401 +arc: V00T0100 H02E0101 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0401 N1_V01S0000 +arc: W3_H06W0103 E1_H01W0100 +arc: B2 H02E0301 +arc: B4 H02E0301 +arc: C2 H00L0000 +arc: C4 V00B0100 +arc: CLK0 G_HPBX0000 +arc: D2 V00T0100 +arc: D3 H00R0000 +arc: D4 V00B0000 +arc: D5 V02N0401 +arc: E3_H06E0103 Q2 +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: H01W0100 Q0 +arc: M0 E1_H02W0601 +arc: M2 V00T0000 +arc: M4 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N3_V06N0203 Q4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1111110000110000 +word: SLICEC.K1.INIT 1111111100000000 +word: SLICEB.K0.INIT 1111110000110000 +word: SLICEB.K1.INIT 1111111100000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 + +.tile R61C18:PLC2 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0601 N1_V01S0000 +arc: E1_H02E0701 V06S0203 +arc: H00R0100 V02S0701 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 N1_V01S0100 +arc: S1_V02S0601 E1_H02W0601 +arc: S3_V06S0203 H06E0203 +arc: V00B0000 V02S0001 +arc: V00B0100 V02N0101 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0301 N3_V06S0003 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0701 W3_H06E0203 +arc: B5 V00B0100 +arc: C5 H02E0401 +arc: CE0 E1_H02W0101 +arc: CE1 E1_H02W0101 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D5 V02N0401 +arc: E1_H01E0001 Q2 +arc: F5 F5_SLICE +arc: M0 V00T0100 +arc: M2 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V01N0101 Q5 +arc: N3_V06N0303 F5 +arc: V00T0000 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111001111000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R61C19:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0601 E3_H06W0303 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: H00L0100 V02S0301 +arc: H00R0000 V02N0401 +arc: H00R0100 V02N0701 +arc: N3_V06N0203 E3_H06W0203 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0601 H02E0601 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 V02S0201 +arc: V00B0100 V02N0101 +arc: V00T0100 V02S0701 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0601 H01E0001 +arc: B0 H02E0301 +arc: B3 V02N0301 +arc: C0 H00L0100 +arc: C3 V02N0601 +arc: CE1 H00R0100 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V02N0001 +arc: D3 H00R0000 +arc: E3_H06E0203 Q4 +arc: E3_H06E0303 Q6 +arc: F0 F5A_SLICE +arc: F3 F3_SLICE +arc: M0 V00B0100 +arc: M4 V00B0000 +arc: M6 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 Q3 +arc: N3_V06N0003 F3 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111001111000000 +word: SLICEA.K0.INIT 1111110000110000 +word: SLICEA.K1.INIT 1111111100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 + +.tile R61C20:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0401 E3_H06W0203 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0103 W3_H06E0003 +arc: H00L0000 S1_V02N0201 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 N3_V06S0303 +arc: N3_V06N0103 H06E0103 +arc: S1_V02S0001 W1_H02E0001 +arc: V00T0000 V02N0601 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0601 V06S0303 +arc: CE0 S1_V02N0201 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q2 +arc: E1_H02E0601 Q6 +arc: M0 V00T0000 +arc: M2 N1_V01N0001 +arc: M4 H02W0401 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q0 +arc: V00B0000 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R61C21:PLC2 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0601 E3_H06W0303 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0000 E1_H02W0001 +arc: H00L0100 V02S0301 +arc: H00R0000 W1_H02E0601 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0203 W3_H06E0203 +arc: S1_V02S0101 E3_H06W0103 +arc: S1_V02S0401 E3_H06W0203 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 W3_H06E0203 +arc: V00B0000 W1_H02E0601 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0401 E1_H02W0401 +arc: A2 H00L0100 +arc: A3 V02S0501 +arc: A4 V02S0301 +arc: A6 V02S0101 +arc: B2 H00L0000 +arc: B3 H00R0000 +arc: B4 V00B0100 +arc: B6 V00B0000 +arc: C2 N1_V01N0001 +arc: C3 H00R0100 +arc: C4 V00T0100 +arc: C5 H02E0601 +arc: C6 V00T0100 +arc: C7 H01E0001 +arc: CE0 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D2 V00T0100 +arc: D3 V00T0100 +arc: D4 V02S0601 +arc: D5 S1_V02N0401 +arc: D6 H00R0100 +arc: D7 S1_V02N0601 +arc: E1_H01E0101 F3 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: H01W0100 Q0 +arc: M0 V00T0000 +arc: MUXCLK0 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V02N0001 F2 +arc: N1_V02N0601 F6 +arc: V01S0100 F4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1001001101101100 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1001001101101100 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0100110111001111 +word: SLICEB.K1.INIT 0100110111001111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R61C22:PLC2 +arc: E1_H02E0601 S1_V02N0601 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0003 V06S0003 +arc: E3_H06E0303 W3_H06E0303 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 V01N0101 +arc: S1_V02S0201 E3_H06W0103 +arc: S1_V02S0301 E3_H06W0003 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0501 E3_H06W0303 +arc: S1_V02S0601 E3_H06W0303 +arc: S3_V06S0203 E3_H06W0203 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02S0201 +arc: V00T0000 V02N0401 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0701 H01E0101 +arc: CE0 W1_H02E0101 +arc: CE2 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q6 +arc: E1_H01E0101 Q6 +arc: H01W0000 Q0 +arc: M0 V00B0000 +arc: M2 V00T0100 +arc: M4 V00T0000 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: V01S0000 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R61C23:PLC2 +arc: E1_H02E0001 E1_H01W0000 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0601 V06S0303 +arc: E1_H02E0701 E3_H06W0203 +arc: H00R0000 S1_V02N0601 +arc: H00R0100 S1_V02N0501 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 H06W0003 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0301 E3_H06W0003 +arc: S1_V02S0401 H06W0203 +arc: S1_V02S0501 H06W0303 +arc: S1_V02S0601 W1_H02E0601 +arc: S1_V02S0701 H01E0101 +arc: S3_V06S0203 N1_V02S0701 +arc: S3_V06S0303 H06W0303 +arc: V00B0000 E1_H02W0601 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0501 E1_H02W0501 +arc: A0 E1_H02W0701 +arc: A1 H02E0701 +arc: A2 H01E0001 +arc: A3 H01E0001 +arc: A4 V00B0000 +arc: A6 H02E0701 +arc: B0 H00R0100 +arc: B1 H02W0101 +arc: B2 H00R0000 +arc: B3 H00R0000 +arc: B4 S1_V02N0501 +arc: B6 H02W0101 +arc: C0 H02W0601 +arc: C1 H02W0601 +arc: C2 H02W0401 +arc: C3 H02W0401 +arc: C4 V00T0100 +arc: C5 H02E0601 +arc: C6 V00T0100 +arc: C7 V02S0001 +arc: D0 V00B0100 +arc: D1 V01S0100 +arc: D2 S1_V02N0201 +arc: D3 S1_V02N0201 +arc: D4 V02S0401 +arc: D5 S1_V02N0401 +arc: D6 H01W0000 +arc: D7 S1_V02N0401 +arc: E1_H01E0101 F4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: M2 V00T0000 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F2 +arc: N1_V02N0001 F0 +arc: N1_V02N0301 F1 +arc: N1_V02N0601 F6 +arc: V00B0100 F5 +arc: V01S0100 F7 +word: SLICEC.K0.INIT 1001010101101010 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1001010101101010 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0010101010111111 +word: SLICEA.K1.INIT 0010101010111111 +word: SLICEB.K0.INIT 0000100000000000 +word: SLICEB.K1.INIT 1111011111111111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R61C24:PLC2 +arc: E1_H02E0401 V02N0401 +arc: H00L0100 H02E0101 +arc: H00R0000 E1_H02W0601 +arc: H01W0000 E3_H06W0103 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0501 V01N0101 +arc: N1_V02N0601 E1_H01W0000 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0201 N1_V02S0201 +arc: S1_V02S0301 E3_H06W0003 +arc: S1_V02S0401 E3_H06W0203 +arc: S1_V02S0501 E3_H06W0303 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 +arc: V00B0000 S1_V02N0001 +arc: V00T0000 H02E0001 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 H01E0101 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 S1_V02N0701 +arc: A2 V02N0701 +arc: A3 V00T0000 +arc: A4 V00T0000 +arc: A6 V02N0301 +arc: B2 H00R0000 +arc: B3 E1_H02W0301 +arc: B4 E1_H02W0301 +arc: B6 H02W0101 +arc: C2 S1_V02N0601 +arc: C3 S1_V02N0601 +arc: C4 V00T0100 +arc: C5 H02W0401 +arc: C6 V00T0100 +arc: C7 N1_V02S0001 +arc: CE0 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: D2 V01S0100 +arc: D3 V00B0100 +arc: D4 H00R0100 +arc: D5 V00B0000 +arc: D6 H00L0100 +arc: D7 V00B0000 +arc: E1_H01E0101 Q0 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F5 +arc: H01W0100 F7 +arc: M0 H02E0601 +arc: MUXCLK0 CLK0 +arc: N1_V01N0001 F3 +arc: N1_V01N0101 F6 +arc: N1_V02N0001 F2 +arc: N1_V02N0401 F4 +arc: V00B0100 F5 +arc: V01S0100 F7 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0010101010111111 +word: SLICEB.K1.INIT 0010101010111111 +word: SLICED.K0.INIT 1001010101101010 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1001010101101010 +word: SLICEC.K1.INIT 1111000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R61C25:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0201 N1_V01S0000 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 V06S0103 +arc: H00L0000 V02N0001 +arc: H00L0100 N1_V02S0101 +arc: H00R0000 H02E0401 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0203 E1_H01W0000 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 E3_H06W0003 +arc: S1_V02S0401 W3_H06E0203 +arc: S1_V02S0501 H01E0101 +arc: S1_V02S0601 W3_H06E0303 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 W3_H06E0203 +arc: V00B0000 H02W0401 +arc: V00B0100 V02N0101 +arc: V00T0000 V02N0401 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0101 E1_H01W0100 +arc: W1_H02W0201 E3_H06W0103 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0601 E1_H02W0601 +arc: W1_H02W0701 E1_H02W0601 +arc: W3_H06W0303 E1_H02W0501 +arc: A0 H00L0100 +arc: A2 S1_V02N0501 +arc: A3 V02S0501 +arc: A4 V00T0000 +arc: A5 V00B0000 +arc: A6 H00R0000 +arc: A7 H02W0701 +arc: B3 H00L0000 +arc: B4 V02N0701 +arc: B5 V02N0501 +arc: B6 S1_V02N0701 +arc: B7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q7 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0601 Q6 +arc: N3_V06N0103 Q2 +arc: N3_V06N0303 Q5 +arc: W3_H06W0003 Q3 +arc: W3_H06W0203 Q4 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEB.K0.INIT 1010101010101010 +word: SLICEB.K1.INIT 0110011010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R61C26:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0201 N1_V02S0201 +arc: E1_H02E0501 V02S0501 +arc: E3_H06E0103 W3_H06E0103 +arc: H00L0000 H02W0201 +arc: H00R0000 V02N0601 +arc: H00R0100 V02N0501 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0501 S1_V02N0401 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0501 N1_V02S0401 +arc: S3_V06S0003 N3_V06S0003 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 V02S0101 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 E1_H02W0101 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0601 E3_H06W0303 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0103 E1_H02W0201 +arc: W3_H06W0203 E1_H01W0000 +arc: W3_H06W0303 E1_H02W0601 +arc: A0 H00L0000 +arc: A1 S1_V02N0701 +arc: A2 V00T0000 +arc: A3 V01N0101 +arc: A4 E1_H02W0701 +arc: A5 V00B0000 +arc: A6 H00R0000 +arc: A7 V00T0100 +arc: B0 V01N0001 +arc: B1 H00R0100 +arc: B2 V02N0301 +arc: B3 V02N0101 +arc: B4 H02W0101 +arc: B5 V00B0100 +arc: B6 H02W0301 +arc: B7 E1_H02W0301 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q5 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0101 Q3 +arc: N1_V02N0201 Q2 +arc: N1_V02N0701 Q7 +arc: N3_V06N0103 Q1 +arc: N3_V06N0203 Q4 +arc: N3_V06N0303 Q6 +arc: W3_H06W0003 Q0 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R61C27:PLC2 +arc: E3_H06E0203 W3_H06E0203 +arc: H00L0000 H02E0001 +arc: H00R0000 S1_V02N0601 +arc: N1_V02N0201 H02E0201 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0203 W3_H06E0203 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02S0001 +arc: V00T0000 V02N0401 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0601 E1_H02W0601 +arc: W3_H06W0303 E1_H01W0100 +arc: A0 H00R0000 +arc: A1 H02W0501 +arc: A2 V00T0000 +arc: A3 V02S0501 +arc: A4 V00B0000 +arc: A5 V02S0301 +arc: A6 H02E0501 +arc: A7 N1_V01S0100 +arc: B0 S1_V02N0301 +arc: B1 V02N0301 +arc: B2 V01N0001 +arc: B3 N1_V02S0301 +arc: B4 H00L0000 +arc: B5 N1_V01S0000 +arc: B6 V02S0701 +arc: B7 N1_V02S0501 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q7 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0003 Q0 +arc: N3_V06N0103 Q1 +arc: W1_H02W0401 Q6 +arc: W1_H02W0501 Q5 +arc: W3_H06W0003 Q3 +arc: W3_H06W0103 Q2 +arc: W3_H06W0203 Q4 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R61C28:PLC2 +arc: E1_H02E0701 V06S0203 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 V02S0201 +arc: H00R0000 V02S0601 +arc: H00R0100 V02S0701 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 N1_V01S0100 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 N1_V02S0601 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02N0001 +arc: V00B0100 V02S0101 +arc: V00T0000 V02S0401 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0101 V01N0101 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0401 V02N0401 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0701 V02N0701 +arc: A0 H00R0000 +arc: A1 H00L0000 +arc: A2 V00T0000 +arc: A3 V02N0501 +arc: A4 V00B0000 +arc: A5 E1_H02W0501 +arc: A6 H02W0501 +arc: A7 V00T0100 +arc: B0 N1_V02S0301 +arc: B1 V02S0301 +arc: B2 H00R0100 +arc: B3 V02N0101 +arc: B4 E1_H02W0301 +arc: B5 E1_H02W0101 +arc: B6 V02S0501 +arc: B7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q7 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S3_V06S0003 Q3 +arc: W1_H02W0201 Q2 +arc: W1_H02W0601 Q6 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q1 +arc: W3_H06W0203 Q4 +arc: W3_H06W0303 Q5 +word: SLICED.K0.INIT 0110011010101010 +word: SLICED.K1.INIT 0110011010101010 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 0110011010101010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +word: SLICEC.K0.INIT 0110011010101010 +word: SLICEC.K1.INIT 0110011010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R61C29:PLC2 +arc: H00L0000 V02S0001 +arc: H00R0000 V02S0401 +arc: H00R0100 V02S0701 +arc: N1_V02N0601 S1_V02N0301 +arc: V00B0000 V02S0201 +arc: V00T0000 N1_V02S0601 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0501 E1_H02W0401 +arc: W3_H06W0203 E1_H01W0000 +arc: A0 H00R0000 +arc: A1 H00L0000 +arc: A2 S1_V02N0501 +arc: A3 N1_V02S0501 +arc: A4 V00B0000 +arc: A5 V00T0000 +arc: A6 V02S0301 +arc: A7 V02N0101 +arc: B0 H00R0100 +arc: B1 V02S0101 +arc: B2 N1_V02S0301 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q7 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V01S0000 Q3 +arc: V01S0100 Q4 +arc: W1_H02W0001 Q2 +arc: W1_H02W0601 Q6 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q1 +arc: W3_H06W0303 Q5 +word: SLICEC.K0.INIT 1010101010101010 +word: SLICEC.K1.INIT 1010101010101010 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 1010101010101010 +word: SLICEA.K0.INIT 0110011010101010 +word: SLICEA.K1.INIT 0110011010101010 +word: SLICED.K0.INIT 1010101010101010 +word: SLICED.K1.INIT 1010101010101010 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R61C2:PLC2 +arc: E1_H02E0101 E3_H06W0103 +arc: E1_H02E0301 V02N0301 +arc: H00R0000 V02N0601 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0203 E3_H06W0203 +arc: V00B0000 H02W0401 +arc: V00B0100 V02N0101 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 V02N0501 +arc: A1 V02S0701 +arc: A4 N1_V01S0100 +arc: A5 Q5 +arc: A7 V00T0100 +arc: B1 V00B0000 +arc: B4 N1_V01S0000 +arc: B5 V02N0701 +arc: B7 V00T0000 +arc: C1 V02S0401 +arc: C4 V02S0001 +arc: C5 F4 +arc: C6 S1_V02N0001 +arc: C7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: D1 H00R0000 +arc: D4 V02N0601 +arc: D5 H00L0100 +arc: D6 H02E0201 +arc: D7 V02S0601 +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 F6 +arc: H01W0100 Q7 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 Q5 +arc: S1_V02S0101 F1 +arc: S1_V02S0501 Q5 +arc: S1_V02S0601 F4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1000000000000000 +word: SLICEC.K0.INIT 0000000100000000 +word: SLICEC.K1.INIT 1100111000001010 +word: SLICED.K0.INIT 0000000000001111 +word: SLICED.K1.INIT 0000101100000001 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET SET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 + +.tile R61C30:PLC2 +arc: E1_H02E0301 V06S0003 +arc: H00R0000 N1_V02S0601 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0601 S1_V02N0601 +arc: S1_V02S0201 E1_H01W0000 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02S0001 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0301 V02N0301 +arc: W1_H02W0501 N1_V01S0100 +arc: A0 H00R0000 +arc: A1 V02S0501 +arc: A2 V00B0000 +arc: A3 V02N0501 +arc: A4 H02W0701 +arc: A5 V00T0000 +arc: A6 W1_H02E0701 +arc: A7 S1_V02N0301 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q5 +arc: H01W0100 Q4 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V01S0000 Q2 +arc: V01S0100 Q3 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q1 +arc: W3_H06W0203 Q7 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 1010101010101010 +word: SLICED.K1.INIT 1010101010101010 +word: SLICEC.K0.INIT 1010101010101010 +word: SLICEC.K1.INIT 1010101010101010 +word: SLICEA.K0.INIT 1010101010101010 +word: SLICEA.K1.INIT 1010101010101010 +word: SLICEB.K0.INIT 1010101010101010 +word: SLICEB.K1.INIT 1010101010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R61C31:PLC2 +arc: E1_H02E0601 V06S0303 +arc: H00L0000 N1_V02S0001 +arc: H01W0000 W3_H06E0103 +arc: S1_V02S0301 H02E0301 +arc: S3_V06S0003 W3_H06E0003 +arc: V00B0100 N1_V02S0101 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0701 N1_V01S0100 +arc: A0 H00L0000 +arc: A1 V02S0501 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: M4 V00B0000 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V00B0000 Q6 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q1 +arc: W3_H06W0203 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1010101010101010 +word: SLICEA.K1.INIT 1010101010101010 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001010 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R61C32:PLC2 +arc: H00L0000 V02S0201 +arc: S1_V02S0401 N3_V06S0203 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 N1_V02S0401 +arc: S3_V06S0303 N1_V02S0501 +arc: V00T0100 V02S0501 +arc: CE1 V02S0201 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: M0 V00B0000 +arc: M2 H02E0601 +arc: M4 V00T0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V00B0000 Q4 +arc: V00T0000 Q2 +arc: W3_H06W0003 Q0 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R61C33:PLC2 +arc: E3_H06E0303 W3_H06E0203 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0501 N1_V02S0401 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 E1_H01W0100 +arc: S3_V06S0203 W3_H06E0203 + +.tile R61C34:PLC2 +arc: E3_H06E0303 W3_H06E0303 +arc: H01W0100 W3_H06E0303 + +.tile R61C36:PLC2 +arc: S3_V06S0303 H06E0303 + +.tile R61C37:PLC2 +arc: S3_V06S0303 H06E0303 + +.tile R61C39:PLC2 +arc: E3_H06E0303 W3_H06E0303 +arc: S3_V06S0303 W3_H06E0303 + +.tile R61C3:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0501 N1_V02S0501 +arc: H00L0000 H02W0201 +arc: H00L0100 H02W0301 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 E1_H02W0301 +arc: S1_V02S0601 H02W0601 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 V02S0001 +arc: V00B0100 H02W0701 +arc: V00T0000 E1_H02W0201 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0501 N1_V02S0501 +arc: A0 H00L0100 +arc: A1 H00L0100 +arc: A2 H00L0100 +arc: A3 H00L0100 +arc: A4 N1_V01S0100 +arc: A5 V02N0101 +arc: B0 E1_H01W0100 +arc: B1 E1_H01W0100 +arc: B2 E1_H01W0100 +arc: B3 E1_H01W0100 +arc: B4 E1_H02W0101 +arc: B5 H02E0101 +arc: B7 V01S0000 +arc: C0 H00L0000 +arc: C1 H00L0000 +arc: C2 H00L0000 +arc: C3 H00L0000 +arc: C4 V00T0000 +arc: C5 W1_H02E0401 +arc: C6 E1_H01E0101 +arc: C7 V00T0100 +arc: CLK1 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 V00B0100 +arc: D2 V00B0100 +arc: D3 V00B0100 +arc: D4 V02N0401 +arc: D5 H00R0100 +arc: D6 V02S0401 +arc: D7 V02S0401 +arc: E1_H01E0101 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F5D_SLICE +arc: LSR1 H02E0301 +arc: M6 V00B0000 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0001 Q2 +arc: N1_V02N0601 F6 +arc: V00T0100 Q1 +arc: V01S0000 Q3 +word: SLICED.K0.INIT 1111111100001111 +word: SLICED.K1.INIT 0011001100001111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R61C40:PLC2 +arc: E3_H06E0003 W3_H06E0303 +arc: S3_V06S0303 W3_H06E0303 + +.tile R61C42:PLC2 +arc: S3_V06S0303 H06E0303 + +.tile R61C43:PLC2 +arc: S3_V06S0003 H06E0003 + +.tile R61C45:PLC2 +arc: S3_V06S0303 W3_H06E0303 + +.tile R61C4:PLC2 +arc: H00R0000 V02N0401 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0501 E1_H01W0100 +arc: S1_V02S0301 E1_H01W0100 +arc: S1_V02S0601 E1_H01W0000 +arc: S3_V06S0303 E3_H06W0303 +arc: W1_H02W0601 N3_V06S0303 +arc: A1 H00R0000 +arc: B1 Q1 +arc: B2 H00R0000 +arc: C0 H00L0100 +arc: C1 H00L0000 +arc: C2 H00L0000 +arc: C3 H00R0100 +arc: C4 V00B0100 +arc: C5 V00B0100 +arc: C7 V02N0001 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0001 +arc: D1 V00T0100 +arc: D2 V00T0100 +arc: D3 H02E0201 +arc: D4 E1_H01W0100 +arc: D5 H02E0201 +arc: D7 H01W0000 +arc: E1_H01E0001 F4 +arc: E1_H01E0101 Q2 +arc: E1_H02E0001 F0 +arc: E1_H02E0201 Q2 +arc: E1_H02E0501 Q5 +arc: E1_H02E0701 Q7 +arc: E3_H06E0203 F4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00L0100 Q1 +arc: H00R0100 Q5 +arc: H01W0000 F3 +arc: H01W0100 F7 +arc: LSR0 H02E0501 +arc: LSR1 H02E0501 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 F1 +arc: N1_V02N0001 F2 +arc: N1_V02N0701 F5 +arc: S1_V02S0001 F0 +arc: S1_V02S0101 F3 +arc: S1_V02S0201 Q2 +arc: S1_V02S0501 Q5 +arc: S1_V02S0701 Q7 +arc: V00B0100 Q5 +arc: V00T0100 F3 +arc: V01S0000 Q7 +arc: V01S0100 Q7 +arc: W1_H02W0201 F2 +arc: W1_H02W0301 F1 +arc: W1_H02W0701 F5 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 0000111111110000 +word: SLICEC.K1.INIT 0000111111110000 +word: SLICEA.K0.INIT 0000111111110000 +word: SLICEA.K1.INIT 0110110011001100 +word: SLICEB.K0.INIT 0011110011110000 +word: SLICEB.K1.INIT 1111000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R61C5:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: H00R0100 H02E0501 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 E1_H02W0101 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0201 E3_H06W0103 +arc: S1_V02S0601 H01E0001 +arc: S1_V02S0701 H02E0701 +arc: V00B0100 H02E0701 +arc: W1_H02W0701 E1_H02W0701 +arc: A0 E1_H01E0001 +arc: A2 E1_H01E0001 +arc: A6 H00L0000 +arc: A7 V00T0100 +arc: B0 V02N0101 +arc: B2 V02N0101 +arc: B3 H01W0100 +arc: B6 H01E0101 +arc: B7 V01S0000 +arc: C0 H00R0100 +arc: C1 H00L0100 +arc: C2 H00L0000 +arc: C3 N1_V01N0001 +arc: C4 E1_H01E0101 +arc: C5 V00T0000 +arc: C6 E1_H01E0101 +arc: C7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 V02N0201 +arc: D2 V02N0201 +arc: D3 V02N0201 +arc: D4 H01W0000 +arc: D5 H02E0201 +arc: D6 H02E0001 +arc: D7 H00R0100 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 F7 +arc: E3_H06E0003 F0 +arc: E3_H06E0203 F4 +arc: E3_H06E0303 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00L0100 Q1 +arc: H01W0000 F5 +arc: H01W0100 Q1 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: N1_V01N0001 Q3 +arc: S1_V02S0101 Q3 +arc: S1_V02S0501 F5 +arc: V00T0000 Q2 +arc: V00T0100 Q3 +arc: V01S0000 Q1 +arc: V01S0100 Q3 +arc: W1_H02W0101 Q3 +arc: W1_H02W0201 Q2 +arc: W1_H02W0301 Q1 +word: SLICEB.K0.INIT 0111100011110000 +word: SLICEB.K1.INIT 0011110011110000 +word: SLICEC.K0.INIT 1111000000001111 +word: SLICEC.K1.INIT 1111000000001111 +word: SLICEA.K0.INIT 0110001110011100 +word: SLICEA.K1.INIT 0000111111110000 +word: SLICED.K0.INIT 0010101111010100 +word: SLICED.K1.INIT 0111000101010000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R61C6:PLC2 +arc: H00R0000 H02W0601 +arc: N1_V02N0301 E1_H02W0301 +arc: S1_V02S0301 H06W0003 +arc: S1_V02S0401 H02W0401 +arc: V00T0000 H02W0201 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0501 N1_V02S0501 +arc: A2 V00B0000 +arc: B2 V02N0101 +arc: C2 E1_H01W0000 +arc: CE3 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D2 V02S0001 +arc: E3_H06E0103 Q2 +arc: F2 F5B_SLICE +arc: M2 V00T0100 +arc: M6 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: V00B0000 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1110101011000000 +word: SLICEB.K1.INIT 1111111111111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R61C7:PLC2 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 V01N0001 +arc: H00R0000 V02S0601 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0601 N3_V06S0303 +arc: S1_V02S0201 E1_H02W0201 +arc: S3_V06S0303 H06W0303 +arc: V00B0100 V02N0101 +arc: V00T0000 H02W0201 +arc: V00T0100 H02W0101 +arc: W1_H02W0201 E3_H06W0103 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 E3_H06W0203 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0103 +arc: A3 V00B0000 +arc: A7 N1_V01N0101 +arc: B3 V02N0301 +arc: B7 V02N0501 +arc: C2 H00R0100 +arc: C3 H00L0000 +arc: C7 E1_H01E0101 +arc: CE0 H00R0000 +arc: CE2 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D2 V00B0100 +arc: D3 E1_H02W0201 +arc: D7 E1_H02W0201 +arc: E1_H01E0101 F2 +arc: E1_H02E0201 F2 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H00L0000 F2 +arc: H01W0000 F2 +arc: M0 V00T0100 +arc: M4 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q0 +arc: N3_V06N0103 F2 +arc: N3_V06N0203 F7 +arc: V00B0000 Q4 +arc: W1_H02W0001 F2 +arc: W1_H02W0101 F3 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0001010100111111 +word: SLICEB.K0.INIT 1111000000000000 +word: SLICEB.K1.INIT 0001010100111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 + +.tile R61C8:PLC2 +arc: E1_H02E0601 V01N0001 +arc: H00L0000 S1_V02N0001 +arc: H00R0000 V02N0601 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0701 V01N0101 +arc: S1_V02S0101 H06W0103 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0501 N1_V01S0100 +arc: S1_V02S0601 E1_H02W0601 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0201 E3_H06W0103 +arc: W1_H02W0301 N3_V06S0003 +arc: W1_H02W0701 N1_V01S0100 +arc: W3_H06W0103 E3_H06W0103 +arc: W3_H06W0203 E3_H06W0103 +arc: A1 E1_H01E0001 +arc: A5 E1_H01W0000 +arc: B1 V02N0301 +arc: B3 S1_V02N0101 +arc: B5 V01S0000 +arc: C1 F4 +arc: C2 H00L0000 +arc: C3 N1_V01N0001 +arc: C4 V02S0201 +arc: C5 F4 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D1 H02W0201 +arc: D2 H00R0000 +arc: D3 V01S0100 +arc: D4 S1_V02N0601 +arc: D5 H02E0201 +arc: E1_H01E0001 Q6 +arc: E1_H01E0101 F4 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 F4 +arc: H01W0100 F3 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F2 +arc: N1_V02N0101 Q1 +arc: N1_V02N0401 F4 +arc: N3_V06N0103 F2 +arc: N3_V06N0203 F4 +arc: N3_V06N0303 F5 +arc: S3_V06S0203 F4 +arc: V01S0000 F2 +arc: V01S0100 F4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1110101011000000 +word: SLICEC.K0.INIT 1111000000000000 +word: SLICEC.K1.INIT 0001001101011111 +word: SLICEB.K0.INIT 0000000000001111 +word: SLICEB.K1.INIT 1100000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R61C9:PLC2 +arc: H00L0100 W1_H02E0301 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0601 H02E0601 +arc: S1_V02S0001 N1_V01S0000 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 E3_H06W0203 +arc: V00B0000 V02N0201 +arc: V00B0100 E1_H02W0501 +arc: W1_H02W0201 N1_V01S0000 +arc: W3_H06W0003 N3_V06S0003 +arc: W3_H06W0303 E3_H06W0203 +arc: A0 H00L0100 +arc: B2 H00R0100 +arc: B3 E1_H02W0301 +arc: B4 H00R0000 +arc: B5 V00B0100 +arc: B6 V00B0000 +arc: E1_H02E0501 F5 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: H01W0000 F2 +arc: N1_V02N0301 F3 +arc: N1_V02N0401 F6 +arc: N3_V06N0203 F4 +word: SLICED.K0.INIT 1001011010101010 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0011110000000000 +word: SLICEC.K1.INIT 0011110000000000 +word: SLICEB.K0.INIT 0011110000000000 +word: SLICEB.K1.INIT 0011110000000000 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R62C10:PLC2 +arc: H00L0000 N1_V02S0001 +arc: H00R0100 H02W0501 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 H01E0001 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0201 N3_V06S0103 +arc: V00B0000 S1_V02N0001 +arc: V00B0100 V02N0101 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0501 V06S0303 +arc: A7 N1_V01N0101 +arc: B7 V00B0000 +arc: C6 V00T0000 +arc: C7 H02E0601 +arc: CE1 H00L0000 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D6 H02E0001 +arc: D7 H02E0001 +arc: F6 F5D_SLICE +arc: H01W0100 Q4 +arc: M2 E1_H02W0601 +arc: M4 V00B0100 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: N3_V06N0303 Q6 +arc: V00T0000 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1111000000000000 +word: SLICED.K1.INIT 1011111000111100 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 + +.tile R62C11:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0201 N1_V01S0000 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 N1_V01S0100 +arc: E3_H06E0203 N3_V06S0203 +arc: H00L0000 N1_V02S0001 +arc: H00L0100 N1_V02S0101 +arc: H00R0000 V02N0401 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0501 N1_V01S0100 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0103 S1_V02N0101 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0401 N1_V01S0000 +arc: S1_V02S0601 N1_V01S0000 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 E3_H06W0303 +arc: V00T0000 H02W0201 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0501 N1_V02S0501 +arc: W1_H02W0601 N1_V01S0000 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0103 E3_H06W0003 +arc: A0 H00R0000 +arc: B0 E1_H02W0301 +arc: C0 V02N0601 +arc: CE1 S1_V02N0201 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0001 +arc: E1_H02E0301 Q3 +arc: E3_H06E0003 Q3 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: LSR0 V00T0000 +arc: M0 V00T0100 +arc: M1 H00L0000 +arc: M2 V00T0100 +arc: M3 H00L0100 +arc: M4 V00T0100 +arc: M5 H00L0000 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXLSR1 LSR0 +arc: N1_V01N0001 Q3 +arc: N1_V02N0101 Q3 +arc: N1_V02N0301 Q3 +arc: S1_V02S0101 Q3 +arc: V00T0100 Q3 +arc: W1_H02W0101 Q3 +word: SLICEA.K0.INIT 0011001100110111 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R62C12:PLC2 +arc: E1_H02E0001 V01N0001 +arc: E1_H02E0501 V02N0501 +arc: E1_H02E0601 E1_H01W0000 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 N3_V06S0203 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0601 E1_H01W0000 +arc: S1_V02S0701 E1_H02W0701 +arc: V00B0000 H02E0401 +arc: V00T0000 V02N0601 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 N1_V01S0100 +arc: A1 H02E0501 +arc: A3 H02E0501 +arc: B1 V02N0101 +arc: B3 V02N0301 +arc: B5 H02E0101 +arc: C1 V02N0401 +arc: C3 V02N0401 +arc: C4 V00T0100 +arc: C5 V01N0101 +arc: CLK0 G_HPBX0000 +arc: D1 H02W0001 +arc: D3 H02W0001 +arc: D4 V00B0000 +arc: D5 V00B0000 +arc: E1_H01E0001 Q1 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00R0000 F4 +arc: H01W0100 Q1 +arc: LSR0 V00T0000 +arc: M0 E1_H02W0601 +arc: M1 H00R0000 +arc: M2 E1_H02W0601 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR0 +arc: S1_V02S0401 F4 +arc: S1_V02S0501 F5 +arc: V00T0100 Q1 +arc: V01S0000 F4 +arc: V01S0100 Q1 +word: SLICEC.K0.INIT 0000111111110000 +word: SLICEC.K1.INIT 0000111100111100 +word: SLICEB.K0.INIT 1111111111111111 +word: SLICEB.K1.INIT 1010101010101011 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0101010101010100 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 + +.tile R62C13:PLC2 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0601 W1_H02E0301 +arc: H00L0000 W1_H02E0001 +arc: H00L0100 S1_V02N0101 +arc: H00R0000 H02W0401 +arc: H00R0100 V02S0501 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 V01N0001 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0501 E1_H01W0100 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 N3_V06S0203 +arc: V00B0000 S1_V02N0001 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0301 V01N0101 +arc: B3 H00L0000 +arc: C1 H00R0100 +arc: C3 E1_H02W0601 +arc: CE1 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D1 W1_H02E0001 +arc: D3 S1_V02N0201 +arc: D5 W1_H02E0001 +arc: D7 W1_H02E0001 +arc: E3_H06E0003 F3 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: H01W0000 F3 +arc: LSR0 H02E0501 +arc: M0 V00B0000 +arc: M1 H00L0100 +arc: M2 V00B0000 +arc: M3 W1_H02E0201 +arc: M4 V00B0000 +arc: M5 H00L0100 +arc: M6 V00B0000 +arc: MUXCLK1 CLK0 +arc: MUXLSR1 LSR0 +arc: N1_V01N0001 Q3 +arc: N1_V02N0301 F3 +arc: S3_V06S0003 F3 +word: SLICEA.K0.INIT 1111111111111111 +word: SLICEA.K1.INIT 1111000011111111 +word: SLICEB.K0.INIT 1111111111111111 +word: SLICEB.K1.INIT 1111001100110011 +word: SLICEC.K0.INIT 1111111111111111 +word: SLICEC.K1.INIT 0000000011111111 +word: SLICED.K0.INIT 1111111111111111 +word: SLICED.K1.INIT 0000000011111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET SET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R62C14:PLC2 +arc: H00L0100 H02E0301 +arc: H00R0000 V02N0601 +arc: H00R0100 V02S0501 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0301 H06E0003 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 V01N0001 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0501 N1_V01S0100 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0003 H06W0003 +arc: S3_V06S0303 H06W0303 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 V02S0701 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 N3_V06S0203 +arc: A0 H00L0100 +arc: B0 V00B0000 +arc: B1 V02N0101 +arc: B2 V02S0301 +arc: B4 V00B0100 +arc: B5 V01S0000 +arc: C0 N1_V01S0100 +arc: C1 W1_H02E0601 +arc: C2 H02E0601 +arc: C3 H00L0000 +arc: C4 V00T0100 +arc: C5 H02E0401 +arc: CE0 H00R0000 +arc: CE1 H02E0101 +arc: CE2 V02N0601 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0201 +arc: D1 V02N0201 +arc: D2 E1_H02W0001 +arc: D3 V02N0201 +arc: D4 V02S0601 +arc: D5 W1_H02E0001 +arc: E1_H01E0001 F0 +arc: E3_H06E0003 F3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00L0000 Q2 +arc: H01W0000 F1 +arc: H01W0100 F4 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: N1_V02N0201 Q0 +arc: N3_V06N0003 Q0 +arc: N3_V06N0103 F1 +arc: N3_V06N0203 Q4 +arc: S1_V02S0201 F0 +arc: S3_V06S0103 Q2 +arc: V01S0000 Q4 +arc: V01S0100 F0 +arc: W1_H02W0501 F5 +arc: W3_H06W0003 Q0 +arc: W3_H06W0103 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1100111111000000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1100000000000000 +word: SLICEC.K1.INIT 0011001100110000 +word: SLICEA.K0.INIT 1000000000000000 +word: SLICEA.K1.INIT 1100000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 + +.tile R62C15:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: H00R0100 V02S0501 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 V01N0001 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 W1_H02E0601 +arc: N3_V06N0003 W3_H06E0003 +arc: S1_V02S0501 W1_H02E0501 +arc: V00B0100 V02N0301 +arc: W1_H02W0601 H01E0001 +arc: C1 H00R0100 +arc: C5 S1_V02N0201 +arc: D1 V00B0100 +arc: D5 V01N0001 +arc: F1 F1_SLICE +arc: F5 F5_SLICE +arc: S3_V06S0103 F1 +arc: S3_V06S0303 F5 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R62C16:PLC2 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0701 V02N0701 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0601 S1_V02N0301 +arc: S1_V02S0301 H06E0003 +arc: S3_V06S0203 H01E0001 +arc: W1_H02W0001 S1_V02N0001 + +.tile R62C17:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: H00R0100 H02E0701 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0601 S3_V06N0303 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0401 V01N0001 +arc: V00B0100 H02E0701 +arc: V00T0000 H02E0201 +arc: V00T0100 E1_H02W0301 +arc: B3 V02N0301 +arc: B5 S1_V02N0701 +arc: C3 V02S0601 +arc: C5 V00T0100 +arc: CLK0 G_HPBX0000 +arc: D3 V00B0100 +arc: D5 H00R0100 +arc: E1_H02E0201 Q0 +arc: E3_H06E0003 F3 +arc: E3_H06E0303 F5 +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: LSR0 H02W0501 +arc: M0 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR0 +arc: N1_V02N0201 Q0 +arc: N3_V06N0003 Q0 +arc: N3_V06N0303 F5 +arc: S3_V06S0003 F3 +arc: S3_V06S0303 F5 +arc: W1_H02W0301 F3 +arc: W3_H06W0003 F3 +arc: W3_H06W0303 F5 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1100110011110000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1100110011110000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R62C18:PLC2 +arc: E1_H02E0401 N3_V06S0203 +arc: E1_H02E0601 N3_V06S0303 +arc: E1_H02E0701 N1_V02S0701 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0601 N3_V06S0303 +arc: N3_V06N0003 S1_V02N0001 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0601 E1_H02W0601 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 V02S0601 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0101 S1_V02N0101 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0501 V02N0501 +arc: CE0 V02N0201 +arc: CE1 V02N0201 +arc: CE2 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0003 Q0 +arc: E3_H06E0103 Q2 +arc: E3_H06E0203 Q4 +arc: E3_H06E0303 Q6 +arc: M0 V00B0100 +arc: M2 V00T0100 +arc: M4 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R62C19:PLC2 +arc: E3_H06E0103 W1_H02E0201 +arc: H00L0000 V02N0001 +arc: H00R0000 H02E0401 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 H02E0701 +arc: S1_V02S0201 N3_V06S0103 +arc: V00B0000 V02S0001 +arc: V00B0100 S1_V02N0101 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0301 N1_V01S0100 +arc: A3 V02S0701 +arc: B3 V02S0101 +arc: C3 H00L0000 +arc: CE0 H02W0101 +arc: CE2 H02W0101 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D3 H00R0000 +arc: E1_H02E0401 Q6 +arc: E3_H06E0203 Q4 +arc: F3 F3_SLICE +arc: M0 V00B0000 +arc: M4 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: S1_V02S0001 Q0 +arc: S1_V02S0101 F3 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1001000000001001 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R62C20:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0401 V02N0401 +arc: E3_H06E0103 W3_H06E0003 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 E1_H02W0701 +arc: S1_V02S0201 N1_V02S0201 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 N1_V01S0000 +arc: V00B0000 V02S0001 +arc: V00B0100 S1_V02N0101 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0601 N1_V01S0000 +arc: CE0 V02N0201 +arc: CE2 S1_V02N0601 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q2 +arc: E3_H06E0203 Q4 +arc: E3_H06E0303 Q6 +arc: M0 W1_H02E0601 +arc: M2 V00T0000 +arc: M4 V00B0000 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V00T0000 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R62C21:PLC2 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0501 W1_H02E0401 +arc: E1_H02E0701 S1_V02N0701 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0701 S1_V02N0601 +arc: V00T0000 H02E0001 +arc: V00T0100 V02S0701 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 S1_V02N0301 +arc: W1_H02W0401 S1_V02N0401 +arc: CE0 H02E0101 +arc: CE1 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q4 +arc: E1_H01E0101 Q0 +arc: E1_H02E0601 Q4 +arc: E3_H06E0103 Q2 +arc: M0 V00T0000 +arc: M2 V00T0100 +arc: M4 V00B0000 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V00B0000 Q6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R62C22:PLC2 +arc: E1_H02E0301 H01E0101 +arc: E1_H02E0601 N1_V01S0000 +arc: E1_H02E0701 E1_H01W0100 +arc: H00L0000 V02S0201 +arc: H00L0100 V02N0101 +arc: H00R0000 H02E0601 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 S1_V02N0601 +arc: N1_V02N0701 S1_V02N0701 +arc: N3_V06N0303 S1_V02N0501 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0601 V01N0001 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 V02S0201 +arc: V00B0100 H02E0701 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0701 N3_V06S0203 +arc: A2 V00T0000 +arc: A3 H01E0001 +arc: A4 V00T0000 +arc: A6 H00R0000 +arc: B2 H02W0101 +arc: B3 H00L0000 +arc: B4 H02W0101 +arc: B6 V00B0000 +arc: C2 H00R0100 +arc: C3 H02E0401 +arc: C4 V02N0201 +arc: C5 E1_H02W0601 +arc: C6 V02N0201 +arc: C7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: D2 V02N0201 +arc: D3 V02N0201 +arc: D4 H00R0100 +arc: D5 H00L0100 +arc: D6 H01W0000 +arc: D7 H00L0100 +arc: E1_H01E0101 F3 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F5 +arc: H01W0000 F7 +arc: M0 V00T0100 +arc: MUXCLK0 CLK0 +arc: N1_V01N0001 F6 +arc: N1_V01N0101 F4 +arc: N1_V02N0201 F2 +arc: V01S0000 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1001001101101100 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0100110111001111 +word: SLICEB.K1.INIT 0100110111001111 +word: SLICEC.K0.INIT 1001001101101100 +word: SLICEC.K1.INIT 1111000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R62C23:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0001 W1_H02E0501 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 W1_H02E0301 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: H00L0000 V02N0001 +arc: H00R0000 S1_V02N0401 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0101 S1_V02N0101 +arc: N1_V02N0301 H01E0101 +arc: N1_V02N0501 V01N0101 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0303 W3_H06E0303 +arc: S1_V02S0201 V01N0001 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02S0201 +arc: V00T0000 S1_V02N0401 +arc: W1_H02W0101 V02S0101 +arc: A2 H02E0701 +arc: A3 E1_H01E0001 +arc: A4 H02E0701 +arc: A6 E1_H02W0701 +arc: B2 V02N0301 +arc: B3 H00R0000 +arc: B4 H00L0000 +arc: B6 V00T0000 +arc: C2 S1_V02N0601 +arc: C3 S1_V02N0601 +arc: C4 S1_V02N0001 +arc: C5 E1_H02W0601 +arc: C6 S1_V02N0001 +arc: C7 H02E0601 +arc: CE0 N1_V02S0201 +arc: CLK0 G_HPBX0000 +arc: D2 V01S0100 +arc: D3 V00B0100 +arc: D4 H00R0100 +arc: D5 V02N0401 +arc: D6 H01W0000 +arc: D7 V02N0401 +arc: E1_H01E0101 F6 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F5 +arc: H01W0000 F7 +arc: M0 V00B0000 +arc: MUXCLK0 CLK0 +arc: N1_V01N0001 F3 +arc: N1_V01N0101 F4 +arc: N1_V02N0201 F2 +arc: V00B0100 F7 +arc: V01S0000 Q0 +arc: V01S0100 F5 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1001010101101010 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1001010101101010 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0010101010111111 +word: SLICEB.K1.INIT 0010101010111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R62C24:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 S1_V02N0001 +arc: H00R0000 V02S0401 +arc: H00R0100 V02S0701 +arc: H01W0100 E3_H06W0303 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0701 E3_H06W0203 +arc: S1_V02S0201 V01N0001 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 H02E0401 +arc: V00B0100 V02N0301 +arc: V00T0000 V02S0401 +arc: V00T0100 V02N0501 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 H01E0101 +arc: A1 H02W0501 +arc: A2 V02S0501 +arc: A3 H02E0501 +arc: A4 H02W0501 +arc: A6 H02E0501 +arc: B1 V00T0000 +arc: B2 H00L0000 +arc: B3 H00R0100 +arc: B4 H00R0000 +arc: B6 V02S0701 +arc: C1 V02N0601 +arc: C2 V02N0601 +arc: C3 V02N0601 +arc: C4 V00T0100 +arc: C5 S1_V02N0201 +arc: C6 V00T0100 +arc: C7 H02E0601 +arc: D1 V01S0100 +arc: D2 V00B0100 +arc: D3 H02E0201 +arc: D4 V02S0601 +arc: D5 V00B0000 +arc: D6 H01W0000 +arc: D7 V00B0000 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 F4 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F3 +arc: N1_V02N0001 F2 +arc: N1_V02N0601 F6 +arc: V01S0100 F5 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0100110011011111 +word: SLICEB.K0.INIT 0010101010111111 +word: SLICEB.K1.INIT 0100110011011111 +word: SLICED.K0.INIT 1001001101101100 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1001001101101100 +word: SLICEC.K1.INIT 1111000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R62C25:PLC2 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0301 V02N0301 +arc: E1_H02E0401 W3_H06E0203 +arc: E1_H02E0601 V02S0601 +arc: E1_H02E0701 W3_H06E0203 +arc: H00L0000 S1_V02N0001 +arc: H00L0100 V02N0101 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0601 H01E0001 +arc: S3_V06S0103 N1_V02S0201 +arc: V00B0000 H02W0401 +arc: V00B0100 V02N0101 +arc: V00T0000 E1_H02W0001 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 E1_H02W0701 +arc: B0 N1_V02S0101 +arc: B1 H00R0100 +arc: B2 V02N0301 +arc: B3 N1_V02S0101 +arc: B4 V02S0501 +arc: B5 H02E0301 +arc: B6 V00B0000 +arc: B7 V02S0501 +arc: C0 H02E0601 +arc: C1 H00L0000 +arc: C2 E1_H02W0601 +arc: C3 H02E0601 +arc: C4 E1_H02W0401 +arc: C5 V00T0000 +arc: C6 S1_V02N0001 +arc: C7 E1_H02W0401 +arc: D0 H02E0001 +arc: D1 V00B0100 +arc: D2 V02S0201 +arc: D3 H02E0001 +arc: D4 W1_H02E0001 +arc: D5 V02S0401 +arc: D6 H00L0100 +arc: D7 W1_H02E0001 +arc: E1_H01E0001 F6 +arc: E1_H01E0101 F2 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F0 +arc: N1_V02N0101 F3 +arc: N1_V02N0401 F4 +arc: N1_V02N0501 F7 +arc: N1_V02N0701 F5 +arc: V01S0100 F1 +word: SLICED.K0.INIT 1100001100111100 +word: SLICED.K1.INIT 1111110011000000 +word: SLICEA.K0.INIT 1100001100111100 +word: SLICEA.K1.INIT 1111110011000000 +word: SLICEB.K0.INIT 1100001100111100 +word: SLICEB.K1.INIT 1111110011000000 +word: SLICEC.K0.INIT 1100001100111100 +word: SLICEC.K1.INIT 1111110011000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R62C26:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0301 W1_H02E0201 +arc: E1_H02E0401 N1_V02S0401 +arc: E1_H02E0601 V06S0303 +arc: E1_H02E0701 W1_H02E0701 +arc: H00L0000 V02N0001 +arc: H00L0100 H02E0101 +arc: H00R0000 W1_H02E0401 +arc: H00R0100 V02N0501 +arc: H01W0100 W3_H06E0303 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 H01E0101 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0103 H06E0103 +arc: V00B0000 V02N0001 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0701 W3_H06E0203 +arc: B0 E1_H01W0100 +arc: B1 S1_V02N0101 +arc: B2 V02N0301 +arc: B3 E1_H01W0100 +arc: B4 H00L0000 +arc: B5 H02E0301 +arc: B6 S1_V02N0501 +arc: B7 V00B0000 +arc: C0 E1_H01W0000 +arc: C1 H02E0601 +arc: C2 N1_V02S0601 +arc: C3 E1_H01W0000 +arc: C4 V00T0000 +arc: C5 S1_V02N0001 +arc: C6 H02E0601 +arc: C7 V00T0000 +arc: D0 V00T0100 +arc: D1 V02N0201 +arc: D2 H00R0000 +arc: D3 V00T0100 +arc: D4 H00L0100 +arc: D5 H02E0201 +arc: D6 H00R0100 +arc: D7 H00L0100 +arc: E1_H01E0001 F4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F2 +arc: N1_V02N0101 F3 +arc: N1_V02N0301 F1 +arc: N1_V02N0501 F7 +arc: V01S0000 F0 +arc: V01S0100 F6 +word: SLICEA.K0.INIT 1100001100111100 +word: SLICEA.K1.INIT 1111110011000000 +word: SLICED.K0.INIT 1100001100111100 +word: SLICED.K1.INIT 1111110011000000 +word: SLICEB.K0.INIT 1100001100111100 +word: SLICEB.K1.INIT 1111110011000000 +word: SLICEC.K0.INIT 1100001100111100 +word: SLICEC.K1.INIT 1111110011000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R62C27:PLC2 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0701 W1_H02E0701 +arc: H00L0000 E1_H02W0001 +arc: H00L0100 H02E0301 +arc: H00R0000 V02N0401 +arc: H00R0100 H02E0701 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0301 V01N0101 +arc: S1_V02S0001 H06E0003 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0501 H06E0303 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 H02E0601 +arc: V00B0100 V02S0101 +arc: V00T0000 H02E0001 +arc: V00T0100 H02E0301 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0401 E1_H02W0401 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 E1_H02W0601 +arc: B2 H00L0000 +arc: B3 S1_V02N0101 +arc: B4 V02N0501 +arc: B5 H00R0000 +arc: B6 S1_V02N0701 +arc: B7 V02N0701 +arc: C2 W1_H02E0401 +arc: C3 H02E0401 +arc: C4 V00B0100 +arc: C5 V02N0001 +arc: C6 H02E0401 +arc: C7 V02S0201 +arc: CE0 V02N0201 +arc: CLK0 G_HPBX0000 +arc: D2 H02W0001 +arc: D3 V00T0100 +arc: D4 V00B0000 +arc: D5 H02E0201 +arc: D6 H00L0100 +arc: D7 H00R0100 +arc: E1_H01E0001 F2 +arc: E1_H01E0101 F6 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q0 +arc: M0 V00T0000 +arc: MUXCLK0 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F7 +arc: N1_V02N0101 F3 +arc: N1_V02N0401 F4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1100001100111100 +word: SLICEC.K1.INIT 1111110011000000 +word: SLICEB.K0.INIT 1100001100111100 +word: SLICEB.K1.INIT 1111110011000000 +word: SLICED.K0.INIT 1100001100111100 +word: SLICED.K1.INIT 1111110011000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R62C28:PLC2 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0601 V02S0601 +arc: H00L0000 V02N0001 +arc: H00L0100 V02N0101 +arc: H00R0100 V02S0701 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0701 H01E0101 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 H02E0701 +arc: V00T0000 H02W0001 +arc: V00T0100 V02N0701 +arc: W1_H02W0001 S1_V02N0001 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0301 E1_H02W0301 +arc: W1_H02W0701 E1_H02W0701 +arc: B2 V01N0001 +arc: B3 H01W0100 +arc: B6 V01S0000 +arc: B7 V00T0000 +arc: C2 H00L0000 +arc: C3 N1_V01N0001 +arc: C6 E1_H01E0101 +arc: C7 V00B0100 +arc: CE0 H00L0100 +arc: CE2 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D2 E1_H02W0201 +arc: D3 V02S0201 +arc: D6 H00R0100 +arc: D7 S1_V02N0401 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 Q4 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q0 +arc: M0 V00T0100 +arc: M4 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 Q4 +arc: N1_V01N0101 F2 +arc: N1_V02N0301 F3 +arc: V01S0000 Q0 +arc: W1_H02W0601 F6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1100001100111100 +word: SLICED.K1.INIT 1111110011000000 +word: SLICEB.K0.INIT 1100001100111100 +word: SLICEB.K1.INIT 1111110011000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R62C29:PLC2 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 W3_H06E0103 +arc: H00R0000 H02E0601 +arc: H00R0100 V02N0701 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 H01E0001 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0103 W3_H06E0103 +arc: V00B0000 W1_H02E0401 +arc: V00B0100 H02E0501 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0601 N1_V01S0000 +arc: W3_H06W0003 N1_V01S0000 +arc: W3_H06W0303 N1_V01S0100 +arc: B0 V02N0101 +arc: B1 H02E0301 +arc: C0 E1_H01W0000 +arc: C1 H02E0401 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 E1_H02W0201 +arc: D1 H00R0000 +arc: E1_H01E0101 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: H01W0100 F0 +arc: M2 V00B0000 +arc: M4 V00B0100 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V01S0000 Q4 +arc: V01S0100 Q4 +arc: W1_H02W0001 Q2 +arc: W1_H02W0401 Q6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1100001100111100 +word: SLICEA.K1.INIT 1111110011000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R62C2:PLC2 +arc: E1_H02E0101 E3_H06W0103 +arc: H00L0100 V02S0101 +arc: H00R0000 V02S0601 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0301 H06W0003 +arc: V00B0000 E1_H02W0401 +arc: V00T0100 V02N0701 +arc: A0 V02S0501 +arc: A1 E1_H01E0001 +arc: A6 V00T0100 +arc: A7 Q7 +arc: B0 V00B0000 +arc: B1 V00T0000 +arc: B4 H02E0101 +arc: B6 S1_V02N0701 +arc: B7 V00T0000 +arc: C0 N1_V01N0001 +arc: C1 E1_H02W0601 +arc: C4 N1_V02S0201 +arc: C5 E1_H01E0101 +arc: C6 S1_V02N0001 +arc: C7 F6 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 V01S0100 +arc: D4 V02S0601 +arc: D5 H01W0000 +arc: D6 V02N0401 +arc: D7 H00L0100 +arc: E1_H01E0001 Q4 +arc: E1_H01E0101 Q4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: H01W0100 Q4 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: M4 E1_H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F1 +arc: N1_V02N0001 Q0 +arc: N1_V02N0201 Q0 +arc: N1_V02N0501 Q7 +arc: N1_V02N0601 F6 +arc: N1_V02N0701 Q7 +arc: V00T0000 Q0 +arc: V01S0000 Q0 +arc: V01S0100 F6 +word: SLICEA.K0.INIT 1000111100001111 +word: SLICEA.K1.INIT 0101111100110011 +word: SLICED.K0.INIT 0001000000000000 +word: SLICED.K1.INIT 1100000011101010 +word: SLICEC.K0.INIT 1111110011001100 +word: SLICEC.K1.INIT 0000000011110000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET SET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R62C30:PLC2 +arc: H00R0000 N1_V02S0601 +arc: H00R0100 V02N0701 +arc: N1_V02N0501 V01N0101 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0501 N3_V06S0303 +arc: V00B0000 V02S0201 +arc: V00B0100 S1_V02N0101 +arc: V00T0100 N1_V02S0701 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0301 N1_V01S0100 +arc: W1_H02W0701 N1_V01S0100 +arc: W3_H06W0003 N1_V01S0000 +arc: W3_H06W0203 N1_V01S0000 +arc: W3_H06W0303 E1_H01W0100 +arc: B2 H00R0000 +arc: B3 H00L0000 +arc: C2 V02N0601 +arc: C3 N1_V01N0001 +arc: CE0 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D2 V02N0001 +arc: D3 V02N0201 +arc: E1_H01E0001 Q6 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: H00L0000 Q0 +arc: H01W0000 Q0 +arc: M0 V00T0100 +arc: M4 V00B0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V02N0301 F3 +arc: V01S0100 Q4 +arc: W1_H02W0001 F2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 1100001100111100 +word: SLICEB.K1.INIT 1111110011000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R62C31:PLC2 +arc: H00R0100 V02N0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N1_V01S0100 +arc: V00B0100 V02S0301 +arc: W1_H02W0201 H01E0001 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CLK0 G_HPBX0000 +arc: H01W0100 Q4 +arc: M0 H02W0601 +arc: M2 V00B0100 +arc: M4 V00T0000 +arc: M6 N1_V01N0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: V00T0000 Q2 +arc: W3_H06W0303 Q6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R62C32:PLC2 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0103 H06E0103 +arc: W1_H02W0601 N1_V02S0601 + +.tile R62C3:PLC2 +arc: E1_H02E0301 E3_H06W0003 +arc: E1_H02E0601 V02N0601 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0601 H06W0303 +arc: N3_V06N0003 E3_H06W0003 +arc: W1_H02W0501 V06N0303 + +.tile R62C4:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 V01N0101 +arc: E1_H02E0601 V01N0001 +arc: H00L0100 V02S0101 +arc: H00R0000 V02S0601 +arc: H00R0100 H02W0701 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 E1_H02W0601 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0601 H02E0601 +arc: S3_V06S0203 N1_V02S0401 +arc: V00B0000 V02S0201 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 V02S0701 +arc: W1_H02W0101 E1_H02W0101 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0601 E1_H01W0000 +arc: W3_H06W0103 E1_H02W0101 +arc: A0 V02S0501 +arc: A1 V02S0501 +arc: A3 V02S0501 +arc: A7 N1_V01S0100 +arc: B0 V02S0301 +arc: B1 V02S0301 +arc: B3 V02S0301 +arc: B7 V00B0000 +arc: C0 H00R0100 +arc: C1 H00R0100 +arc: C3 H00R0100 +arc: C7 V02S0001 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 V00B0100 +arc: D3 V00B0100 +arc: D7 H00L0100 +arc: E1_H02E0401 Q6 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F6 F5D_SLICE +arc: LSR1 V00T0000 +arc: M0 V00T0100 +arc: M1 H00R0000 +arc: M2 V00T0100 +arc: M6 N1_V01N0101 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0101 F1 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000001000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1000011100001111 +word: SLICEA.K0.INIT 0010010000001001 +word: SLICEA.K1.INIT 0100000010010000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R62C5:PLC2 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0501 V02N0501 +arc: H00L0100 V02S0101 +arc: H00R0100 V02S0701 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 E1_H01W0000 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0103 E3_H06W0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 W1_H02E0601 +arc: V00T0000 H02W0001 +arc: V00T0100 V02S0501 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0701 N1_V01S0100 +arc: W3_H06W0003 E1_H01W0000 +arc: A3 H00L0100 +arc: A5 V02N0301 +arc: B1 Q1 +arc: B3 H00R0100 +arc: B5 H02E0301 +arc: B6 E1_H02W0301 +arc: C1 V02N0401 +arc: C3 V02S0601 +arc: C4 V02N0201 +arc: C5 W1_H02E0601 +arc: C6 H02E0401 +arc: C7 V01N0101 +arc: CLK0 G_HPBX0000 +arc: D1 V00B0100 +arc: D3 H02E0001 +arc: D4 V00B0000 +arc: D5 V01N0001 +arc: D6 F2 +arc: D7 V02N0601 +arc: E1_H01E0001 Q1 +arc: E1_H02E0001 F2 +arc: E1_H02E0101 Q1 +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: H01W0100 F6 +arc: LSR0 V00T0000 +arc: M2 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR0 +arc: N1_V01N0001 F5 +arc: N1_V02N0601 F6 +arc: N3_V06N0303 F6 +arc: S1_V02S0301 Q1 +arc: S1_V02S0401 F4 +arc: S1_V02S0701 F5 +arc: V00B0100 F5 +arc: V01S0000 F6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111111100001100 +word: SLICED.K0.INIT 0011001111110000 +word: SLICED.K1.INIT 0000000000001111 +word: SLICEC.K0.INIT 0000111100000000 +word: SLICEC.K1.INIT 0000000001000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001001 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R62C6:PLC2 +arc: E1_H02E0101 N1_V02S0101 +arc: E1_H02E0601 E1_H01W0000 +arc: H00L0100 V02S0301 +arc: H00R0000 V02S0401 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 E1_H02W0201 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0301 V01N0101 +arc: V00B0100 S1_V02N0301 +arc: V00T0000 V02N0401 +arc: V00T0100 H02E0101 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0601 E1_H01W0000 +arc: A3 E1_H01E0001 +arc: A5 V00T0100 +arc: B3 H00R0000 +arc: B5 V00B0100 +arc: B6 F1 +arc: C1 N1_V01N0001 +arc: C2 H02W0401 +arc: C3 H00L0100 +arc: C5 W1_H02E0601 +arc: C6 H02W0601 +arc: C7 V02N0201 +arc: CE2 E1_H02W0101 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D1 H02E0001 +arc: D2 H02E0201 +arc: D3 F2 +arc: D5 W1_H02E0201 +arc: D6 H02E0201 +arc: D7 V00B0000 +arc: E1_H01E0001 Q3 +arc: E1_H01E0101 Q6 +arc: E1_H02E0001 F2 +arc: E1_H02E0401 F4 +arc: E3_H06E0103 F1 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: H01W0000 F6 +arc: LSR0 H02E0501 +arc: LSR1 H02E0501 +arc: M4 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 Q6 +arc: N1_V02N0401 F6 +arc: N1_V02N0601 Q4 +arc: V00B0000 F6 +arc: W1_H02W0101 Q3 +arc: W3_H06W0303 F6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 0011000000000000 +word: SLICED.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 1111000000000000 +word: SLICEB.K1.INIT 0011001010101010 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000001 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R62C7:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 S1_V02N0201 +arc: E1_H02E0401 N3_V06S0203 +arc: E1_H02E0601 V06S0303 +arc: E1_H02E0701 E1_H01W0100 +arc: H00L0100 N1_V02S0101 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 S1_V02N0401 +arc: N3_V06N0103 E1_H01W0100 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 V01N0001 +arc: S1_V02S0701 N1_V02S0601 +arc: V00B0000 H02W0601 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 N1_V02S0401 +arc: W1_H02W0601 E1_H02W0301 +arc: B6 V00T0000 +arc: B7 V01S0000 +arc: C1 N1_V01N0001 +arc: C6 E1_H02W0401 +arc: C7 H02E0401 +arc: CE1 H02E0101 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D1 E1_H02W0001 +arc: D6 V00B0000 +arc: D7 H02E0001 +arc: E1_H01E0101 F7 +arc: E3_H06E0303 Q6 +arc: F1 F1_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q6 +arc: M2 H02W0601 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N3_V06N0303 Q6 +arc: S3_V06S0103 F1 +arc: V00T0000 Q2 +arc: V01S0000 Q6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1100111111000000 +word: SLICED.K1.INIT 1111110011110000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R62C8:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0301 N1_V01S0100 +arc: E1_H02E0401 N1_V02S0401 +arc: E1_H02E0501 N3_V06S0303 +arc: H00R0000 V02S0601 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 V01N0101 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0601 S1_V02N0601 +arc: N3_V06N0303 E1_H01W0100 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0601 E1_H01W0000 +arc: S3_V06S0003 H06W0003 +arc: S3_V06S0103 H06W0103 +arc: V00B0000 V02S0201 +arc: V00B0100 V02S0101 +arc: V00T0000 H02W0201 +arc: V00T0100 H02E0101 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0501 N3_V06S0303 +arc: W1_H02W0601 V06S0303 +arc: W3_H06W0103 E3_H06W0103 +arc: A4 S1_V02N0301 +arc: A5 H02E0701 +arc: B4 S1_V02N0701 +arc: B5 V02S0501 +arc: C0 V02N0601 +arc: C1 N1_V02S0401 +arc: C4 V00T0100 +arc: C5 E1_H01E0101 +arc: CE1 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 H00R0000 +arc: D4 V00B0000 +arc: D5 H01W0000 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00L0000 F0 +arc: H01W0000 F4 +arc: H01W0100 Q6 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: M2 W1_H02E0601 +arc: M6 V00T0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR1 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 Q2 +arc: N3_V06N0103 F1 +arc: V01S0000 F1 +arc: V01S0100 Q6 +arc: W1_H02W0201 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1111000000000000 +word: SLICEA.K1.INIT 0000111100000000 +word: SLICEC.K0.INIT 0100011111111111 +word: SLICEC.K1.INIT 1000000011001100 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R62C9:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0601 S1_V02N0601 +arc: H00L0100 E1_H02W0101 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 V02N0501 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0601 V01N0001 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0401 W1_H02E0401 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 N1_V02S0601 +arc: V00B0000 V02S0001 +arc: V00B0100 H02W0501 +arc: W1_H02W0001 V02N0001 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0501 V06N0303 +arc: B0 E1_H01W0100 +arc: B1 V00T0000 +arc: B7 V01S0000 +arc: C0 H00L0100 +arc: C1 H00R0100 +arc: C2 E1_H02W0601 +arc: C3 H02E0401 +arc: C7 V02N0201 +arc: CE0 H02W0101 +arc: CE2 N1_V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 H02E0001 +arc: D2 V00T0100 +arc: D3 V02S0201 +arc: D7 V00B0000 +arc: E1_H01E0001 Q0 +arc: E3_H06E0003 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: H01W0100 Q0 +arc: M4 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0501 Q7 +arc: N3_V06N0003 F3 +arc: S1_V02S0001 F2 +arc: V00T0000 Q0 +arc: V00T0100 F3 +arc: V01S0000 Q4 +arc: W1_H02W0101 F3 +arc: W1_H02W0201 Q0 +arc: W1_H02W0301 F3 +arc: W3_H06W0003 Q0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111110011110000 +word: SLICEA.K0.INIT 1100111111000000 +word: SLICEA.K1.INIT 1111110011110000 +word: SLICEB.K0.INIT 0000111100000000 +word: SLICEB.K1.INIT 0000111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R63C10:PLC2 +arc: E1_H02E0601 W1_H02E0601 +arc: H00L0000 V02S0201 +arc: H00R0000 H02W0401 +arc: N1_V02N0101 N3_V06S0103 +arc: S1_V02S0201 H02W0201 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0100 V02S0101 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0601 N3_V06S0303 +arc: A2 E1_H01E0001 +arc: A3 E1_H01E0001 +arc: B2 H01W0100 +arc: B3 H01W0100 +arc: C1 H00L0000 +arc: C2 V02N0401 +arc: C3 V02N0401 +arc: C7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: D1 H02E0001 +arc: D2 H00R0000 +arc: D3 H00R0000 +arc: D7 H02E0001 +arc: E1_H01E0001 Q3 +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q2 +arc: LSR0 E1_H02W0501 +arc: MUXCLK1 CLK0 +arc: MUXLSR1 LSR0 +arc: S1_V02S0001 Q2 +arc: S1_V02S0301 Q3 +arc: S1_V02S0701 F7 +arc: S3_V06S0103 F1 +arc: V01S0000 Q3 +arc: V01S0100 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0110010011001100 +word: SLICEB.K1.INIT 0101001010101010 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R63C11:PLC2 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 V02S0601 +arc: H00L0100 H02W0101 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 V02S0701 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0301 E1_H02W0301 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 H06W0303 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0301 H06W0003 +arc: S1_V02S0601 N1_V01S0000 +arc: S3_V06S0203 E3_H06W0203 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 V02S0101 +arc: W1_H02W0001 W3_H06E0003 +arc: W1_H02W0201 N1_V01S0000 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0601 E1_H02W0301 +arc: W3_H06W0203 E3_H06W0203 +arc: A1 H00R0000 +arc: B1 V00B0000 +arc: C1 H02E0601 +arc: C5 V02S0201 +arc: CLK0 G_HPBX0000 +arc: D1 V02S0001 +arc: D5 H00R0100 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F5 F5_SLICE +arc: LSR1 H02W0501 +arc: M0 V00B0100 +arc: M1 H00L0100 +arc: M2 V00B0100 +arc: MUXCLK2 CLK0 +arc: MUXLSR2 LSR1 +arc: S1_V02S0701 Q5 +arc: V01S0000 F1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000111100000000 +word: SLICEA.K0.INIT 1111111111111111 +word: SLICEA.K1.INIT 1100110011001000 +word: SLICEB.K0.INIT 1111111111111111 +word: SLICEB.K1.INIT 1111111111111111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R63C12:PLC2 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0501 N1_V01S0100 +arc: E1_H02E0701 N1_V01S0100 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0000 E1_H02W0201 +arc: H00R0000 H02W0401 +arc: H00R0100 V02S0501 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0201 S1_V02N0701 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 S1_V02N0501 +arc: N1_V02N0601 S1_V02N0601 +arc: S1_V02S0001 H06W0003 +arc: V00B0000 V02S0001 +arc: V00T0000 V02S0401 +arc: V00T0100 S1_V02N0701 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0501 S1_V02N0501 +arc: A0 H00R0000 +arc: A4 E1_H01W0000 +arc: B0 V00T0000 +arc: B4 N1_V01S0000 +arc: C0 E1_H02W0401 +arc: C4 E1_H02W0401 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0001 +arc: D4 V00B0000 +arc: E1_H01E0001 Q3 +arc: E1_H02E0301 Q3 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: H01W0100 Q3 +arc: LSR1 V00T0100 +arc: M0 H02E0601 +arc: M1 H00L0000 +arc: M2 H02E0601 +arc: M3 H00R0100 +arc: M4 H02E0401 +arc: M5 H00L0000 +arc: M6 H02E0401 +arc: MUXCLK1 CLK0 +arc: MUXLSR1 LSR1 +arc: N1_V01N0001 Q3 +arc: N1_V01N0101 Q3 +arc: V01S0100 Q3 +word: SLICEA.K0.INIT 0011001100110010 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1100110011001101 +word: SLICEC.K1.INIT 1111111111111111 +word: SLICED.K0.INIT 1111111111111111 +word: SLICED.K1.INIT 1111111111111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R63C13:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0701 V02S0701 +arc: H00L0000 H02E0201 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0601 N3_V06S0303 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 V02N0201 +arc: V00B0100 W1_H02E0501 +arc: V00T0000 V02S0601 +arc: V00T0100 V02S0501 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 E3_H06W0103 +arc: A1 H01E0001 +arc: A4 H02E0501 +arc: A5 H02E0701 +arc: A6 V02N0301 +arc: A7 H00R0000 +arc: B1 H02E0101 +arc: B4 H00L0000 +arc: B5 H00L0000 +arc: B6 V02S0501 +arc: B7 V00B0000 +arc: C1 H02W0601 +arc: C4 H02W0601 +arc: C5 H02W0601 +arc: C6 V00T0000 +arc: C7 H02W0401 +arc: D1 H02W0001 +arc: D4 H02W0001 +arc: D5 H02W0001 +arc: D6 V02N0401 +arc: D7 V02S0401 +arc: E1_H01E0001 F7 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 F6 +arc: H01W0000 F6 +arc: H01W0100 F6 +arc: M0 V00T0100 +arc: M1 H00L0000 +arc: M2 V00T0100 +arc: M4 V00B0100 +arc: N1_V01N0001 F1 +arc: N1_V01N0101 F4 +arc: N3_V06N0203 F4 +arc: N3_V06N0303 F6 +arc: W1_H02W0301 F1 +arc: W1_H02W0401 F6 +arc: W1_H02W0601 F4 +word: SLICED.K0.INIT 0000000000001011 +word: SLICED.K1.INIT 1100110011001000 +word: SLICEC.K0.INIT 0111111101110111 +word: SLICEC.K1.INIT 0001010100010001 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0001011100010001 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R63C14:PLC2 +arc: E1_H02E0101 V02N0101 +arc: E1_H02E0601 N3_V06S0303 +arc: E3_H06E0003 W3_H06E0303 +arc: H00L0100 S1_V02N0301 +arc: H00R0100 V02N0701 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 H01E0001 +arc: N3_V06N0203 H06W0203 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0201 H01E0001 +arc: S1_V02S0301 W1_H02E0301 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0203 H06W0203 +arc: V00B0100 H02E0701 +arc: V00T0100 H02E0101 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0201 V01N0001 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0601 V06S0303 +arc: W3_H06W0303 E1_H01W0100 +arc: A1 V02N0501 +arc: B1 H02E0301 +arc: B3 H02E0301 +arc: B5 V00B0100 +arc: B7 V00B0100 +arc: C1 N1_V01S0100 +arc: C2 N1_V01S0100 +arc: C3 N1_V01S0100 +arc: C4 V02S0201 +arc: C5 V02S0201 +arc: C6 V02S0201 +arc: C7 V02S0201 +arc: D1 S1_V02N0201 +arc: D2 S1_V02N0201 +arc: D3 S1_V02N0001 +arc: D4 V02N0601 +arc: D5 V02N0601 +arc: D6 V02N0601 +arc: D7 V02N0601 +arc: E1_H01E0001 F3 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: M0 V00T0100 +arc: M1 H00R0100 +arc: M2 V00T0100 +arc: M3 H00L0100 +arc: M4 V00T0100 +arc: M5 H00R0100 +arc: M6 V00T0100 +arc: N1_V01N0001 F3 +arc: N3_V06N0003 F3 +arc: S3_V06S0003 F3 +arc: V01S0100 F3 +arc: W3_H06W0003 F3 +word: SLICEB.K0.INIT 1111000000000000 +word: SLICEB.K1.INIT 1100000000000000 +word: SLICEC.K0.INIT 1111000000000000 +word: SLICEC.K1.INIT 1100000000000000 +word: SLICED.K0.INIT 1111000000000000 +word: SLICED.K1.INIT 1100000000000000 +word: SLICEA.K0.INIT 1111111111111111 +word: SLICEA.K1.INIT 1110101010101010 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 + +.tile R63C15:PLC2 +arc: H00L0000 V02N0001 +arc: H00R0000 W1_H02E0401 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0601 H06E0303 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0401 N1_V02S0401 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 V02N0301 +arc: V00T0100 V02S0501 +arc: W1_H02W0601 H01E0001 +arc: B2 H00L0000 +arc: C2 H00L0100 +arc: C3 S1_V02N0401 +arc: C5 H02E0601 +arc: C7 V00T0100 +arc: CE0 E1_H02W0101 +arc: CE1 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D2 H00R0000 +arc: D3 V00B0100 +arc: D5 H00L0100 +arc: D7 H00L0100 +arc: E1_H01E0101 F3 +arc: E1_H02E0301 F3 +arc: E3_H06E0203 F7 +arc: E3_H06E0303 F5 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F3 +arc: H01W0000 F2 +arc: H01W0100 F2 +arc: M0 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 F3 +arc: N1_V02N0301 F3 +arc: N3_V06N0003 F3 +arc: N3_V06N0103 F2 +arc: S1_V02S0301 F3 +arc: S3_V06S0003 F3 +arc: V01S0000 Q0 +arc: V01S0100 Q3 +arc: W3_H06W0003 F3 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 1100000000000000 +word: SLICEB.K1.INIT 0000000000001111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R63C16:PLC2 +arc: E1_H02E0101 V01N0101 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0701 H01E0101 +arc: H00L0000 N1_V02S0201 +arc: H00L0100 H02E0301 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0701 H01E0101 +arc: S1_V02S0501 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 E1_H02W0701 +arc: C1 H00L0000 +arc: C5 N1_V02S0001 +arc: C7 V00B0100 +arc: CE3 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D1 H01E0101 +arc: D5 H00L0100 +arc: D7 H02W0201 +arc: E3_H06E0103 F1 +arc: E3_H06E0303 F5 +arc: F1 F1_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: LSR1 V00B0000 +arc: MUXCLK3 CLK0 +arc: MUXLSR3 LSR1 +arc: S1_V02S0701 Q7 +arc: V01S0000 Q7 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111111111110000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 + +.tile R63C17:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0601 N3_V06S0303 +arc: H00L0000 E1_H02W0001 +arc: H00R0100 H02E0701 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0301 H06E0003 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0501 N1_V02S0501 +arc: V00T0000 V02S0401 +arc: V00T0100 E1_H02W0301 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0201 E1_H02W0201 +arc: B4 V02N0501 +arc: B5 V01S0000 +arc: B7 H02E0301 +arc: C4 V01N0101 +arc: C5 V00T0100 +arc: C7 V02S0001 +arc: CE0 H00L0000 +arc: CE2 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D4 E1_H01W0100 +arc: D5 V02S0401 +arc: D7 H00R0100 +arc: E3_H06E0203 F7 +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: M0 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: N3_V06N0203 F7 +arc: S3_V06S0203 F7 +arc: V01S0000 Q0 +arc: V01S0100 Q5 +arc: W1_H02W0601 F4 +arc: W3_H06W0203 F7 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100110011110000 +word: SLICEC.K0.INIT 0000000000000011 +word: SLICEC.K1.INIT 1100111111000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R63C18:PLC2 +arc: E1_H02E0201 V02N0201 +arc: E1_H02E0501 S1_V02N0501 +arc: E1_H02E0701 E1_H01W0100 +arc: H00L0000 H02W0001 +arc: H00L0100 H02W0101 +arc: H00R0000 V02S0401 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0501 S1_V02N0401 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0101 E1_H01W0100 +arc: S1_V02S0601 E1_H01W0000 +arc: S1_V02S0701 E1_H01W0100 +arc: V00T0000 W1_H02E0201 +arc: V00T0100 H02W0301 +arc: V01S0000 N3_V06S0103 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0701 E1_H01W0100 +arc: A3 V00T0000 +arc: B3 V02N0301 +arc: B7 V00B0000 +arc: C1 H00L0100 +arc: C3 V02N0601 +arc: C7 V00T0100 +arc: CE2 H00L0000 +arc: CE3 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: D1 H02E0001 +arc: D3 H00R0000 +arc: D7 V02S0601 +arc: E1_H02E0101 F1 +arc: E1_H02E0301 F3 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q7 +arc: M4 E1_H02W0401 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V00B0000 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1000010000100001 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111111100001111 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1100111111000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R63C19:PLC2 +arc: E1_H02E0601 N3_V06S0303 +arc: E1_H02E0701 V06S0203 +arc: H00L0000 V02N0001 +arc: H00L0100 V02S0101 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 H02E0701 +arc: N1_V02N0001 N3_V06S0003 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 V02S0001 +arc: V00B0100 E1_H02W0701 +arc: V00T0100 V02N0701 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0201 N3_V06S0103 +arc: A3 H02E0501 +arc: A6 F7 +arc: A7 V00T0100 +arc: B3 H00R0000 +arc: B6 H02E0301 +arc: B7 W1_H02E0301 +arc: C0 H00R0100 +arc: C1 H00L0000 +arc: C3 N1_V02S0601 +arc: C6 E1_H01E0101 +arc: C7 E1_H02W0401 +arc: CE0 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0001 +arc: D1 V02N0201 +arc: D3 H02E0201 +arc: D6 H00L0100 +arc: D7 E1_H02W0001 +arc: E1_H01E0101 F3 +arc: E1_H02E0401 Q4 +arc: E3_H06E0003 F0 +arc: E3_H06E0103 Q1 +arc: E3_H06E0203 Q4 +arc: E3_H06E0303 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F0 +arc: H01W0100 Q1 +arc: LSR1 V00B0100 +arc: M4 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR2 LSR1 +arc: N3_V06N0203 Q4 +arc: S1_V02S0201 F0 +arc: V01S0100 Q1 +arc: W1_H02W0001 F0 +arc: W1_H02W0101 Q1 +arc: W1_H02W0301 Q1 +arc: W3_H06W0003 F0 +arc: W3_H06W0103 Q1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1001000000001001 +word: SLICEA.K0.INIT 0000000000001111 +word: SLICEA.K1.INIT 0000111100000000 +word: SLICED.K0.INIT 1000000000000000 +word: SLICED.K1.INIT 1001000000001001 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ + +.tile R63C20:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0301 V02S0301 +arc: E1_H02E0701 V01N0101 +arc: E3_H06E0103 N3_V06S0103 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 N3_V06S0203 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 N3_V06S0103 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 H06E0203 +arc: V00B0100 H02E0701 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0201 N3_V06S0103 +arc: W1_H02W0401 V02S0401 +arc: CE0 V02N0201 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q2 +arc: E1_H02E0201 Q2 +arc: E1_H02E0401 Q4 +arc: M0 H02E0601 +arc: M2 N1_V01N0001 +arc: M4 V00T0000 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: V00T0000 Q0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R63C21:PLC2 +arc: E1_H02E0001 E1_H01W0000 +arc: E1_H02E0101 V01N0101 +arc: E1_H02E0401 W1_H02E0401 +arc: E1_H02E0501 S1_V02N0501 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 S1_V02N0201 +arc: H00R0000 N1_V02S0401 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 E1_H02W0701 +arc: S1_V02S0401 N1_V02S0101 +arc: S1_V02S0601 E1_H01W0000 +arc: V00B0000 S1_V02N0201 +arc: V00B0100 E1_H02W0701 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 H02E0301 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0701 S1_V02N0701 +arc: A0 H00L0000 +arc: A1 H01E0001 +arc: A4 V00B0000 +arc: B0 V00T0000 +arc: B1 V01N0001 +arc: B4 H00R0000 +arc: C0 H00R0100 +arc: C1 E1_H01W0000 +arc: C4 V00B0100 +arc: C5 S1_V02N0001 +arc: CE1 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 V00B0100 +arc: D4 H00R0100 +arc: D5 E1_H02W0201 +arc: E1_H01E0001 Q2 +arc: E1_H02E0601 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00R0100 F5 +arc: H01W0100 F1 +arc: M2 V00T0100 +arc: M6 H02W0401 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F0 +arc: N1_V01N0101 F4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1001001101101100 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0100110111001111 +word: SLICEA.K1.INIT 0111111111111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R63C22:PLC2 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0601 N1_V01S0000 +arc: H00L0000 W1_H02E0201 +arc: H00R0000 H02W0401 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0601 S1_V02N0601 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 H06E0103 +arc: S1_V02S0001 N1_V02S0501 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0701 N1_V02S0601 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 H02E0601 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0401 H01E0001 +arc: A0 H00L0000 +arc: A2 H02E0501 +arc: A3 W1_H02E0701 +arc: A4 H02E0501 +arc: A6 W1_H02E0701 +arc: B0 H02E0101 +arc: B1 V00B0000 +arc: B2 N1_V02S0301 +arc: B3 H00R0000 +arc: B4 V00B0100 +arc: B6 V00T0000 +arc: C0 H00L0100 +arc: C1 H02E0401 +arc: C2 N1_V01N0001 +arc: C3 H00R0100 +arc: C4 E1_H02W0401 +arc: C5 S1_V02N0001 +arc: C6 E1_H02W0601 +arc: C7 W1_H02E0401 +arc: D0 E1_H02W0001 +arc: D1 V02S0201 +arc: D2 E1_H02W0001 +arc: D3 E1_H02W0001 +arc: D4 V02S0601 +arc: D5 H01W0000 +arc: D6 H00R0100 +arc: D7 H00L0100 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 F6 +arc: E1_H02E0301 F1 +arc: E3_H06E0103 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H00R0100 F7 +arc: H01W0000 F1 +arc: H01W0100 F0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F3 +arc: N1_V02N0001 F2 +arc: N1_V02N0101 F1 +arc: N1_V02N0401 F4 +word: SLICED.K0.INIT 1001001101101100 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1001001101101100 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 1001010100111111 +word: SLICEA.K1.INIT 0000110011000000 +word: SLICEB.K0.INIT 0100110111001111 +word: SLICEB.K1.INIT 0100110111001111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R63C23:PLC2 +arc: E1_H02E0001 H01E0001 +arc: E1_H02E0201 H01E0001 +arc: E3_H06E0003 N1_V01S0000 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 E1_H02W0201 +arc: H00L0100 H02E0301 +arc: H00R0000 N1_V02S0601 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 E3_H06W0003 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0601 W1_H02E0601 +arc: N3_V06N0203 W3_H06E0203 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0401 H01E0001 +arc: S1_V02S0601 V01N0001 +arc: S3_V06S0203 W3_H06E0203 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 N1_V02S0601 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0401 N1_V02S0401 +arc: W1_H02W0501 N1_V02S0501 +arc: A0 V02N0501 +arc: A2 S1_V02N0501 +arc: A3 V02N0701 +arc: A4 V02N0301 +arc: A6 S1_V02N0301 +arc: B0 V00T0000 +arc: B2 N1_V02S0301 +arc: B3 H00R0000 +arc: B4 V01S0000 +arc: B6 V01S0000 +arc: B7 N1_V02S0701 +arc: C0 H02W0601 +arc: C1 H00L0000 +arc: C2 H02W0401 +arc: C3 H02W0401 +arc: C4 V00B0100 +arc: C5 V02N0201 +arc: C6 N1_V02S0001 +arc: C7 E1_H02W0401 +arc: D0 V00T0100 +arc: D1 W1_H02E0001 +arc: D2 V02S0201 +arc: D3 V00T0100 +arc: D4 H00R0100 +arc: D5 H00L0100 +arc: D6 H02W0201 +arc: D7 H02E0201 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 F7 +arc: E1_H02E0601 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F5 +arc: H01W0000 F7 +arc: H01W0100 F0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F4 +arc: N1_V02N0701 F7 +arc: N3_V06N0003 F3 +arc: N3_V06N0103 F2 +arc: S1_V02S0701 F7 +arc: V00T0100 F1 +arc: V01S0000 F7 +arc: V01S0100 F7 +arc: W1_H02W0701 F7 +word: SLICEC.K0.INIT 1000011101111000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1000011101111000 +word: SLICED.K1.INIT 0000000011000000 +word: SLICEA.K0.INIT 1001001101101100 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0100110011011111 +word: SLICEB.K1.INIT 0100110011011111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R63C24:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0501 N3_V06S0303 +arc: E1_H02E0601 H01E0001 +arc: E3_H06E0003 N3_V06S0003 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0000 E1_H02W0001 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0401 H02W0401 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 E1_H01W0100 +arc: S1_V02S0101 N1_V02S0001 +arc: S1_V02S0201 N1_V02S0201 +arc: V00B0000 V02N0001 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 E1_H02W0001 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0201 E1_H01W0000 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0601 H01E0001 +arc: A0 V02N0501 +arc: A1 H02W0501 +arc: A2 V00B0000 +arc: A4 H02W0501 +arc: A6 V02N0101 +arc: B0 N1_V02S0301 +arc: B1 V00T0000 +arc: B2 H00R0100 +arc: B4 H00L0000 +arc: B6 V00B0100 +arc: C0 W1_H02E0401 +arc: C1 W1_H02E0401 +arc: C2 W1_H02E0401 +arc: C3 S1_V02N0401 +arc: C4 H01E0001 +arc: C5 H02W0601 +arc: C6 H01E0001 +arc: C7 W1_H02E0601 +arc: D0 V01S0100 +arc: D1 V02S0201 +arc: D2 V00T0100 +arc: D3 H02E0201 +arc: D4 H01W0000 +arc: D5 H02E0001 +arc: D6 V02N0401 +arc: D7 H02E0001 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F5 +arc: N1_V01N0001 F5 +arc: N1_V02N0101 F1 +arc: N1_V02N0201 F2 +arc: N1_V02N0301 F3 +arc: N3_V06N0003 F0 +arc: N3_V06N0203 F4 +arc: N3_V06N0303 F6 +arc: V00T0100 F3 +arc: V01S0000 F7 +arc: V01S0100 F7 +word: SLICEB.K0.INIT 1001001101101100 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0100110011011111 +word: SLICEA.K1.INIT 0100110011011111 +word: SLICED.K0.INIT 1001001101101100 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1001001101101100 +word: SLICEC.K1.INIT 1111000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R63C25:PLC2 +arc: E1_H01E0001 E3_H06W0003 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0301 E3_H06W0003 +arc: H00L0000 N1_V02S0001 +arc: H00R0000 E1_H02W0601 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0501 V01N0101 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 N1_V01S0100 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0103 W3_H06E0103 +arc: N3_V06N0303 W3_H06E0303 +arc: S1_V02S0201 H02W0201 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 E1_H02W0601 +arc: V00T0000 E1_H02W0001 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0401 W3_H06E0203 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0601 H01E0001 +arc: A0 E1_H02W0501 +arc: A2 V00B0000 +arc: A3 V02N0701 +arc: A4 V02N0101 +arc: A6 H00R0000 +arc: B0 N1_V02S0301 +arc: B2 H00L0000 +arc: B3 H02W0101 +arc: B4 H02W0101 +arc: B6 V00T0000 +arc: C0 H02E0601 +arc: C1 H02W0401 +arc: C2 H02E0601 +arc: C3 H02E0601 +arc: C4 H02E0401 +arc: C5 S1_V02N0201 +arc: C6 H02E0601 +arc: C7 S1_V02N0001 +arc: D0 V00T0100 +arc: D1 W1_H02E0001 +arc: D2 V01S0100 +arc: D3 V00B0100 +arc: D4 H00R0100 +arc: D5 W1_H02E0001 +arc: D6 V02N0401 +arc: D7 W1_H02E0001 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F5 +arc: H01W0000 F1 +arc: H01W0100 F4 +arc: N1_V01N0101 F3 +arc: N1_V02N0001 F2 +arc: N1_V02N0201 F0 +arc: N1_V02N0401 F6 +arc: V00B0100 F5 +arc: V00T0100 F1 +arc: V01S0000 F7 +arc: V01S0100 F7 +word: SLICEC.K0.INIT 1001001101101100 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1001001101101100 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0100110011011111 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0100110011011111 +word: SLICEB.K1.INIT 0100110011011111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R63C26:PLC2 +arc: E1_H02E0101 W3_H06E0103 +arc: E1_H02E0201 W3_H06E0103 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 W1_H02E0301 +arc: E1_H02E0701 E1_H01W0100 +arc: E3_H06E0203 W3_H06E0103 +arc: H00R0000 V02N0601 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0201 H06W0103 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0501 W1_H02E0501 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 N1_V01S0100 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 W3_H06E0103 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0303 H06E0303 +arc: V00B0100 V02N0301 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 H02E0301 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0101 N1_V02S0101 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0401 V02N0401 +arc: A0 H01E0001 +arc: A1 H00R0000 +arc: A4 H02W0701 +arc: A6 V00T0100 +arc: B0 H02W0301 +arc: B1 V02S0301 +arc: B4 V02S0701 +arc: B6 H02W0301 +arc: C0 W1_H02E0401 +arc: C1 W1_H02E0601 +arc: C4 W1_H02E0401 +arc: C5 E1_H02W0601 +arc: C6 W1_H02E0401 +arc: C7 V00T0000 +arc: CE1 V02S0201 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V02S0001 +arc: D4 H00R0100 +arc: D5 E1_H02W0201 +arc: D6 H01W0000 +arc: D7 E1_H02W0201 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F5 +arc: H01W0000 F7 +arc: H01W0100 Q2 +arc: M2 V00B0100 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F1 +arc: N1_V02N0401 F6 +arc: N3_V06N0003 F0 +arc: N3_V06N0203 F4 +arc: V01S0100 F7 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1001001101101100 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1001001101101100 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0100110011011111 +word: SLICEA.K1.INIT 0100110011011111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ + +.tile R63C27:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0201 V02S0201 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0303 W3_H06E0203 +arc: H00L0000 H02W0001 +arc: H00R0100 V02S0501 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 H02E0701 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0201 N1_V02S0701 +arc: S1_V02S0501 N1_V02S0401 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 E1_H02W0401 +arc: V00B0100 S1_V02N0101 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0301 N1_V02S0301 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 S1_V02N0601 +arc: W1_H02W0701 V01N0101 +arc: B4 H00R0000 +arc: B5 H00L0000 +arc: C4 H02E0601 +arc: C5 V02N0201 +arc: CE0 H02E0101 +arc: CE1 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D4 V00B0000 +arc: D5 H00R0100 +arc: E1_H01E0101 F4 +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H00R0000 Q6 +arc: H01W0100 Q2 +arc: M0 V00B0100 +arc: M2 V00T0100 +arc: M6 H02W0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 F5 +arc: N1_V02N0401 Q6 +arc: V01S0100 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1100001100111100 +word: SLICEC.K1.INIT 1111110011000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R63C28:PLC2 +arc: E1_H02E0501 N3_V06S0303 +arc: H00R0100 E1_H02W0701 +arc: N1_V02N0101 W1_H02E0101 +arc: N1_V02N0501 H01E0101 +arc: N1_V02N0701 H02W0701 +arc: S1_V02S0001 N1_V02S0501 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 V02N0101 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0201 W3_H06E0103 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0601 E1_H01W0000 +arc: B6 V00T0000 +arc: B7 V02N0701 +arc: C6 V01N0101 +arc: C7 E1_H01E0101 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D6 H02E0001 +arc: D7 H02E0201 +arc: E1_H01E0101 Q2 +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F6 +arc: H01W0100 F7 +arc: M0 H02W0601 +arc: M2 V00B0100 +arc: M4 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 Q4 +arc: N1_V02N0001 Q2 +arc: V00T0000 Q0 +arc: V01S0100 Q4 +arc: W1_H02W0001 Q0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1100001100111100 +word: SLICED.K1.INIT 1111110011000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R63C29:PLC2 +arc: E1_H02E0201 N1_V01S0000 +arc: E3_H06E0003 W3_H06E0303 +arc: H00R0100 H02W0701 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0501 H02E0501 +arc: N1_V02N0701 H06E0203 +arc: S1_V02S0701 H06E0203 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0100 V02N0101 +arc: V00T0000 S1_V02N0601 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0601 V01N0001 +arc: W1_H02W0701 V06S0203 +arc: W3_H06W0003 E1_H01W0000 +arc: W3_H06W0103 E1_H01W0100 +arc: CE0 H00R0100 +arc: CE1 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q0 +arc: H01W0000 Q4 +arc: M0 V00B0100 +arc: M2 V00T0000 +arc: M4 E1_H01E0101 +arc: M6 N1_V01N0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: W3_H06W0303 Q6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R63C2:PLC2 +arc: E1_H02E0601 E1_H01W0000 +arc: N1_V02N0001 H06W0003 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0601 N1_V01S0000 +arc: A6 N1_V01N0101 +arc: B4 V00B0100 +arc: B5 V00B0100 +arc: B6 V02N0701 +arc: B7 V00B0100 +arc: C4 Q4 +arc: C5 E1_H01E0101 +arc: C6 Q6 +arc: C7 H02E0401 +arc: CLK0 G_HPBX0000 +arc: D4 S1_V02N0401 +arc: D5 S1_V02N0601 +arc: D6 S1_V02N0601 +arc: D7 V00B0000 +arc: E1_H01E0101 F7 +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q4 +arc: LSR0 E1_H02W0501 +arc: LSR1 E1_H02W0501 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0101 Q5 +arc: N1_V02N0701 Q5 +arc: S1_V02S0701 F7 +arc: V00B0000 Q6 +arc: V00B0100 Q5 +arc: V01S0000 Q6 +arc: V01S0100 Q4 +word: SLICEC.K0.INIT 0011110011110000 +word: SLICEC.K1.INIT 0000001100001100 +word: SLICED.K0.INIT 0110100011110000 +word: SLICED.K1.INIT 0000001100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 + +.tile R63C30:PLC2 +arc: E1_H02E0701 V06S0203 +arc: H00R0100 E1_H02W0701 +arc: H01W0100 W3_H06E0303 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0601 S1_V02N0301 +arc: N1_V02N0701 E1_H02W0701 +arc: S1_V02S0701 E1_H02W0701 +arc: V00B0100 V02N0301 +arc: V00T0100 V02S0501 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0701 E1_H02W0701 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q6 +arc: H01W0000 Q2 +arc: M0 H02W0601 +arc: M2 V00B0000 +arc: M4 V00T0100 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V00B0000 Q4 +arc: W3_H06W0003 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R63C31:PLC2 +arc: H00R0100 H02W0701 +arc: N1_V02N0701 H02W0701 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0100 H02E0701 +arc: W1_H02W0601 H01E0001 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: M0 V00B0000 +arc: M2 V00B0100 +arc: M4 H02W0401 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V00B0000 Q4 +arc: V00T0000 Q2 +arc: W3_H06W0003 Q0 +arc: W3_H06W0303 Q6 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R63C32:PLC2 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0203 N1_V02S0401 +arc: W1_H02W0401 V06S0203 +arc: W1_H02W0701 W3_H06E0203 + +.tile R63C33:PLC2 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: S3_V06S0003 N1_V02S0001 +arc: S3_V06S0303 N1_V02S0501 + +.tile R63C34:PLC2 +arc: S3_V06S0203 N3_V06S0203 + +.tile R63C35:PLC2 +arc: E3_H06E0103 W3_H06E0003 +arc: S3_V06S0003 W3_H06E0003 + +.tile R63C36:PLC2 +arc: S3_V06S0103 H06E0103 + +.tile R63C37:PLC2 +arc: S3_V06S0203 N3_V06S0203 + +.tile R63C38:PLC2 +arc: S3_V06S0103 H06E0103 + +.tile R63C39:PLC2 +arc: E3_H06E0003 W3_H06E0003 + +.tile R63C3:PLC2 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0401 E1_H01W0000 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0100 S1_V02N0101 +arc: N1_V02N0601 S1_V02N0301 +arc: S1_V02S0001 V01N0001 +arc: S1_V02S0701 N1_V02S0601 +arc: V00B0100 S1_V02N0101 +arc: C1 S1_V02N0401 +arc: C5 V02N0201 +arc: CE1 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D1 V00B0100 +arc: D5 H00L0100 +arc: D7 H00L0100 +arc: E1_H01E0001 Q2 +arc: E1_H02E0501 F7 +arc: F1 F1_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: H01W0000 Q2 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: M2 H02W0601 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: S1_V02S0101 Q1 +arc: S1_V02S0501 Q5 +arc: V01S0100 Q1 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000011111111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000011110000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111111111110000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET SET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R63C40:PLC2 +arc: S3_V06S0203 N3_V06S0203 + +.tile R63C41:PLC2 +arc: E3_H06E0103 W3_H06E0103 +arc: S3_V06S0103 W3_H06E0103 + +.tile R63C43:PLC2 +arc: S3_V06S0303 N3_V06S0203 + +.tile R63C44:PLC2 +arc: S3_V06S0103 H06E0103 + +.tile R63C45:PLC2 +arc: S3_V06S0003 W3_H06E0003 + +.tile R63C4:PLC2 +arc: E1_H02E0401 E1_H01W0000 +arc: H00L0000 H02W0201 +arc: H00R0100 H02E0501 +arc: S1_V02S0201 V01N0001 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 V02N0001 +arc: V00T0100 H02W0301 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 S1_V02N0601 +arc: A1 E1_H01E0001 +arc: A5 H02W0701 +arc: A7 V02N0101 +arc: B0 E1_H01W0100 +arc: B1 H01W0100 +arc: B5 H00L0000 +arc: B6 V00T0000 +arc: B7 V01S0000 +arc: C0 H00L0100 +arc: C1 V02S0601 +arc: C5 H02W0601 +arc: C6 H01E0001 +arc: C7 V02S0201 +arc: CE1 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 V00T0100 +arc: D4 V00B0000 +arc: D5 V00B0000 +arc: D6 S1_V02N0601 +arc: D7 H02W0201 +arc: E1_H01E0001 Q6 +arc: E1_H01E0101 Q6 +arc: E1_H02E0601 Q6 +arc: E3_H06E0303 Q6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 Q4 +arc: H01W0100 Q0 +arc: LSR0 E1_H02W0501 +arc: LSR1 E1_H02W0501 +arc: M2 W1_H02E0601 +arc: M4 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 Q6 +arc: N1_V01N0101 Q0 +arc: N1_V02N0201 Q0 +arc: V00B0100 F7 +arc: V00T0000 Q2 +arc: V01S0000 Q6 +arc: V01S0100 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1111110011000000 +word: SLICED.K1.INIT 0001010100011101 +word: SLICEA.K0.INIT 1111000011111100 +word: SLICEA.K1.INIT 1100110010001100 +word: SLICEC.K0.INIT 1111111100000000 +word: SLICEC.K1.INIT 1111111100101111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET SET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET SET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET SET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 + +.tile R63C5:PLC2 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0401 E1_H01W0000 +arc: E1_H02E0701 E1_H01W0100 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 V02S0701 +arc: N1_V02N0301 H01E0101 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 N1_V01S0000 +arc: S3_V06S0203 E3_H06W0203 +arc: V00B0100 E1_H02W0501 +arc: V00T0000 V02S0401 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0701 H01E0101 +arc: A1 V02N0701 +arc: A3 S1_V02N0701 +arc: A6 V02N0101 +arc: A7 Q7 +arc: B1 V00B0000 +arc: B2 F3 +arc: B3 H00R0000 +arc: B4 V02N0701 +arc: B6 V00B0000 +arc: B7 V00B0000 +arc: C0 H00L0000 +arc: C1 H00L0000 +arc: C2 H02W0601 +arc: C3 S1_V02N0401 +arc: C4 H02E0401 +arc: C5 E1_H02W0601 +arc: C6 V00T0000 +arc: C7 V00T0000 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V02S0001 +arc: D1 N1_V02S0001 +arc: D2 V00B0100 +arc: D3 V02N0201 +arc: D4 V02S0401 +arc: D5 H02W0201 +arc: D6 H00R0100 +arc: D7 H00R0100 +arc: E1_H01E0001 F4 +arc: E1_H01E0101 F5 +arc: E1_H02E0101 Q3 +arc: E1_H02E0301 F3 +arc: E1_H02E0501 F5 +arc: E1_H02E0601 F4 +arc: E3_H06E0003 F3 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H01W0000 Q6 +arc: H01W0100 F4 +arc: M0 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F3 +arc: N1_V01N0101 F3 +arc: N1_V02N0001 F2 +arc: N1_V02N0201 Q0 +arc: N1_V02N0401 F4 +arc: S1_V02S0701 F5 +arc: S3_V06S0303 F5 +arc: V00B0000 Q6 +arc: V01S0000 F3 +arc: V01S0100 Q7 +arc: W1_H02W0301 F3 +arc: W1_H02W0601 F4 +arc: W3_H06W0003 F3 +word: SLICEB.K0.INIT 1100000000000000 +word: SLICEB.K1.INIT 0000000100000000 +word: SLICED.K0.INIT 0000000000101100 +word: SLICED.K1.INIT 0000000010011010 +word: SLICEC.K0.INIT 0000001100000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 1111111111110000 +word: SLICEA.K1.INIT 1111111100001110 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 + +.tile R63C6:PLC2 +arc: E1_H02E0001 V02S0001 +arc: E1_H02E0101 H01E0101 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0601 S1_V02N0601 +arc: H00R0100 H02E0501 +arc: N1_V02N0001 S1_V02N0501 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 H06E0303 +arc: S1_V02S0401 H01E0001 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0501 S1_V02N0501 +arc: W1_H02W0601 E1_H02W0301 +arc: A0 H02E0701 +arc: A5 Q5 +arc: A6 H00L0000 +arc: B0 V02S0301 +arc: B2 H00L0000 +arc: B5 H02W0301 +arc: B6 V00B0000 +arc: C0 W1_H02E0601 +arc: C1 H00L0000 +arc: C2 H02E0601 +arc: C3 H02E0401 +arc: C4 V00T0000 +arc: C5 H02E0601 +arc: C6 H01E0001 +arc: C7 V00T0000 +arc: CE0 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 H00R0000 +arc: D2 V02N0201 +arc: D3 V01S0100 +arc: D4 H01W0000 +arc: D5 V01N0001 +arc: D6 V01N0001 +arc: D7 H01W0000 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 F4 +arc: E1_H02E0501 Q5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q2 +arc: H00R0000 Q6 +arc: H01W0000 Q6 +arc: H01W0100 Q5 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 Q0 +arc: S1_V02S0201 Q0 +arc: S1_V02S0601 F4 +arc: S1_V02S0701 Q5 +arc: V00B0000 Q6 +arc: V00T0000 Q2 +arc: V00T0100 F1 +arc: V01S0000 F1 +arc: V01S0100 Q2 +word: SLICEB.K0.INIT 0000110000111111 +word: SLICEB.K1.INIT 0000111100000000 +word: SLICEC.K0.INIT 1111000000000000 +word: SLICEC.K1.INIT 0000101001101110 +word: SLICED.K0.INIT 0000110001101110 +word: SLICED.K1.INIT 0000111100000000 +word: SLICEA.K0.INIT 1110010011001100 +word: SLICEA.K1.INIT 0000000000001111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R63C7:PLC2 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0601 W1_H02E0301 +arc: H00L0000 H02W0201 +arc: H00L0100 H02W0301 +arc: H00R0000 V02S0401 +arc: H00R0100 V02S0701 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 H01E0001 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 H06E0303 +arc: V00B0100 H02E0501 +arc: V00T0100 V02S0501 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0401 S1_V02N0401 +arc: W1_H02W0501 V06S0303 +arc: W1_H02W0601 V02N0601 +arc: W1_H02W0701 V02N0701 +arc: W3_H06W0003 E3_H06W0003 +arc: W3_H06W0103 E3_H06W0103 +arc: A1 H00L0000 +arc: A4 V00B0000 +arc: A5 Q5 +arc: B0 E1_H01W0100 +arc: B1 H02W0301 +arc: B4 V00B0100 +arc: B5 V00B0100 +arc: C0 H00L0100 +arc: C1 V02S0401 +arc: C4 V02N0001 +arc: C5 V02N0001 +arc: C7 V00T0100 +arc: CE1 H00R0100 +arc: CE2 H02E0101 +arc: CLK0 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 N1_V02S0201 +arc: D4 H02E0001 +arc: D5 H02E0201 +arc: D7 E1_H02W0001 +arc: F0 F5A_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: M0 V00T0000 +arc: M2 H02W0601 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0001 Q5 +arc: N1_V02N0201 F0 +arc: S3_V06S0203 F7 +arc: V00B0000 Q4 +arc: V00T0000 Q2 +arc: V01S0000 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1110001010101010 +word: SLICEC.K1.INIT 1011100010101010 +word: SLICEA.K0.INIT 0011111111111111 +word: SLICEA.K1.INIT 0000000001111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 + +.tile R63C8:PLC2 +arc: E1_H02E0401 V02N0401 +arc: E1_H02E0601 E3_H06W0303 +arc: E3_H06E0303 V06S0303 +arc: H00L0100 H02W0301 +arc: H00R0000 H02E0401 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0601 N1_V01S0000 +arc: V00B0000 E1_H02W0601 +arc: W1_H02W0301 V06S0003 +arc: W1_H02W0601 E1_H02W0601 +arc: A0 H00R0000 +arc: B0 V00B0000 +arc: C0 H02E0601 +arc: C1 E1_H02W0601 +arc: C2 W1_H02E0601 +arc: C3 H00L0000 +arc: C4 V02N0001 +arc: C5 V02N0201 +arc: C7 H02W0401 +arc: CE1 V02S0201 +arc: CE2 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0001 +arc: D1 V02S0001 +arc: D2 V00B0100 +arc: D3 E1_H02W0001 +arc: D4 H00R0100 +arc: D5 V01N0001 +arc: D7 H00L0100 +arc: E1_H01E0001 F2 +arc: E1_H01E0101 F4 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0000 F2 +arc: H00R0100 F5 +arc: H01W0000 F2 +arc: H01W0100 Q0 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: M0 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: N1_V01N0001 F3 +arc: N1_V01N0101 F5 +arc: S1_V02S0001 F2 +arc: S3_V06S0203 F7 +arc: V00B0100 F5 +arc: V00T0000 Q0 +arc: V01S0000 Q2 +arc: V01S0100 Q4 +arc: W1_H02W0201 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1111000000000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0000000011110000 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICEA.K0.INIT 0001000001010000 +word: SLICEA.K1.INIT 0000111111111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R63C9:PLC2 +arc: E1_H02E0001 E3_H06W0003 +arc: H00L0100 V02N0301 +arc: H00R0000 V02S0601 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0201 V01N0001 +arc: N1_V02N0501 H01E0101 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0203 S1_V02N0701 +arc: S1_V02S0201 H01E0001 +arc: S1_V02S0501 V01N0101 +arc: S1_V02S0601 H01E0001 +arc: S3_V06S0003 E3_H06W0003 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 N1_V02S0101 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0301 E3_H06W0003 +arc: W1_H02W0401 V02S0401 +arc: W1_H02W0501 S1_V02N0501 +arc: A1 H00L0000 +arc: A4 V00T0000 +arc: B1 S1_V02N0101 +arc: B4 N1_V02S0701 +arc: C0 H00L0000 +arc: C1 H00L0100 +arc: C4 V02S0001 +arc: CE1 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V02S0001 +arc: D1 N1_V02S0001 +arc: D4 V00B0000 +arc: E1_H01E0001 F4 +arc: F0 F5A_SLICE +arc: F4 F5C_SLICE +arc: H00L0000 Q2 +arc: M0 V00B0100 +arc: M2 V00T0100 +arc: M4 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 Q0 +arc: V01S0000 F4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 1111000000000000 +word: SLICEA.K1.INIT 1011111000111100 +word: SLICEC.K0.INIT 1110101010101010 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R64C10:PLC2 +arc: E1_H02E0101 N1_V01S0100 +arc: E1_H02E0401 V01N0001 +arc: H00L0000 V02S0201 +arc: N1_V02N0001 H02E0001 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0301 H02W0301 +arc: V00B0000 H02W0601 +arc: V00T0000 E1_H02W0201 +arc: V00T0100 V02N0701 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0301 H01E0101 +arc: W1_H02W0501 E1_H02W0501 +arc: W1_H02W0601 H01E0001 +arc: W3_H06W0103 E3_H06W0003 +arc: A0 H00L0000 +arc: A1 H00L0000 +arc: A2 V00B0000 +arc: A3 V00B0000 +arc: A4 V00B0000 +arc: A5 V00T0000 +arc: A6 H00R0000 +arc: A7 H00R0000 +arc: B0 V02S0301 +arc: B1 V02S0301 +arc: B2 V02S0301 +arc: B3 V02S0301 +arc: B4 H02W0101 +arc: B5 H00L0000 +arc: B6 N1_V01S0000 +arc: B7 N1_V01S0000 +arc: C0 N1_V01S0100 +arc: C1 N1_V01S0100 +arc: C2 N1_V01S0100 +arc: C3 N1_V01S0100 +arc: C4 V01N0101 +arc: C5 N1_V02S0001 +arc: C6 V02S0001 +arc: C7 V02N0201 +arc: CLK1 G_HPBX0000 +arc: D0 H00R0000 +arc: D1 H00R0000 +arc: D2 H00R0000 +arc: D3 H00R0000 +arc: D4 S1_V02N0601 +arc: D5 V00B0000 +arc: D6 E1_H01W0100 +arc: D7 S1_V02N0401 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 F7 +arc: E1_H02E0001 F0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q6 +arc: LSR0 E1_H02W0501 +arc: LSR1 V00T0100 +arc: MUXCLK3 CLK1 +arc: MUXLSR3 LSR0 +arc: N1_V02N0401 Q6 +word: SLICED.K0.INIT 0001010110101010 +word: SLICED.K1.INIT 1000001001000001 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R64C11:PLC2 +arc: H00L0000 H02E0001 +arc: H00R0100 H02W0701 +arc: N1_V02N0101 H06W0103 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0601 S3_V06N0303 +arc: N3_V06N0103 S3_V06N0103 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0601 V01N0001 +arc: V00T0100 V02S0701 +arc: W1_H02W0101 V02N0101 +arc: W1_H02W0301 V06S0003 +arc: W1_H02W0601 V02S0601 +arc: A0 H00R0000 +arc: A6 H00R0000 +arc: B0 H02W0301 +arc: B3 H02E0101 +arc: B5 H00R0000 +arc: B6 H02W0301 +arc: C0 H00L0000 +arc: C2 H02E0401 +arc: C3 V02N0401 +arc: C4 E1_H02W0601 +arc: C5 H02E0401 +arc: C6 H01E0001 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D2 V01S0100 +arc: D3 H01E0101 +arc: D4 H00R0100 +arc: D5 H00L0100 +arc: D6 S1_V02N0401 +arc: E3_H06E0303 F6 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0100 F3 +arc: H00R0000 Q4 +arc: H01W0000 F2 +arc: H01W0100 F5 +arc: LSR0 H02W0501 +arc: M0 V00T0100 +arc: M6 E1_H02W0401 +arc: MUXCLK2 CLK0 +arc: MUXLSR2 LSR0 +arc: N1_V01N0001 F5 +arc: N1_V01N0101 F0 +arc: N1_V02N0001 F2 +arc: N3_V06N0303 F6 +arc: S1_V02S0701 F5 +arc: V01S0100 F3 +word: SLICEC.K0.INIT 0000000011110000 +word: SLICEC.K1.INIT 1100000011001100 +word: SLICEB.K0.INIT 1111000000000000 +word: SLICEB.K1.INIT 1100001100000000 +word: SLICEA.K0.INIT 0001001101011111 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0001001101011111 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R64C12:PLC2 +arc: E1_H02E0101 S1_V02N0101 +arc: E1_H02E0201 N1_V01S0000 +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0501 N1_V01S0100 +arc: H00R0000 N1_V02S0601 +arc: H00R0100 E1_H02W0501 +arc: N1_V02N0601 N1_V01S0000 +arc: S1_V02S0401 V01N0001 +arc: S1_V02S0501 V01N0101 +arc: V00T0000 N1_V02S0601 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 V02S0001 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0301 V01N0101 +arc: W1_H02W0501 V02N0501 +arc: W1_H02W0701 N1_V02S0701 +arc: C7 V00T0000 +arc: CE0 H00R0000 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: D7 H00R0100 +arc: E1_H01E0101 Q7 +arc: E1_H02E0001 Q0 +arc: F7 F7_SLICE +arc: LSR0 V00T0100 +arc: LSR1 V00T0100 +arc: M0 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR3 LSR1 +arc: N3_V06N0203 Q7 +arc: V01S0100 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000111111111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET SET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 + +.tile R64C13:PLC2 +arc: E1_H02E0101 H01E0101 +arc: E1_H02E0501 E1_H01W0100 +arc: H00L0000 V02S0201 +arc: H00R0000 H02W0601 +arc: H00R0100 V02S0701 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 E1_H02W0401 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0003 N3_V06S0003 +arc: V00B0000 V02S0001 +arc: W1_H02W0401 E1_H02W0101 +arc: W1_H02W0601 V02N0601 +arc: A1 H02E0501 +arc: B0 V02S0301 +arc: B1 H00R0100 +arc: C0 H00R0100 +arc: C1 E1_H02W0601 +arc: D0 E1_H02W0201 +arc: D1 H00R0000 +arc: D2 E1_H02W0201 +arc: D3 E1_H02W0201 +arc: D4 E1_H02W0201 +arc: D5 E1_H02W0201 +arc: D6 E1_H02W0201 +arc: D7 E1_H02W0201 +arc: E1_H01E0001 F3 +arc: E1_H02E0301 F3 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: M0 V00B0000 +arc: M1 H00L0000 +arc: M2 V00B0000 +arc: M3 H02W0201 +arc: M4 V00B0000 +arc: M5 H00L0000 +arc: M6 V00B0000 +arc: S1_V02S0101 F3 +word: SLICEA.K0.INIT 0000000011111100 +word: SLICEA.K1.INIT 0000111000001000 +word: SLICEB.K0.INIT 0000000011111111 +word: SLICEB.K1.INIT 0000000011111111 +word: SLICEC.K0.INIT 0000000011111111 +word: SLICEC.K1.INIT 0000000011111111 +word: SLICED.K0.INIT 0000000011111111 +word: SLICED.K1.INIT 0000000011111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 + +.tile R64C14:PLC2 +arc: E1_H02E0001 E1_H01W0000 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0201 N3_V06S0103 +arc: H00L0000 N1_V02S0201 +arc: H00L0100 V02N0301 +arc: H00R0100 N1_V02S0501 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0701 H02W0701 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0203 S1_V02N0401 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0201 W1_H02E0201 +arc: S1_V02S0401 V01N0001 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 H01E0001 +arc: S1_V02S0701 N1_V02S0601 +arc: V00B0000 V02S0001 +arc: V00T0000 S1_V02N0601 +arc: V00T0100 W1_H02E0101 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 S1_V02N0601 +arc: A1 H02E0501 +arc: A2 N1_V02S0701 +arc: A5 V02S0301 +arc: A7 Q7 +arc: B1 H02E0101 +arc: B2 S1_V02N0101 +arc: B5 V02S0701 +arc: B7 H02E0101 +arc: C1 H00L0000 +arc: C2 H00R0100 +arc: C3 H02W0401 +arc: C5 V00T0000 +arc: C7 E1_H01E0101 +arc: CE1 V02S0201 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D1 V01S0100 +arc: D2 N1_V02S0001 +arc: D3 V01S0100 +arc: D5 V00B0000 +arc: D7 H00L0100 +arc: E1_H01E0001 F2 +arc: E1_H01E0101 F0 +arc: E3_H06E0103 Q2 +arc: F0 F5A_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F4 +arc: H01W0100 Q7 +arc: M0 E1_H02W0601 +arc: M4 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F4 +arc: N1_V02N0501 Q7 +arc: N1_V02N0601 F4 +arc: N3_V06N0103 Q2 +arc: S1_V02S0301 F3 +arc: V01S0000 F4 +arc: V01S0100 F4 +arc: W1_H02W0201 F2 +arc: W1_H02W0701 F7 +arc: W3_H06W0103 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1011100010001000 +word: SLICEB.K0.INIT 1000000000000000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1000101110111011 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0001011100010001 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R64C15:PLC2 +arc: E1_H02E0301 H01E0101 +arc: H00L0100 W1_H02E0301 +arc: H00R0000 V02S0601 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 W1_H02E0301 +arc: N3_V06N0103 S3_V06N0103 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0601 E1_H02W0601 +arc: V00T0000 H02W0201 +arc: V00T0100 H02E0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0401 H01E0001 +arc: W1_H02W0501 N1_V01S0100 +arc: W1_H02W0601 S1_V02N0601 +arc: A1 V01N0101 +arc: A6 H00R0000 +arc: B1 V01N0001 +arc: B4 N1_V01S0000 +arc: B5 H02E0101 +arc: B6 V02N0701 +arc: C0 N1_V01N0001 +arc: C1 H00R0100 +arc: C3 N1_V01S0100 +arc: C4 V02S0201 +arc: C5 V00T0000 +arc: C6 E1_H02W0401 +arc: C7 V00T0100 +arc: CE0 V02N0201 +arc: CE2 E1_H02W0101 +arc: CE3 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 H02E0001 +arc: D1 V01S0100 +arc: D3 S1_V02N0201 +arc: D4 H02W0001 +arc: D5 H00L0100 +arc: D6 V02S0401 +arc: D7 H01W0000 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 F6 +arc: E1_H02E0401 F6 +arc: E1_H02E0501 Q7 +arc: E1_H02E0601 F6 +arc: E1_H02E0701 F5 +arc: E3_H06E0003 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 Q7 +arc: H01W0000 F6 +arc: H01W0100 Q4 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q4 +arc: N1_V01N0101 Q0 +arc: N3_V06N0003 Q0 +arc: S1_V02S0201 Q0 +arc: S1_V02S0401 Q4 +arc: S1_V02S0501 F5 +arc: V01S0000 F3 +arc: V01S0100 Q0 +arc: W1_H02W0001 F0 +arc: W1_H02W0101 F1 +arc: W1_H02W0301 F3 +arc: W1_H02W0701 F7 +arc: W3_H06W0003 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000111100000000 +word: SLICED.K0.INIT 1000000000000000 +word: SLICED.K1.INIT 0000111100000000 +word: SLICEC.K0.INIT 1100111111000000 +word: SLICEC.K1.INIT 1100110011000000 +word: SLICEA.K0.INIT 1111000000000000 +word: SLICEA.K1.INIT 0000000000001110 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 + +.tile R64C16:PLC2 +arc: E1_H02E0701 N3_V06S0203 +arc: E3_H06E0103 N3_V06S0103 +arc: H00L0100 V02N0301 +arc: H00R0100 V02S0701 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0301 S3_V06N0003 +arc: S1_V02S0001 N1_V01S0000 +arc: S1_V02S0101 H01E0101 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 H02E0601 +arc: V00B0100 N1_V02S0301 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0201 V02N0201 +arc: W1_H02W0601 H01E0001 +arc: A1 H02E0701 +arc: B1 H02E0301 +arc: C1 V02N0401 +arc: D1 V00B0100 +arc: E1_H01E0001 F3 +arc: E1_H01E0101 F3 +arc: E1_H02E0101 F3 +arc: E3_H06E0003 F3 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: M0 H02E0601 +arc: M1 H00R0100 +arc: M2 H02E0601 +arc: M3 H00L0100 +arc: M4 H02E0401 +arc: M5 H00R0100 +arc: M6 H02E0401 +arc: N1_V01N0101 F3 +arc: N3_V06N0003 F3 +arc: V01S0000 F3 +arc: W3_H06W0003 F3 +word: SLICEA.K0.INIT 1111111111111111 +word: SLICEA.K1.INIT 1110110010100000 +word: SLICEB.K0.INIT 1111111111111111 +word: SLICEB.K1.INIT 1111111111111111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1111111111111111 +word: SLICED.K1.INIT 1111111111111111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R64C17:PLC2 +arc: E1_H02E0601 H01E0001 +arc: H00L0000 V02S0001 +arc: H00L0100 V02S0301 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0501 N1_V01S0100 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 H02E0701 +arc: N3_V06N0003 S3_V06N0303 +arc: N3_V06N0103 H06E0103 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0601 H01E0001 +arc: V00T0100 V02S0501 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0401 V01N0001 +arc: W1_H02W0601 W3_H06E0303 +arc: B3 H00R0000 +arc: C3 H00L0100 +arc: CE1 H02E0101 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D3 V00T0100 +arc: F3 F3_SLICE +arc: H00R0000 Q6 +arc: M6 V00T0100 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q3 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1100111111000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 + +.tile R64C18:PLC2 +arc: H00R0000 V02S0601 +arc: H00R0100 V02S0701 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0601 N1_V01S0000 +arc: N3_V06N0003 H06E0003 +arc: N3_V06N0103 S3_V06N0103 +arc: S1_V02S0601 H02E0601 +arc: V00B0000 V02N0001 +arc: V00B0100 V02S0101 +arc: V00T0000 H02W0201 +arc: B3 H01W0100 +arc: B5 H00L0000 +arc: C3 H00R0100 +arc: C5 V00B0100 +arc: CE0 H00R0000 +arc: CE1 W1_H02E0101 +arc: CE2 W1_H02E0101 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D3 V02N0001 +arc: D5 H02W0201 +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: H00L0000 Q0 +arc: H01W0000 Q3 +arc: H01W0100 Q6 +arc: M0 V00T0000 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V01S0000 Q5 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1100111111000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1100111111000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 + +.tile R64C19:PLC2 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0601 S3_V06N0303 +arc: N1_V02N0701 N3_V06S0203 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0301 H06E0003 +arc: S1_V02S0701 N1_V01S0100 +arc: W1_H02W0101 S3_V06N0103 +arc: W1_H02W0201 N1_V02S0201 +arc: CE3 H02W0101 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0401 Q6 +arc: M6 H02W0401 +arc: MUXCLK3 CLK0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R64C20:PLC2 +arc: E1_H02E0601 N1_V01S0000 +arc: E1_H02E0701 E1_H01W0100 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0601 N1_V01S0000 +arc: S1_V02S0201 N1_V02S0201 +arc: S1_V02S0401 H02W0401 +arc: V00B0100 S1_V02N0101 +arc: W1_H02W0101 V02S0101 +arc: W1_H02W0401 S1_V02N0401 +arc: CE0 V02S0201 +arc: CE1 V02S0201 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q0 +arc: E1_H02E0401 Q4 +arc: M0 H02W0601 +arc: M2 V00B0100 +arc: M4 V00T0000 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: V00T0000 Q2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R64C21:PLC2 +arc: H00R0000 V02S0401 +arc: N1_V02N0201 S3_V06N0103 +arc: N1_V02N0301 W3_H06E0003 +arc: N1_V02N0401 S3_V06N0203 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0401 H02W0401 +arc: V00B0000 S1_V02N0001 +arc: V00T0000 V02S0401 +arc: W1_H02W0401 N3_V06S0203 +arc: W1_H02W0601 V01N0001 +arc: A4 H02E0701 +arc: A7 H00L0000 +arc: B4 H00R0000 +arc: B7 V00T0000 +arc: C4 E1_H02W0401 +arc: C5 H02E0401 +arc: C7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: D4 H00R0100 +arc: D5 V02S0601 +arc: D7 E1_H02W0201 +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H00R0100 F5 +arc: H01W0100 Q0 +arc: M0 H01E0001 +arc: M2 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 Q2 +arc: N1_V01N0101 Q2 +arc: N1_V02N0501 F7 +arc: N1_V02N0601 F4 +arc: V00B0100 F5 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0100110111001111 +word: SLICEC.K0.INIT 1001001101101100 +word: SLICEC.K1.INIT 1111000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R64C22:PLC2 +arc: E1_H02E0201 E3_H06W0103 +arc: E1_H02E0301 E3_H06W0003 +arc: E1_H02E0401 V06S0203 +arc: E1_H02E0601 N3_V06S0303 +arc: E3_H06E0203 W3_H06E0103 +arc: H00L0000 V02S0001 +arc: H00R0000 W1_H02E0601 +arc: H00R0100 H02W0501 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0501 S3_V06N0303 +arc: N1_V02N0701 E1_H01W0100 +arc: N3_V06N0003 W3_H06E0003 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0203 +arc: S1_V02S0201 N3_V06S0103 +arc: V00B0000 V02S0201 +arc: V00B0100 V02N0301 +arc: W1_H02W0401 N3_V06S0203 +arc: A2 E1_H02W0501 +arc: A3 V02N0501 +arc: A4 E1_H02W0501 +arc: A6 V02N0101 +arc: B2 H00R0100 +arc: B3 H00L0000 +arc: B4 V02S0501 +arc: B6 V02S0701 +arc: C2 N1_V01N0001 +arc: C3 V02N0401 +arc: C4 H02W0401 +arc: C5 V00B0100 +arc: C6 H02W0401 +arc: C7 V01N0101 +arc: CE0 H00R0000 +arc: CLK0 G_HPBX0000 +arc: D2 H02W0201 +arc: D3 H02W0201 +arc: D4 H01W0000 +arc: D5 V00B0000 +arc: D6 V02N0401 +arc: D7 V00B0000 +arc: E1_H02E0001 Q0 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F5 +arc: M0 E1_H02W0601 +arc: MUXCLK0 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V02N0001 F2 +arc: N1_V02N0101 F3 +arc: N1_V02N0601 F6 +arc: N3_V06N0203 F4 +arc: V01S0000 F7 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 1001001101101100 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1001001101101100 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0100110111001111 +word: SLICEB.K1.INIT 0100110111001111 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ + +.tile R64C23:PLC2 +arc: E1_H02E0101 V02S0101 +arc: H00L0000 V02N0001 +arc: H00L0100 V02S0101 +arc: H00R0000 H02E0401 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 S1_V02N0201 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 E1_H01W0100 +arc: N3_V06N0003 S3_V06N0003 +arc: V00B0000 H02W0401 +arc: V00T0000 S1_V02N0401 +arc: V00T0100 V02S0701 +arc: W1_H02W0201 N1_V01S0000 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0501 V06S0303 +arc: A2 V00B0000 +arc: A3 V01N0101 +arc: A4 E1_H01W0000 +arc: A6 H00L0000 +arc: B2 H00R0000 +arc: B3 H02E0301 +arc: B4 H00R0000 +arc: B6 H02E0301 +arc: C2 N1_V01S0100 +arc: C3 N1_V01S0100 +arc: C4 V00T0100 +arc: C5 V00T0000 +arc: C6 V00T0100 +arc: C7 E1_H02W0401 +arc: CE0 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D2 V00B0100 +arc: D3 V01S0100 +arc: D4 V02S0601 +arc: D5 V02S0401 +arc: D6 H00R0100 +arc: D7 V02S0401 +arc: E1_H01E0101 Q0 +arc: E3_H06E0003 Q0 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: H01W0000 F6 +arc: H01W0100 F2 +arc: M0 H02E0601 +arc: MUXCLK0 CLK0 +arc: N1_V01N0001 F5 +arc: N1_V02N0101 F3 +arc: N3_V06N0203 F4 +arc: V00B0100 F5 +arc: V01S0100 F7 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 1001001101101100 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0100110011011111 +word: SLICEB.K1.INIT 0100110011011111 +word: SLICED.K0.INIT 1001001101101100 +word: SLICED.K1.INIT 1111000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 + +.tile R64C24:PLC2 +arc: E1_H02E0001 W1_H02E0001 +arc: E1_H02E0401 V01N0001 +arc: E3_H06E0303 H01E0101 +arc: N1_V02N0001 E3_H06W0003 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 S1_V02N0201 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0601 E1_H01W0000 +arc: N3_V06N0003 S3_V06N0303 +arc: S1_V02S0401 N3_V06S0203 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0100 V02S0101 +arc: V00T0100 V02N0501 +arc: W1_H02W0501 V01N0101 +arc: W1_H02W0601 N3_V06S0303 +arc: CE0 H02E0101 +arc: CE2 H02E0101 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q6 +arc: H01W0100 Q2 +arc: M0 V00B0100 +arc: M2 V00T0000 +arc: M4 V00T0100 +arc: M6 N1_V01N0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: V00T0000 Q0 +arc: W1_H02W0401 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R64C25:PLC2 +arc: E1_H02E0701 N3_V06S0203 +arc: H00R0000 E1_H02W0601 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 V01N0101 +arc: N3_V06N0103 S3_V06N0003 +arc: S1_V02S0501 N3_V06S0303 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 V02N0101 +arc: V00T0000 H02E0001 +arc: W1_H02W0401 E1_H02W0101 +arc: B5 H00R0000 +arc: B6 H02W0301 +arc: B7 V00B0000 +arc: C5 V00T0000 +arc: C6 H02E0401 +arc: C7 V00T0000 +arc: CE0 V02S0201 +arc: CLK0 G_HPBX0000 +arc: D5 S1_V02N0401 +arc: D6 V02N0401 +arc: D7 S1_V02N0401 +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q2 +arc: M0 V00B0100 +arc: M2 N1_V01N0001 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: N1_V01N0001 Q0 +arc: N1_V01N0101 F5 +arc: N1_V02N0501 F7 +arc: N3_V06N0303 F6 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1100001100111100 +word: SLICED.K0.INIT 1100001100111100 +word: SLICED.K1.INIT 1111110011000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.A1MUX 1 + +.tile R64C26:PLC2 +arc: E1_H02E0001 V06S0003 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0401 N3_V06S0203 +arc: H00L0000 V02S0201 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 E1_H01W0000 +arc: V00B0100 V02S0101 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0301 E1_H01W0100 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q0 +arc: M0 V00B0000 +arc: M2 N1_V01N0001 +arc: M4 V00T0100 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q4 +arc: V00B0000 Q6 +arc: W1_H02W0201 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R64C27:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0601 V06S0303 +arc: H00L0000 H02E0201 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 H06E0303 +arc: N1_V02N0701 N1_V01S0100 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0301 V01N0101 +arc: V00B0000 V02S0201 +arc: V00B0100 W1_H02E0701 +arc: W1_H02W0101 E1_H02W0001 +arc: CE0 V02N0201 +arc: CE1 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q4 +arc: H01W0100 Q2 +arc: M0 V00B0000 +arc: M2 V00B0100 +arc: M4 V00T0000 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: N1_V02N0001 Q2 +arc: V00T0000 Q0 +arc: W1_H02W0601 Q6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R64C28:PLC2 +arc: E1_H01E0101 W3_H06E0203 +arc: H00R0100 H02W0701 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0701 N1_V01S0100 +arc: V00B0000 V02S0001 +arc: V00B0100 H02W0501 +arc: V00T0100 H02E0101 +arc: W3_H06W0003 V06S0003 +arc: CE0 H00R0100 +arc: CE2 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0001 Q6 +arc: H01W0000 Q4 +arc: M0 V00T0100 +arc: M2 H02W0601 +arc: M4 V00B0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: N1_V02N0201 Q0 +arc: W3_H06W0103 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R64C29:PLC2 +arc: E1_H02E0601 E1_H01W0000 +arc: H00R0100 V02S0701 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0101 H01E0101 +arc: S1_V02S0301 V01N0101 +arc: V00B0100 S1_V02N0301 +arc: W1_H02W0501 N3_V06S0303 +arc: W1_H02W0601 H01E0001 +arc: W1_H02W0701 V02S0701 +arc: CE1 H00R0100 +arc: CE3 H00R0100 +arc: CLK0 G_HPBX0000 +arc: M0 V00B0000 +arc: M2 W1_H02E0601 +arc: M4 V00T0000 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V00B0000 Q6 +arc: V00T0000 Q2 +arc: W1_H02W0001 Q0 +arc: W3_H06W0203 Q4 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R64C2:PLC2 +arc: E1_H02E0201 E1_H01W0000 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0701 N1_V01S0100 +arc: V00B0100 E1_H02W0701 +arc: V00T0100 V02N0701 +arc: A1 E1_H01E0001 +arc: B1 H01W0100 +arc: C0 E1_H02W0401 +arc: C1 H00L0000 +arc: C3 H02W0401 +arc: C5 E1_H02W0601 +arc: C7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0001 +arc: D1 E1_H02W0001 +arc: D3 V02N0201 +arc: D5 V02N0401 +arc: D7 V02N0601 +arc: E1_H01E0001 Q7 +arc: E1_H01E0101 Q0 +arc: E1_H02E0301 Q3 +arc: E1_H02E0701 Q5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H01W0100 Q3 +arc: LSR0 V00T0100 +arc: LSR1 V00T0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR0 +arc: S1_V02S0101 F1 +arc: V01S0000 F1 +arc: V01S0100 Q5 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000011110000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000011110000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000011110000 +word: SLICEA.K0.INIT 0000000011110000 +word: SLICEA.K1.INIT 0000000000000001 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 + +.tile R64C30:PLC2 +arc: H00R0100 V02S0701 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0301 N1_V01S0100 +arc: V00B0000 V02N0001 +arc: V00B0100 N1_V02S0101 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q4 +arc: M0 H02E0601 +arc: M2 V00B0000 +arc: M4 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N3_V06N0103 Q2 +arc: W3_H06W0003 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R64C31:PLC2 +arc: N3_V06N0003 S3_V06N0003 + +.tile R64C3:PLC2 +arc: E1_H02E0401 H01E0001 +arc: E1_H02E0501 H01E0101 +arc: E1_H02E0701 V02N0701 +arc: H00L0100 V02S0101 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0401 E1_H01W0000 +arc: S1_V02S0501 N1_V01S0100 +arc: V00T0000 V02N0601 +arc: V00T0100 V02N0501 +arc: W1_H02W0401 E1_H02W0101 +arc: A0 V02S0701 +arc: A2 V02S0501 +arc: A3 H00L0100 +arc: A4 H02E0701 +arc: A5 V00T0000 +arc: A6 S1_V02N0101 +arc: A7 V00T0100 +arc: E1_H01E0001 F4 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F7 +arc: N1_V01N0001 F5 +arc: N1_V02N0201 F2 +arc: S1_V02S0601 F6 +arc: V01S0000 F3 +word: SLICED.K0.INIT 0101101010101010 +word: SLICED.K1.INIT 0101101010101010 +word: SLICEC.K0.INIT 0101101010101010 +word: SLICEC.K1.INIT 0101101010101010 +word: SLICEB.K0.INIT 1001011010101010 +word: SLICEB.K1.INIT 0101101010101010 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R64C4:PLC2 +arc: E1_H02E0201 N1_V01S0000 +arc: E1_H02E0301 W1_H02E0301 +arc: E1_H02E0401 N1_V01S0000 +arc: E1_H02E0501 V01N0101 +arc: E3_H06E0003 N1_V01S0000 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 N1_V01S0100 +arc: N3_V06N0103 E3_H06W0103 +arc: V00B0000 V02N0001 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0001 E1_H02W0501 +arc: W1_H02W0401 E1_H01W0000 +arc: W1_H02W0601 H01E0001 +arc: W1_H02W0701 E1_H01W0100 +arc: A0 V02N0701 +arc: A1 V02N0501 +arc: A2 V00B0000 +arc: A3 H02E0701 +arc: A4 V00T0100 +arc: A5 H02W0701 +arc: A6 S1_V02N0101 +arc: A7 S1_V02N0301 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F2 +arc: N1_V01N0001 F1 +arc: S1_V02S0001 F0 +arc: S1_V02S0401 F4 +arc: S1_V02S0501 F5 +arc: S1_V02S0601 F6 +arc: S1_V02S0701 F7 +arc: V01S0100 F3 +word: SLICED.K0.INIT 0101101010101010 +word: SLICED.K1.INIT 0101101010101010 +word: SLICEC.K0.INIT 0101101010101010 +word: SLICEC.K1.INIT 0101101010101010 +word: SLICEA.K0.INIT 0101101010101010 +word: SLICEA.K1.INIT 0101101010101010 +word: SLICEB.K0.INIT 0101101010101010 +word: SLICEB.K1.INIT 0101101010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R64C5:PLC2 +arc: E1_H02E0301 N1_V02S0301 +arc: E1_H02E0701 V02S0701 +arc: H00L0100 H02E0301 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0201 V01N0001 +arc: N1_V02N0701 N1_V01S0100 +arc: S1_V02S0301 V01N0101 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0601 N1_V01S0000 +arc: V00B0000 W1_H02E0401 +arc: W1_H02W0701 S1_V02N0701 +arc: A0 V02N0501 +arc: A1 H02E0501 +arc: A2 V00B0000 +arc: A3 H00L0100 +arc: A4 W1_H02E0501 +arc: A5 E1_H01W0000 +arc: E1_H01E0001 F5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: H01W0000 F4 +arc: H01W0100 F2 +arc: S1_V02S0001 F0 +arc: V01S0000 F1 +arc: W1_H02W0101 F3 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000001010 +word: SLICEC.K0.INIT 0101101010101010 +word: SLICEC.K1.INIT 0101101010101010 +word: SLICEB.K0.INIT 0101101010101010 +word: SLICEB.K1.INIT 0101101010101010 +word: SLICEA.K0.INIT 0101101010101010 +word: SLICEA.K1.INIT 0101101010101010 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R64C6:PLC2 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0501 E1_H01W0100 +arc: H00L0000 W1_H02E0201 +arc: H00L0100 H02E0301 +arc: H00R0000 V02S0401 +arc: H00R0100 V02S0701 +arc: N1_V02N0001 E1_H02W0001 +arc: S1_V02S0201 N1_V01S0000 +arc: S1_V02S0401 W1_H02E0401 +arc: V00B0000 V02N0201 +arc: V00B0100 H02E0701 +arc: V00T0000 V02S0601 +arc: A3 H00L0100 +arc: A5 V00T0100 +arc: B0 H00R0100 +arc: B2 H01W0100 +arc: B3 H00L0000 +arc: B5 V02S0701 +arc: C0 V02S0601 +arc: C1 H00R0100 +arc: C2 H00L0100 +arc: C3 V02S0401 +arc: C5 V00T0000 +arc: C7 H01E0001 +arc: CLK0 G_HPBX0000 +arc: D0 V00B0100 +arc: D1 N1_V01S0000 +arc: D2 H00R0000 +arc: D3 V01S0100 +arc: D5 V02S0401 +arc: D7 V00B0000 +arc: E1_H01E0001 Q3 +arc: E1_H01E0101 F1 +arc: E1_H02E0001 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q7 +arc: H01W0100 Q3 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 F2 +arc: N1_V02N0201 F2 +arc: N1_V02N0301 Q3 +arc: V00T0100 Q3 +arc: V01S0000 Q0 +arc: V01S0100 F5 +arc: W1_H02W0001 F0 +arc: W1_H02W0501 Q7 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0010101010101010 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000011110000 +word: SLICEB.K0.INIT 0011000011111111 +word: SLICEB.K1.INIT 1111111100100000 +word: SLICEA.K0.INIT 1100000000000000 +word: SLICEA.K1.INIT 0000111100000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R64C7:PLC2 +arc: E1_H02E0001 N1_V01S0000 +arc: H00R0100 W1_H02E0701 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0601 H01E0001 +arc: N1_V02N0701 H01E0101 +arc: V00B0000 V02S0201 +arc: V00T0100 V02S0501 +arc: W1_H02W0501 E1_H02W0501 +arc: A0 V02N0501 +arc: A1 V02N0501 +arc: A2 V02N0501 +arc: A3 V02N0501 +arc: A4 H02W0701 +arc: A5 N1_V01N0101 +arc: A6 H00R0000 +arc: A7 Q7 +arc: B0 V02N0301 +arc: B1 V02N0101 +arc: B2 V02N0301 +arc: B3 V02N0101 +arc: B4 H02W0301 +arc: B5 S1_V02N0701 +arc: B6 V02N0701 +arc: B7 V02N0701 +arc: C0 E1_H02W0401 +arc: C1 E1_H02W0401 +arc: C2 E1_H02W0401 +arc: C3 E1_H02W0401 +arc: C4 H02W0601 +arc: C5 V01N0101 +arc: C6 V00T0100 +arc: C7 V00T0100 +arc: CE3 H00R0100 +arc: CLK1 G_HPBX0000 +arc: D0 E1_H02W0201 +arc: D1 E1_H02W0201 +arc: D2 E1_H02W0201 +arc: D3 E1_H02W0201 +arc: D4 V02N0601 +arc: D5 N1_V02S0601 +arc: D6 V02S0601 +arc: D7 V02S0601 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q6 +arc: H01W0100 Q6 +arc: LSR1 V00B0000 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: MUXCLK3 CLK1 +arc: N1_V01N0101 Q7 +arc: N1_V02N0101 Q1 +arc: N1_V02N0201 Q0 +arc: N3_V06N0003 Q3 +arc: N3_V06N0103 Q2 +word: SLICED.K0.INIT 1110001010101010 +word: SLICED.K1.INIT 1011100010101010 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R64C8:PLC2 +arc: E1_H02E0101 E1_H01W0100 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 E1_H01W0000 +arc: E1_H02E0701 V06S0203 +arc: H00R0000 H02W0601 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0101 E3_H06W0103 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 N1_V01S0100 +arc: N1_V02N0701 S1_V02N0601 +arc: N3_V06N0203 S3_V06N0203 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0601 E1_H01W0000 +arc: S1_V02S0701 E1_H01W0100 +arc: V00B0000 V02S0001 +arc: V00T0000 W1_H02E0201 +arc: W1_H02W0301 E1_H01W0100 +arc: W1_H02W0601 E1_H02W0301 +arc: W1_H02W0701 S1_V02N0701 +arc: A0 V02N0501 +arc: A1 V02N0501 +arc: A2 V02N0501 +arc: A3 V02N0501 +arc: A4 S1_V02N0101 +arc: A5 W1_H02E0501 +arc: A7 E1_H01W0000 +arc: B0 V02N0301 +arc: B1 V02N0101 +arc: B2 V02N0101 +arc: B3 V02N0301 +arc: B4 H00R0000 +arc: B5 V02N0701 +arc: B6 N1_V01S0000 +arc: B7 E1_H02W0301 +arc: C0 H02W0401 +arc: C1 H02W0401 +arc: C2 H02W0401 +arc: C3 H02W0401 +arc: C4 E1_H02W0601 +arc: C5 V00T0000 +arc: C6 V02N0201 +arc: C7 V01N0101 +arc: CLK1 G_HPBX0000 +arc: D0 H02W0201 +arc: D1 H02W0201 +arc: D2 H02W0201 +arc: D3 H02W0201 +arc: D4 V02N0601 +arc: D5 H02E0001 +arc: D6 H00R0100 +arc: D7 V01N0001 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 F7 +arc: H01W0000 Q1 +arc: H01W0100 Q3 +arc: LSR1 V00B0000 +arc: MUXCLK0 CLK1 +arc: MUXCLK1 CLK1 +arc: N1_V01N0001 F7 +arc: N1_V02N0401 F6 +arc: N1_V02N0601 F6 +arc: N3_V06N0103 Q2 +arc: W1_H02W0001 Q0 +word: SLICED.K0.INIT 0011001111110000 +word: SLICED.K1.INIT 0000100100000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R64C9:PLC2 +arc: H00L0100 V02N0301 +arc: H00R0100 H02E0701 +arc: N1_V02N0601 S1_V02N0601 +arc: N3_V06N0003 E3_H06W0003 +arc: N3_V06N0203 S3_V06N0103 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0501 H02E0501 +arc: S1_V02S0601 N1_V01S0000 +arc: V00B0100 V02N0301 +arc: V00T0100 V02S0501 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0501 V02N0501 +arc: A2 H02E0501 +arc: A5 H02E0501 +arc: A7 H00R0000 +arc: B2 H02W0301 +arc: B3 H02W0301 +arc: B4 H02E0101 +arc: B5 H00L0000 +arc: B6 V00B0000 +arc: B7 V00T0000 +arc: C0 H00L0000 +arc: C1 H00L0000 +arc: C2 S1_V02N0401 +arc: C3 H02E0601 +arc: C4 S1_V02N0201 +arc: C5 S1_V02N0001 +arc: C6 V00B0100 +arc: C7 V00T0100 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V01S0000 +arc: D1 N1_V01S0000 +arc: D2 V02S0201 +arc: D3 V01S0100 +arc: D4 V02S0601 +arc: D5 H00L0100 +arc: D6 V02N0401 +arc: D7 H00R0100 +arc: E1_H01E0001 Q2 +arc: E1_H01E0101 Q2 +arc: E1_H02E0001 Q0 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H00R0000 Q6 +arc: H01W0000 Q6 +arc: H01W0100 Q4 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 F7 +arc: N1_V01N0101 F5 +arc: N1_V02N0301 F3 +arc: S1_V02S0001 Q0 +arc: S1_V02S0401 Q4 +arc: V00B0000 Q6 +arc: V00T0000 Q2 +arc: V01S0000 F1 +arc: V01S0100 F5 +arc: W1_H02W0001 Q0 +arc: W1_H02W0201 F0 +arc: W1_H02W0401 F6 +arc: W1_H02W0601 Q4 +word: SLICED.K0.INIT 0011110011001100 +word: SLICED.K1.INIT 1001011000000000 +word: SLICEA.K0.INIT 0000111111110000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0011110011001100 +word: SLICEC.K1.INIT 0101110100000100 +word: SLICEB.K0.INIT 0110110011001100 +word: SLICEB.K1.INIT 1111001100110000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 + +.tile R65C10:PLC2 +arc: E1_H02E0301 E1_H01W0100 +arc: E1_H02E0701 E1_H01W0100 +arc: H00L0100 H02W0301 +arc: H00R0000 V02N0401 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N1_V02S0701 +arc: V00B0100 V02S0301 +arc: V00T0100 H02W0301 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0701 H01E0101 +arc: W3_H06W0303 N3_V06S0303 +arc: A0 H00L0000 +arc: A3 E1_H01E0001 +arc: A4 E1_H02W0701 +arc: A6 H00R0000 +arc: B0 H01W0100 +arc: B2 H00R0000 +arc: B3 H00R0000 +arc: B4 V00B0100 +arc: B6 V00T0000 +arc: B7 V00T0000 +arc: C0 H00L0100 +arc: C1 H00L0100 +arc: C2 H00L0100 +arc: C3 H00L0100 +arc: C4 V02S0201 +arc: C5 V00T0100 +arc: C6 V00T0100 +arc: C7 V00T0100 +arc: CE2 S1_V02N0601 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 V01S0100 +arc: D2 V01S0100 +arc: D3 V01S0100 +arc: D4 H02W0001 +arc: D5 V00B0000 +arc: D6 V00B0000 +arc: D7 V00B0000 +arc: E1_H01E0001 Q6 +arc: E1_H01E0101 Q2 +arc: E1_H02E0401 Q6 +arc: F0 F5A_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F5D_SLICE +arc: H00L0000 Q2 +arc: H01W0000 Q0 +arc: H01W0100 Q6 +arc: LSR1 E1_H02W0501 +arc: M0 H02E0601 +arc: M2 V00T0000 +arc: M6 N1_V01N0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 Q5 +arc: N1_V01N0101 Q6 +arc: N1_V02N0201 Q2 +arc: N1_V02N0701 F5 +arc: S1_V02S0601 F4 +arc: V00B0000 F4 +arc: V00T0000 Q2 +arc: V01S0000 Q0 +arc: V01S0100 F4 +word: SLICEC.K0.INIT 0000001000001010 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 1100000000000000 +word: SLICEB.K1.INIT 0001111111111111 +word: SLICED.K0.INIT 1000000000000000 +word: SLICED.K1.INIT 0011111111111111 +word: SLICEA.K0.INIT 0111000000000000 +word: SLICEA.K1.INIT 0000111111111111 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R65C11:PLC2 +arc: E1_H02E0001 S1_V02N0001 +arc: E1_H02E0301 S1_V02N0301 +arc: E1_H02E0601 V01N0001 +arc: E1_H02E0701 E1_H01W0100 +arc: H00R0000 N1_V02S0601 +arc: N1_V02N0101 H01E0101 +arc: N1_V02N0401 H02E0401 +arc: S1_V02S0001 E1_H02W0001 +arc: S1_V02S0401 H02W0401 +arc: S1_V02S0601 E1_H01W0000 +arc: S3_V06S0203 H06W0203 +arc: V00T0000 H02W0201 +arc: V00T0100 V02N0501 +arc: W1_H02W0001 N1_V02S0001 +arc: W1_H02W0301 N1_V02S0301 +arc: A0 H00R0000 +arc: A1 H00R0000 +arc: A2 V02N0701 +arc: A3 V02N0701 +arc: A4 V00T0100 +arc: A5 E1_H02W0501 +arc: A6 H02E0701 +arc: A7 Q7 +arc: B0 H00R0100 +arc: B1 H00R0100 +arc: B2 H00R0100 +arc: B3 H00R0100 +arc: B4 S1_V02N0701 +arc: B5 V02N0701 +arc: B6 V00B0000 +arc: B7 V00B0000 +arc: C0 N1_V01N0001 +arc: C1 N1_V01N0001 +arc: C2 N1_V01N0001 +arc: C3 V02S0601 +arc: C4 V02N0201 +arc: C5 V02S0001 +arc: C6 V00T0000 +arc: C7 V00T0000 +arc: CLK1 G_HPBX0000 +arc: D0 H02W0201 +arc: D1 H02W0201 +arc: D2 H02W0201 +arc: D3 H02W0201 +arc: D4 V01N0001 +arc: D5 N1_V02S0601 +arc: D6 E1_H02W0201 +arc: D7 E1_H02W0201 +arc: E1_H02E0401 Q6 +arc: E1_H02E0501 Q7 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0100 Q7 +arc: H01W0100 Q7 +arc: LSR0 H02W0501 +arc: LSR1 H02W0301 +arc: MUXCLK3 CLK1 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 Q6 +arc: N1_V02N0201 F0 +arc: V00B0000 Q6 +arc: V01S0000 F1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0110010011001100 +word: SLICED.K1.INIT 0101001010101010 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEC.MODE RAMW +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE DPRAM +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK1.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE DPRAM +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.WREMUX WRE +enum: CLK1.CLKMUX CLK + +.tile R65C12:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0401 V02S0401 +arc: E1_H02E0501 E3_H06W0303 +arc: H00R0000 E1_H02W0401 +arc: H00R0100 E1_H02W0501 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0601 E3_H06W0303 +arc: N1_V02N0701 E3_H06W0203 +arc: N3_V06N0203 E3_H06W0203 +arc: S1_V02S0701 E3_H06W0203 +arc: V00B0000 V02N0201 +arc: V00T0000 E1_H02W0001 +arc: V00T0100 V02S0501 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0501 E3_H06W0303 +arc: W1_H02W0701 N1_V01S0100 +arc: W3_H06W0003 E3_H06W0303 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E3_H06W0203 +arc: A0 H00R0000 +arc: A2 H02E0701 +arc: A7 H02E0501 +arc: B0 H02E0301 +arc: B2 W1_H02E0301 +arc: B3 H01W0100 +arc: B4 V02N0501 +arc: B5 V01S0000 +arc: B7 V00B0000 +arc: C0 E1_H01W0000 +arc: C1 H00L0000 +arc: C2 H02E0401 +arc: C3 H02E0601 +arc: C4 V00T0000 +arc: C5 V00T0100 +arc: C7 H02E0401 +arc: CE0 S1_V02N0201 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V02S0001 +arc: D1 E1_H02W0001 +arc: D2 V01S0100 +arc: D3 V00B0100 +arc: D4 H00R0100 +arc: D5 H00L0100 +arc: D7 V02N0401 +arc: E1_H01E0001 F5 +arc: E1_H01E0101 F3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0000 F0 +arc: H00L0100 F3 +arc: H01W0000 F0 +arc: H01W0100 Q2 +arc: LSR0 E1_H02W0301 +arc: LSR1 E1_H02W0301 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR1 +arc: N1_V01N0001 Q1 +arc: N1_V01N0101 Q4 +arc: S1_V02S0001 F0 +arc: S1_V02S0501 F5 +arc: V00B0100 F7 +arc: V01S0000 Q1 +arc: V01S0100 F5 +arc: W1_H02W0201 Q2 +arc: W1_H02W0301 F1 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1000001001000001 +word: SLICEA.K0.INIT 0000001000001010 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0000000011000000 +word: SLICEC.K1.INIT 1100000011110000 +word: SLICEB.K0.INIT 0001010110101010 +word: SLICEB.K1.INIT 1100001100000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 + +.tile R65C13:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0301 V06S0003 +arc: E1_H02E0701 E1_H01W0100 +arc: H00L0100 V02S0101 +arc: N1_V02N0601 N3_V06S0303 +arc: S3_V06S0003 N3_V06S0003 +arc: V00B0000 V02N0001 +arc: V00B0100 V02S0301 +arc: V00T0000 W1_H02E0001 +arc: V00T0100 S1_V02N0501 +arc: W1_H02W0001 E1_H01W0000 +arc: W1_H02W0201 H01E0001 +arc: W1_H02W0501 E1_H02W0401 +arc: A5 V00T0000 +arc: B0 H01W0100 +arc: B5 V00B0100 +arc: C0 F4 +arc: C1 H02E0401 +arc: C5 E1_H01E0101 +arc: C7 V00T0100 +arc: CE1 H00R0000 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0001 +arc: D1 H01E0101 +arc: D5 H00L0100 +arc: D7 V00B0000 +arc: E1_H01E0001 Q2 +arc: E1_H01E0101 F1 +arc: E1_H02E0401 F4 +arc: E1_H02E0601 Q4 +arc: E3_H06E0203 F4 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: H00L0000 F0 +arc: H00R0000 F4 +arc: H01W0000 F1 +arc: H01W0100 Q4 +arc: LSR0 H02E0501 +arc: LSR1 H02E0501 +arc: M2 N1_V01N0001 +arc: M4 H02W0401 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR1 +arc: N1_V01N0001 F4 +arc: N1_V02N0401 F4 +arc: S3_V06S0203 F7 +arc: V01S0000 Q4 +arc: V01S0100 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 1111110011110000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 1111111111111111 +word: SLICEC.K1.INIT 0000111100101111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET SET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET SET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R65C14:PLC2 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0601 E1_H01W0000 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0000 V02S0001 +arc: H00L0100 H02W0301 +arc: H00R0000 H02E0601 +arc: H00R0100 V02S0501 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0301 E1_H02W0301 +arc: S1_V02S0101 W1_H02E0101 +arc: V00B0000 H02E0601 +arc: V00B0100 V02S0301 +arc: V00T0100 E1_H02W0301 +arc: W1_H02W0301 E1_H02W0201 +arc: W1_H02W0401 H01E0001 +arc: A3 H02E0701 +arc: A4 V00B0000 +arc: A6 H00R0000 +arc: B1 E1_H01W0100 +arc: B2 E1_H02W0101 +arc: B3 E1_H02W0101 +arc: B4 V02S0701 +arc: B6 V02N0701 +arc: C0 H00L0000 +arc: C1 V02S0601 +arc: C2 H00R0100 +arc: C3 H00R0100 +arc: C4 E1_H01E0101 +arc: C5 V02S0201 +arc: C6 E1_H01E0101 +arc: C7 S1_V02N0001 +arc: CE0 V02N0201 +arc: CLK0 G_HPBX0000 +arc: D0 V00T0100 +arc: D1 F0 +arc: D2 V00B0100 +arc: D3 V00B0100 +arc: D4 F2 +arc: D5 H02E0001 +arc: D6 F2 +arc: D7 H00L0100 +arc: E1_H01E0001 F1 +arc: E1_H01E0101 F7 +arc: E1_H02E0001 F2 +arc: E1_H02E0301 Q1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F2 +arc: H01W0100 Q1 +arc: M2 V00T0000 +arc: MUXCLK0 CLK0 +arc: N1_V01N0101 F2 +arc: N3_V06N0203 F4 +arc: N3_V06N0303 F5 +arc: S1_V02S0201 F2 +arc: S1_V02S0501 F7 +arc: S3_V06S0203 F4 +arc: S3_V06S0303 F6 +arc: V00T0000 F0 +arc: V01S0000 F2 +arc: V01S0100 F2 +arc: W1_H02W0001 F2 +arc: W1_H02W0501 F7 +arc: W3_H06W0203 F4 +word: SLICEC.K0.INIT 1000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1000000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 1111000000000000 +word: SLICEA.K1.INIT 1111110011001100 +word: SLICEB.K0.INIT 1111001100000000 +word: SLICEB.K1.INIT 1111101110111011 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 + +.tile R65C15:PLC2 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0401 V02S0401 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0000 H02E0001 +arc: H00L0100 H02E0301 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 H02E0601 +arc: N1_V02N0701 E1_H02W0701 +arc: N3_V06N0203 H06W0203 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0100 H02W0701 +arc: V00T0000 V02S0601 +arc: V00T0100 W1_H02E0301 +arc: W1_H02W0301 N1_V02S0301 +arc: W1_H02W0401 H01E0001 +arc: W3_H06W0303 N3_V06S0303 +arc: A1 V02S0501 +arc: A5 Q5 +arc: B1 V02S0101 +arc: B4 H00R0000 +arc: B5 H00R0000 +arc: C1 W1_H02E0401 +arc: C4 V00T0000 +arc: C5 V00T0000 +arc: C6 W1_H02E0401 +arc: C7 E1_H01E0101 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D1 V00T0100 +arc: D4 H01W0000 +arc: D5 H01W0000 +arc: D6 H02E0001 +arc: D7 H00L0100 +arc: E1_H01E0001 F7 +arc: E1_H01E0101 Q6 +arc: E1_H02E0601 Q4 +arc: E1_H02E0701 Q5 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q4 +arc: H01W0000 F1 +arc: H01W0100 F7 +arc: LSR0 V00B0100 +arc: M0 H02W0601 +arc: M1 E1_H02W0001 +arc: M2 H02W0601 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 Q5 +arc: N1_V01N0101 Q4 +arc: V01S0000 Q6 +word: SLICEC.K0.INIT 0011110011000011 +word: SLICEC.K1.INIT 0110101010101001 +word: SLICED.K0.INIT 0000111111111111 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 1111111111111111 +word: SLICEA.K1.INIT 1110110010100000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R65C16:PLC2 +arc: E1_H02E0301 E1_H01W0100 +arc: E3_H06E0003 N3_V06S0003 +arc: H00L0000 V02S0001 +arc: H00L0100 V02S0101 +arc: H00R0000 H02E0601 +arc: H00R0100 H02E0701 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0601 N1_V01S0000 +arc: S1_V02S0001 N1_V02S0501 +arc: S3_V06S0003 N3_V06S0003 +arc: V00B0000 H02E0601 +arc: V00T0100 V02S0501 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 E1_H02W0701 +arc: W1_H02W0601 V02S0601 +arc: W1_H02W0701 E1_H02W0701 +arc: A0 H02E0701 +arc: A1 H02E0701 +arc: B0 V00B0000 +arc: B1 V00B0000 +arc: B2 H00R0100 +arc: C0 H02E0401 +arc: C1 H02E0401 +arc: C2 H02E0601 +arc: C3 H00R0100 +arc: D0 H02E0201 +arc: D1 H02E0201 +arc: D2 H02E0201 +arc: D3 H00R0000 +arc: E1_H01E0001 F3 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F3 FXB_SLICE +arc: F4 F5C_SLICE +arc: F5 FXC_SLICE +arc: F6 F5D_SLICE +arc: M0 V00T0100 +arc: M1 H00L0100 +arc: M2 V00T0100 +arc: M3 H00L0000 +arc: M4 V00T0100 +arc: M5 H00L0100 +arc: M6 V00T0100 +arc: N1_V02N0301 F3 +arc: W1_H02W0301 F3 +word: SLICEA.K0.INIT 0111000100010001 +word: SLICEA.K1.INIT 0001000100010111 +word: SLICEB.K0.INIT 0000001100111111 +word: SLICEB.K1.INIT 0000000000001111 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R65C17:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: H00L0000 N1_V02S0001 +arc: H00L0100 N1_V02S0301 +arc: H00R0000 V02S0601 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 N1_V02S0301 +arc: V00T0000 H02W0001 +arc: W1_H02W0001 H01E0001 +arc: W1_H02W0701 E1_H01W0100 +arc: A6 N1_V01N0101 +arc: B1 V02N0101 +arc: B6 V01S0000 +arc: B7 H02E0301 +arc: C1 H00L0100 +arc: C6 E1_H02W0601 +arc: C7 V00B0100 +arc: CE0 H00R0000 +arc: CE1 H00L0000 +arc: CE2 H00L0000 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D1 N1_V02S0201 +arc: D6 V02S0401 +arc: D7 H02W0001 +arc: F1 F1_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 Q4 +arc: M2 V00B0000 +arc: M4 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 F6 +arc: N1_V01N0101 Q7 +arc: V01S0000 Q1 +arc: V01S0100 Q2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1100111111000000 +word: SLICED.K0.INIT 0000000000000001 +word: SLICED.K1.INIT 1100111111000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 + +.tile R65C18:PLC2 +arc: H00L0000 H02E0001 +arc: H00L0100 N1_V02S0101 +arc: H00R0000 V02S0601 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0401 E3_H06W0203 +arc: N1_V02N0501 N3_V06S0303 +arc: N3_V06N0203 E3_H06W0203 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 H06E0303 +arc: V00B0000 N1_V02S0001 +arc: V00B0100 N1_V02S0101 +arc: V00T0000 H02W0201 +arc: V00T0100 H02W0301 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0701 E3_H06W0203 +arc: W3_H06W0203 E3_H06W0203 +arc: W3_H06W0303 E3_H06W0203 +arc: A6 N1_V01N0101 +arc: B1 H02W0101 +arc: B6 N1_V01S0000 +arc: B7 V02N0701 +arc: C1 H00L0100 +arc: C6 V00T0100 +arc: C7 V00B0100 +arc: CE0 H00R0000 +arc: CE1 H00L0000 +arc: CE2 H00L0000 +arc: CE3 V02S0601 +arc: CLK0 G_HPBX0000 +arc: D1 N1_V02S0001 +arc: D6 E1_H01W0100 +arc: D7 H02W0201 +arc: E1_H01E0001 Q1 +arc: E1_H01E0101 Q2 +arc: F1 F1_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F6 +arc: M2 V00B0000 +arc: M4 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q7 +arc: V01S0100 Q4 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1100111111000000 +word: SLICED.K0.INIT 0000000000000001 +word: SLICED.K1.INIT 1100111111000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 + +.tile R65C19:PLC2 +arc: E1_H02E0601 E1_H01W0000 +arc: H00L0000 N1_V02S0201 +arc: H00L0100 V02S0301 +arc: H00R0100 V02S0701 +arc: S1_V02S0301 H06E0003 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 V02S0001 +arc: V00T0000 E1_H02W0001 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 N3_V06S0003 +arc: W1_H02W0101 H01E0101 +arc: W1_H02W0201 S1_V02N0201 +arc: W1_H02W0601 H01E0001 +arc: B1 V02N0101 +arc: B3 H00R0000 +arc: C1 H00R0100 +arc: C3 H00R0100 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: D1 V02N0001 +arc: D3 E1_H02W0001 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: H00R0000 Q6 +arc: H01W0100 Q1 +arc: M4 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V01S0100 Q4 +arc: W1_H02W0301 Q3 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1100111111000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1100111111000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R65C20:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: E3_H06E0003 W3_H06E0303 +arc: H00L0100 N1_V02S0101 +arc: S1_V02S0701 N3_V06S0203 +arc: V00B0000 V02S0201 +arc: V00T0000 V02S0401 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0001 Q0 +arc: E1_H02E0601 Q6 +arc: H01W0000 Q4 +arc: M0 H02E0601 +arc: M4 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R65C21:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0501 N3_V06S0303 +arc: E1_H02E0701 S1_V02N0701 +arc: E3_H06E0303 W3_H06E0303 +arc: H00L0000 V02N0201 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0501 E1_H01W0100 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0203 H06W0203 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 W3_H06E0303 +arc: V00T0000 V02S0401 +arc: V00T0100 H02E0101 +arc: W1_H02W0001 S1_V02N0001 +arc: CE1 V02N0201 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: M0 H02E0601 +arc: M2 V00T0000 +arc: M4 V00T0100 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0201 Q0 +arc: N3_V06N0303 Q6 +arc: V00B0000 Q4 +arc: V01S0000 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R65C22:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0301 E3_H06W0003 +arc: E1_H02E0501 N3_V06S0303 +arc: E3_H06E0103 W3_H06E0003 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0101 V01N0101 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 V01N0101 +arc: N1_V02N0601 E3_H06W0303 +arc: S1_V02S0101 N1_V02S0101 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0103 N3_V06S0003 +arc: V00B0100 H02E0701 +arc: V00T0100 H02E0101 +arc: CE0 H02W0101 +arc: CE2 H02W0101 +arc: CLK0 G_HPBX0000 +arc: H01W0100 Q2 +arc: M0 V00T0100 +arc: M2 V00B0000 +arc: M4 V00B0100 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: V00B0000 Q4 +arc: V00T0000 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R65C23:PLC2 +arc: E1_H02E0401 E1_H01W0000 +arc: H00L0100 N1_V02S0101 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0501 V01N0101 +arc: S1_V02S0301 N3_V06S0003 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0203 H01E0001 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0100 W1_H02E0501 +arc: V00T0100 S1_V02N0701 +arc: W1_H02W0101 N1_V02S0101 +arc: CE0 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: H01W0100 Q4 +arc: M0 V00T0100 +arc: M2 V00T0000 +arc: M4 V00B0000 +arc: M6 V00B0100 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: N1_V02N0001 Q2 +arc: V00B0000 Q6 +arc: V00T0000 Q0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R65C24:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0601 V06S0303 +arc: H00L0000 H02W0201 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0401 E1_H02W0401 +arc: N1_V02N0501 W1_H02E0501 +arc: N3_V06N0103 E3_H06W0103 +arc: S1_V02S0401 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 E1_H02W0701 +arc: W1_H02W0001 E1_H01W0000 +arc: W3_H06W0203 E3_H06W0103 +arc: CE1 H00L0000 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q4 +arc: M0 V00T0000 +arc: M2 V00B0100 +arc: M4 V00B0000 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: N3_V06N0003 Q0 +arc: V00T0000 Q2 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R65C25:PLC2 +arc: E1_H02E0301 N3_V06S0003 +arc: H00L0000 H02W0201 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0401 S1_V02N0401 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N1_V02S0701 +arc: V00B0000 V02N0001 +arc: V00T0100 V02S0501 +arc: W1_H02W0201 N1_V02S0201 +arc: CE1 H00L0000 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q6 +arc: M0 V00T0100 +arc: M2 H02E0601 +arc: M4 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: N1_V02N0001 Q0 +arc: V00T0000 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R65C26:PLC2 +arc: E1_H02E0201 N1_V02S0201 +arc: E3_H06E0003 W3_H06E0003 +arc: S1_V02S0201 N1_V02S0201 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 +arc: V00T0000 H02W0001 +arc: V00T0100 H02E0301 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0701 N3_V06S0203 +arc: CE0 N1_V02S0201 +arc: CE1 N1_V02S0201 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q0 +arc: M0 V00T0100 +arc: M2 V00T0000 +arc: M4 E1_H01E0101 +arc: M6 N1_V01N0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: N1_V02N0601 Q4 +arc: W1_H02W0401 Q6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R65C27:PLC2 +arc: E1_H02E0501 N1_V02S0501 +arc: E1_H02E0601 V06S0303 +arc: H00L0000 H02E0201 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0501 E1_H01W0100 +arc: N3_V06N0103 H06W0103 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 V02S0001 +arc: V00B0100 V02S0301 +arc: W1_H02W0001 N1_V02S0001 +arc: CE1 H00L0000 +arc: CE3 H00L0000 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q6 +arc: M0 V00T0000 +arc: M2 V00B0100 +arc: M4 E1_H01E0101 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0601 Q4 +arc: V00T0000 Q2 +arc: W1_H02W0201 Q0 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R65C28:PLC2 +arc: H00R0100 H02W0701 +arc: S1_V02S0201 W3_H06E0103 +arc: S3_V06S0103 W3_H06E0103 +arc: V00B0000 H02E0601 +arc: V00B0100 H02E0501 +arc: CE1 H00R0100 +arc: CE2 H00R0100 +arc: CLK0 G_HPBX0000 +arc: H01W0100 Q0 +arc: M0 V00T0000 +arc: M2 V00B0100 +arc: M4 V00B0000 +arc: M6 N1_V01N0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q4 +arc: V00T0000 Q2 +arc: W3_H06W0003 Q0 +arc: W3_H06W0303 Q6 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R65C29:PLC2 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0601 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0100 V02S0301 +arc: W1_H02W0701 N1_V02S0701 +arc: CLK0 G_HPBX0000 +arc: E1_H02E0601 Q6 +arc: M4 V00B0100 +arc: M6 V00B0000 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: V00B0000 Q4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R65C2:PLC2 +arc: E1_H02E0401 N1_V01S0000 +arc: E1_H02E0701 N1_V01S0100 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0601 E1_H01W0000 +arc: N1_V02N0701 E1_H02W0701 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 V02S0101 +arc: V00T0000 H02E0201 +arc: V00T0100 N1_V02S0701 +arc: A5 H02W0501 +arc: B5 E1_H02W0101 +arc: C5 V00B0100 +arc: CLK0 G_HPBX0000 +arc: D5 E1_H01W0100 +arc: E1_H02E0601 Q6 +arc: F4 F5C_SLICE +arc: LSR0 V00B0000 +arc: LSR1 V00B0000 +arc: M2 V00T0000 +arc: M4 V00T0100 +arc: M6 N1_V01N0101 +arc: MUXCLK1 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR1 LSR0 +arc: MUXLSR3 LSR1 +arc: N1_V01N0101 Q2 +arc: N3_V06N0203 F4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 + +.tile R65C30:PLC2 +arc: N1_V01N0001 S3_V06N0003 +arc: N1_V02N0001 S3_V06N0003 +arc: N1_V02N0301 N3_V06S0003 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0000 H02E0601 +arc: CLK0 G_HPBX0000 +arc: LSR1 V00B0000 +arc: M2 N1_V01N0001 +arc: MUXCLK1 CLK0 +arc: MUXLSR1 LSR1 +arc: N3_V06N0103 Q2 +arc: W3_H06W0103 Q2 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET SET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R65C31:PLC2 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 + +.tile R65C32:PLC2 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V01S0100 N3_V06S0303 + +.tile R65C33:PLC2 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 + +.tile R65C34:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile R65C35:PLC2 +arc: S3_V06S0003 N3_V06S0003 + +.tile R65C36:PLC2 +arc: S3_V06S0003 N3_V06S0003 + +.tile R65C39:PLC2 +arc: S3_V06S0103 N3_V06S0003 + +.tile R65C3:PLC2 +arc: E1_H02E0501 E1_H01W0100 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0701 E1_H01W0100 +arc: N3_V06N0303 H06W0303 +arc: S1_V02S0501 H06W0303 +arc: S1_V02S0601 H06W0303 +arc: S3_V06S0003 E3_H06W0003 +arc: S3_V06S0203 N3_V06S0203 +arc: V00B0100 H02E0701 +arc: V00T0000 H02W0001 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0501 E1_H02W0501 +arc: A1 E1_H02W0501 +arc: A3 E1_H01E0001 +arc: A5 V00T0100 +arc: B1 H02W0101 +arc: B3 V01N0001 +arc: B5 V02S0501 +arc: C0 V02S0401 +arc: C1 H02E0401 +arc: C3 E1_H01W0000 +arc: C4 N1_V02S0001 +arc: C5 V00B0100 +arc: C7 V02S0201 +arc: CLK0 G_HPBX0000 +arc: D0 V01S0100 +arc: D1 F2 +arc: D3 V02N0201 +arc: D4 H01W0000 +arc: D5 V00B0000 +arc: D7 H01W0000 +arc: E1_H01E0001 Q7 +arc: E1_H01E0101 F1 +arc: E1_H02E0001 Q0 +arc: E1_H02E0101 F1 +arc: E1_H02E0301 F1 +arc: E3_H06E0103 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F5B_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 F1 +arc: H01W0100 F2 +arc: LSR0 V00T0000 +arc: LSR1 H02W0501 +arc: M2 N1_V01N0001 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 F5 +arc: N1_V02N0101 F1 +arc: N1_V02N0301 Q1 +arc: N1_V02N0501 Q7 +arc: N1_V02N0601 Q4 +arc: V00B0000 Q4 +arc: V01S0000 F1 +arc: V01S0100 F1 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111111111110000 +word: SLICEA.K0.INIT 0000000011110000 +word: SLICEA.K1.INIT 1000000000000000 +word: SLICEC.K0.INIT 1111111111110000 +word: SLICEC.K1.INIT 0000000000000001 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000001 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R65C42:PLC2 +arc: S3_V06S0003 N3_V06S0003 + +.tile R65C45:PLC2 +arc: S3_V06S0103 N3_V06S0003 + +.tile R65C4:PLC2 +arc: H00L0100 H02E0301 +arc: H00R0100 V02N0701 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 V01N0101 +arc: S1_V02S0101 H02E0101 +arc: S3_V06S0203 N3_V06S0103 +arc: V00B0000 E1_H02W0601 +arc: V00B0100 H02W0701 +arc: V00T0100 V02S0701 +arc: W1_H02W0001 E1_H02W0001 +arc: W1_H02W0501 E1_H02W0401 +arc: W1_H02W0601 E1_H02W0601 +arc: W1_H02W0701 E1_H02W0601 +arc: A1 E1_H01E0001 +arc: A3 H02E0501 +arc: B1 V00T0000 +arc: B3 V01N0001 +arc: C0 H02W0601 +arc: C1 S1_V02N0401 +arc: C2 N1_V01S0100 +arc: C3 H00R0100 +arc: C5 N1_V02S0201 +arc: C7 V00T0100 +arc: CLK0 G_HPBX0000 +arc: D0 H01E0101 +arc: D1 V00B0100 +arc: D2 H01E0101 +arc: D3 H02E0001 +arc: D5 H00L0100 +arc: D7 H00L0100 +arc: E1_H01E0001 Q7 +arc: E1_H01E0101 F1 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q5 +arc: H01W0100 Q2 +arc: LSR1 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0101 Q0 +arc: N1_V02N0501 Q5 +arc: V00T0000 Q0 +arc: V01S0100 Q7 +arc: W1_H02W0101 F3 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000011110000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000011110000 +word: SLICEB.K0.INIT 0000000011110000 +word: SLICEB.K1.INIT 0000000000000001 +word: SLICEA.K0.INIT 0000000011110000 +word: SLICEA.K1.INIT 0000000000000001 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 1 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 + +.tile R65C5:PLC2 +arc: E1_H02E0401 W1_H02E0101 +arc: E1_H02E0501 V01N0101 +arc: E1_H02E0601 V02S0601 +arc: H00L0100 W1_H02E0101 +arc: N1_V02N0401 E1_H01W0000 +arc: N1_V02N0701 E1_H01W0100 +arc: S1_V02S0201 E1_H01W0000 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0701 E1_H01W0100 +arc: V00B0000 H02W0601 +arc: V00T0000 V02S0401 +arc: V00T0100 H02W0301 +arc: W1_H02W0501 H01E0101 +arc: W1_H02W0601 N1_V01S0000 +arc: A1 E1_H01E0001 +arc: B1 H01W0100 +arc: C0 V02S0401 +arc: C1 H00L0000 +arc: C3 V02S0401 +arc: C5 V00T0000 +arc: C7 V02S0001 +arc: CE0 H02W0101 +arc: CE1 H02W0101 +arc: CE2 H02W0101 +arc: CLK0 G_HPBX0000 +arc: D0 S1_V02N0001 +arc: D1 V00T0100 +arc: D3 V02N0001 +arc: D5 V01N0001 +arc: D7 H00L0100 +arc: E1_H01E0001 Q5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H01W0100 Q3 +arc: LSR1 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR1 +arc: N1_V01N0001 F1 +arc: N1_V01N0101 Q5 +arc: N1_V02N0501 Q7 +arc: S1_V02S0301 Q3 +arc: V01S0000 Q0 +arc: W1_H02W0701 Q7 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000111100000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000111100000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000011110000 +word: SLICEA.K0.INIT 0000111100000000 +word: SLICEA.K1.INIT 0000010000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 + +.tile R65C6:PLC2 +arc: E1_H01E0101 E3_H06W0203 +arc: E1_H02E0201 V02S0201 +arc: E1_H02E0501 E1_H01W0100 +arc: E1_H02E0601 N1_V02S0601 +arc: E1_H02E0701 N1_V02S0701 +arc: H00R0000 H02E0401 +arc: H00R0100 H02E0501 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0501 E3_H06W0303 +arc: N1_V02N0601 N1_V01S0000 +arc: N3_V06N0303 E3_H06W0303 +arc: V00T0000 V02S0401 +arc: W1_H02W0001 E3_H06W0003 +arc: W1_H02W0401 E3_H06W0203 +arc: W1_H02W0601 E3_H06W0303 +arc: W3_H06W0303 E3_H06W0303 +arc: B1 V00T0000 +arc: C0 V02S0401 +arc: C1 H02E0601 +arc: C3 V02S0401 +arc: C5 V00T0000 +arc: C7 V00T0000 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 H00L0100 +arc: CE3 H00L0100 +arc: CLK0 G_HPBX0000 +arc: D0 V02N0201 +arc: D1 H00R0000 +arc: D3 V02N0001 +arc: D5 V02N0401 +arc: D7 H00R0100 +arc: E1_H01E0001 Q5 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H00L0100 F1 +arc: H01W0000 Q0 +arc: H01W0100 Q7 +arc: LSR0 H02W0501 +arc: LSR1 H02W0501 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR1 LSR0 +arc: MUXLSR2 LSR0 +arc: MUXLSR3 LSR1 +arc: S1_V02S0101 Q3 +arc: W1_H02W0101 F1 +arc: W1_H02W0301 Q3 +arc: W1_H02W0501 Q5 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000111100000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000111100000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000111100000000 +word: SLICEA.K0.INIT 0000111100000000 +word: SLICEA.K1.INIT 1100111111001100 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R65C7:PLC2 +arc: E1_H02E0001 V02N0001 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0301 H01E0101 +arc: H00L0100 N1_V02S0101 +arc: H00R0000 H02E0601 +arc: H00R0100 H02E0701 +arc: N1_V02N0101 E1_H01W0100 +arc: N1_V02N0301 E1_H01W0100 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0501 E1_H02W0501 +arc: N1_V02N0601 E1_H02W0601 +arc: N1_V02N0701 H02E0701 +arc: S1_V02S0501 H06W0303 +arc: S3_V06S0103 N3_V06S0103 +arc: V00B0000 H02E0601 +arc: V00B0100 H02E0701 +arc: V00T0100 N1_V02S0501 +arc: W1_H02W0501 H01E0101 +arc: A1 E1_H01E0001 +arc: A3 H02E0501 +arc: A5 Q5 +arc: B1 H00R0100 +arc: B3 H00R0100 +arc: B5 V00B0100 +arc: C1 S1_V02N0601 +arc: C3 S1_V02N0601 +arc: C5 V00T0100 +arc: CE0 H00L0100 +arc: CE1 H00L0100 +arc: CE2 V02N0601 +arc: CLK0 G_HPBX0000 +arc: D1 H02E0201 +arc: D3 H00R0000 +arc: D5 V00B0000 +arc: E1_H01E0001 Q1 +arc: E1_H02E0701 Q5 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: H01W0100 Q3 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: N1_V01N0101 Q1 +arc: V01S0100 Q3 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1110001010101010 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1011100010101010 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1011100010101010 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX CE +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 + +.tile R65C8:PLC2 +arc: E1_H02E0601 V02S0601 +arc: H00R0100 V02S0701 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0501 H02W0501 +arc: N1_V02N0601 H02W0601 +arc: N1_V02N0701 H02E0701 +arc: S3_V06S0203 E3_H06W0203 +arc: V00B0100 V02S0101 +arc: A0 H00L0100 +arc: A2 H00L0100 +arc: A4 E1_H02W0701 +arc: A5 E1_H02W0701 +arc: B0 V00T0000 +arc: B2 E1_H01W0100 +arc: B4 V02S0701 +arc: B5 V02S0701 +arc: C0 V02S0601 +arc: C1 H00L0000 +arc: C2 H00R0100 +arc: C3 H00L0100 +arc: C4 V02S0201 +arc: C5 V02S0201 +arc: C7 V00B0100 +arc: CLK0 G_HPBX0000 +arc: D0 H02W0001 +arc: D1 H00R0000 +arc: D2 V02S0001 +arc: D3 H02W0001 +arc: D4 H02W0201 +arc: D5 H02W0201 +arc: D7 H02E0001 +arc: E1_H01E0101 F0 +arc: E1_H02E0101 F1 +arc: E1_H02E0301 Q3 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: F7 F7_SLICE +arc: H00L0000 Q0 +arc: H00L0100 Q3 +arc: H00R0000 Q4 +arc: H01W0000 Q3 +arc: H01W0100 F3 +arc: LSR0 H02E0301 +arc: LSR1 H02E0301 +arc: M4 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: N1_V01N0001 F2 +arc: N1_V01N0101 F1 +arc: N1_V02N0101 F3 +arc: N1_V02N0301 F3 +arc: V00B0000 Q4 +arc: V00T0000 Q0 +arc: V01S0000 F7 +arc: V01S0100 Q4 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0110110011001100 +word: SLICEA.K1.INIT 0000111111110000 +word: SLICEB.K0.INIT 1000010000100001 +word: SLICEB.K1.INIT 0000111111110000 +word: SLICEC.K0.INIT 1000000000000000 +word: SLICEC.K1.INIT 0111111111111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R65C9:PLC2 +arc: E1_H02E0601 E1_H01W0000 +arc: H00L0000 W1_H02E0201 +arc: H00L0100 H02E0101 +arc: N1_V02N0101 H02E0101 +arc: N1_V02N0301 H02E0301 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 H06W0303 +arc: N1_V02N0701 H06W0203 +arc: N3_V06N0303 H06W0303 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 E3_H06W0303 +arc: V00B0000 H02E0601 +arc: V00B0100 V02S0301 +arc: V00T0100 H02E0301 +arc: W1_H02W0001 N1_V01S0000 +arc: W1_H02W0201 N1_V02S0201 +arc: W1_H02W0501 H01E0101 +arc: W3_H06W0003 E3_H06W0303 +arc: A0 H00L0000 +arc: A1 H00L0000 +arc: A4 V00T0100 +arc: A5 V00T0100 +arc: A7 H00R0000 +arc: B0 V00B0000 +arc: B1 V00B0000 +arc: B4 V02S0501 +arc: B5 V02S0501 +arc: B7 H02E0301 +arc: C0 H00L0100 +arc: C1 H00L0100 +arc: C4 V02S0001 +arc: C5 V02S0001 +arc: C6 Q6 +arc: C7 V02S0001 +arc: CLK0 G_HPBX0000 +arc: D0 N1_V01S0000 +arc: D1 N1_V01S0000 +arc: D4 V02S0601 +arc: D5 V02S0601 +arc: D6 N1_V02S0601 +arc: D7 V02S0401 +arc: E1_H01E0101 Q6 +arc: F0 F5A_SLICE +arc: F1 FXA_SLICE +arc: F2 F5B_SLICE +arc: F4 F5C_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H00R0000 Q6 +arc: H01W0000 Q1 +arc: H01W0100 Q6 +arc: LSR1 H02W0501 +arc: M0 V00B0100 +arc: M1 V01S0100 +arc: M2 V00B0100 +arc: M4 E1_H01E0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR1 +arc: MUXLSR3 LSR1 +arc: N3_V06N0203 F7 +arc: V01S0000 Q6 +arc: V01S0100 F4 +arc: W1_H02W0601 Q6 +word: SLICED.K0.INIT 0000111111110000 +word: SLICED.K1.INIT 1001110001100011 +word: SLICEC.K0.INIT 0110000000001001 +word: SLICEC.K1.INIT 0000100110010000 +word: SLICEA.K0.INIT 1000000100000011 +word: SLICEA.K1.INIT 0000011000001100 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 1 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R66C10:PLC2 +arc: E1_H02E0201 E1_H01W0000 +arc: E1_H02E0401 E1_H01W0000 +arc: H00L0100 E1_H02W0101 +arc: H00R0000 V02S0601 +arc: H00R0100 H02W0701 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 N1_V01S0000 +arc: S1_V02S0501 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: B1 H00R0100 +arc: C1 H00L0100 +arc: D1 H00R0000 +arc: F1 F1_SLICE +arc: V01S0000 F1 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0011110011001100 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 + +.tile R66C11:PLC2 +arc: E1_H02E0601 E1_H01W0000 +arc: H00L0000 V02S0001 +arc: H00R0000 V02S0601 +arc: N1_V02N0201 E1_H01W0000 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0501 S1_V02N0401 +arc: N1_V02N0701 S1_V02N0701 +arc: S3_V06S0003 H06W0003 +arc: V00T0000 V02S0401 +arc: W1_H02W0701 N1_V02S0701 +arc: A3 E1_H01E0001 +arc: A4 V00T0100 +arc: A5 V00T0100 +arc: B2 H01W0100 +arc: B3 H02W0301 +arc: B4 V01S0000 +arc: B5 V01S0000 +arc: C2 H02E0401 +arc: C3 H00L0000 +arc: C4 V02S0001 +arc: C5 V02S0001 +arc: CLK0 G_HPBX0000 +arc: D2 H02W0001 +arc: D3 H00R0000 +arc: D4 V02S0601 +arc: D5 V02S0601 +arc: E1_H01E0001 Q3 +arc: E1_H01E0101 F2 +arc: E1_H02E0401 Q4 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F5C_SLICE +arc: H01W0000 Q4 +arc: H01W0100 Q3 +arc: LSR0 V00T0000 +arc: LSR1 V00T0000 +arc: M4 V00B0000 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR0 +arc: N1_V01N0001 Q3 +arc: V00B0000 Q4 +arc: V00T0100 Q3 +arc: V01S0000 F2 +arc: V01S0100 Q4 +word: SLICEB.K0.INIT 0011000000000000 +word: SLICEB.K1.INIT 0001001000100010 +word: SLICEC.K0.INIT 0010000000000000 +word: SLICEC.K1.INIT 0001111111111111 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 1 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ + +.tile R66C12:PLC2 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0501 H02W0501 +arc: V00B0000 V02S0001 +arc: V00T0000 W1_H02E0201 +arc: V00T0100 V02S0701 +arc: W1_H02W0101 E1_H02W0001 +arc: W1_H02W0301 H01E0101 +arc: A0 H01E0001 +arc: B0 V00T0000 +arc: B1 V00T0000 +arc: B7 V02S0501 +arc: C0 E1_H02W0601 +arc: C1 E1_H02W0401 +arc: C7 E1_H02W0401 +arc: CLK0 G_HPBX0000 +arc: D0 V02S0001 +arc: D1 V02S0001 +arc: D7 V00B0000 +arc: F0 F5A_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q0 +arc: LSR0 V00T0100 +arc: M0 H02E0601 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR0 +arc: N1_V02N0201 Q0 +arc: V01S0000 F7 +arc: W1_H02W0001 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0011110011001100 +word: SLICEA.K0.INIT 1000000000000000 +word: SLICEA.K1.INIT 0011111111111111 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 1 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 + +.tile R66C13:PLC2 +arc: E1_H02E0401 N1_V01S0000 +arc: N1_V02N0001 E1_H02W0001 +arc: S1_V02S0701 E1_H02W0701 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 E1_H01W0100 +arc: W1_H02W0501 N1_V01S0100 +arc: C1 N1_V02S0601 +arc: D1 E1_H02W0001 +arc: F1 F1_SLICE +arc: S3_V06S0103 F1 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R66C14:PLC2 +arc: E1_H02E0201 S1_V02N0201 +arc: H00R0000 H02E0401 +arc: H00R0100 V02S0501 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 N3_V06S0203 +arc: V00B0000 V02S0201 +arc: V00B0100 V02S0101 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 V02S0501 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0401 N1_V01S0000 +arc: W1_H02W0601 N1_V01S0000 +arc: A0 H00R0000 +arc: A6 H00R0000 +arc: B0 V02N0101 +arc: B6 V00T0000 +arc: C0 N1_V01S0100 +arc: C1 H02W0601 +arc: C5 H02E0401 +arc: C6 V02S0201 +arc: C7 V00B0100 +arc: D0 V00T0100 +arc: D1 H02W0001 +arc: D5 V00B0000 +arc: D6 H00R0100 +arc: D7 H02W0001 +arc: E3_H06E0303 F6 +arc: F0 F0_SLICE +arc: F1 F1_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: H01W0100 F6 +arc: N1_V02N0401 F6 +arc: N3_V06N0003 F0 +arc: N3_V06N0303 F5 +arc: S3_V06S0003 F0 +arc: S3_V06S0103 F1 +arc: S3_V06S0203 F7 +arc: S3_V06S0303 F5 +arc: W3_H06W0003 F0 +arc: W3_H06W0303 F6 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 1000000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 1000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R66C15:PLC2 +arc: E1_H02E0001 V06S0003 +arc: E3_H06E0303 N3_V06S0303 +arc: H00L0000 H02E0201 +arc: H00R0100 V02S0701 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0601 N1_V01S0000 +arc: S1_V02S0201 V01N0001 +arc: S1_V02S0401 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 +arc: V01S0000 N3_V06S0103 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0601 V06S0303 +arc: W1_H02W0701 N3_V06S0203 +arc: C1 H00L0000 +arc: C3 H00R0100 +arc: C5 V02S0201 +arc: C7 V02S0001 +arc: D1 V02N0001 +arc: D3 V02N0001 +arc: D5 V02N0601 +arc: D7 V02N0601 +arc: E1_H01E0001 F1 +arc: E3_H06E0003 F3 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: S3_V06S0203 F7 +arc: S3_V06S0303 F5 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R66C16:PLC2 +arc: S3_V06S0003 H01E0001 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: C3 S1_V02N0401 +arc: C5 V01N0101 +arc: C7 V02S0001 +arc: D3 H02E0001 +arc: D5 H02E0001 +arc: D7 H02E0001 +arc: E3_H06E0003 F3 +arc: E3_H06E0203 F7 +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: S1_V02S0501 F5 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R66C17:PLC2 +arc: N1_V02N0101 N1_V01S0100 + +.tile R66C18:PLC2 +arc: N1_V02N0701 N1_V01S0100 +arc: S1_V02S0201 N3_V06S0103 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 H06E0303 + +.tile R66C19:PLC2 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0101 N1_V01S0100 +arc: S1_V02S0401 H06E0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0103 + +.tile R66C20:PLC2 +arc: E1_H02E0101 V06S0103 +arc: E1_H02E0201 V06S0103 +arc: E1_H02E0601 E1_H01W0000 +arc: E1_H02E0701 V02S0701 +arc: E3_H06E0303 W3_H06E0303 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0401 N3_V06S0203 +arc: S3_V06S0303 W3_H06E0303 + +.tile R66C21:PLC2 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0303 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0201 H02E0201 +arc: S1_V02S0201 N3_V06S0103 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 W3_H06E0303 +arc: V00B0000 H02W0601 +arc: V00B0100 H02E0701 +arc: V01S0100 N3_V06S0303 +arc: CE1 H02E0101 +arc: CE2 H02E0101 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q4 +arc: M0 H02E0601 +arc: M2 V00B0100 +arc: M4 V00B0000 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0601 Q6 +arc: N3_V06N0003 Q0 +arc: V00T0000 Q2 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R66C22:PLC2 +arc: E1_H02E0101 W1_H02E0101 +arc: E1_H02E0401 S1_V02N0401 +arc: E1_H02E0601 S1_V02N0601 +arc: E3_H06E0003 W3_H06E0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 N1_V02S0201 +arc: V00B0100 V02S0101 +arc: W1_H02W0601 E1_H02W0301 +arc: CE0 W1_H02E0101 +arc: CE1 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: E1_H01E0101 Q0 +arc: M0 V00B0100 +arc: M2 V00B0000 +arc: M4 E1_H01E0101 +arc: M6 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q6 +arc: N3_V06N0203 Q4 +arc: V00T0000 Q2 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R66C23:PLC2 +arc: E1_H02E0601 E1_H01W0000 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 H06E0303 +arc: CE0 H02E0101 +arc: CE3 H02E0101 +arc: CLK0 G_HPBX0000 +arc: M0 H02E0601 +arc: M2 V00T0000 +arc: M4 V00B0000 +arc: M6 H02E0401 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q2 +arc: N1_V02N0201 Q2 +arc: N1_V02N0401 Q4 +arc: V00B0000 Q6 +arc: V00T0000 Q0 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 + +.tile R66C24:PLC2 +arc: E1_H02E0401 V02S0401 +arc: N1_V02N0001 E1_H01W0000 +arc: N1_V02N0401 E1_H01W0000 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 V02N0201 +arc: V00T0000 N1_V02S0401 +arc: W1_H02W0301 N3_V06S0003 +arc: CE2 E1_H02W0101 +arc: CE3 E1_H02W0101 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q4 +arc: M0 H02E0601 +arc: M2 N1_V01N0001 +arc: M4 V00T0000 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0001 Q6 +arc: N1_V02N0201 Q2 +arc: N3_V06N0003 Q0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R66C25:PLC2 +arc: E1_H02E0601 V06S0303 +arc: N1_V02N0401 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00B0000 H02E0401 +arc: V00T0000 H02W0201 +arc: V00T0100 H02W0301 +arc: CE0 H02W0101 +arc: CE1 H02W0101 +arc: CLK0 G_HPBX0000 +arc: H01W0000 Q6 +arc: M0 V00T0100 +arc: M2 V00B0000 +arc: M4 V00T0000 +arc: M6 N1_V01N0101 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V01N0101 Q0 +arc: N1_V02N0001 Q2 +arc: N3_V06N0203 Q4 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R66C26:PLC2 +arc: H00L0000 V02S0201 +arc: N1_V02N0701 N3_V06S0203 +arc: S3_V06S0303 W3_H06E0303 +arc: W1_H02W0101 V06S0103 +arc: W1_H02W0301 V06S0003 +arc: CE0 V02S0201 +arc: CE2 H00L0000 +arc: CLK0 G_HPBX0000 +arc: M0 H02E0601 +arc: M4 H02W0401 +arc: M6 V00B0000 +arc: MUXCLK0 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: N1_V02N0401 Q6 +arc: V00B0000 Q4 +arc: W1_H02W0201 Q0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX INV +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 + +.tile R66C27:PLC2 +arc: E3_H06E0103 N3_V06S0103 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 W3_H06E0103 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 W3_H06E0303 +arc: W1_H02W0401 V06S0203 + +.tile R66C28:PLC2 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0101 N3_V06S0103 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 + +.tile R66C29:PLC2 +arc: N1_V02N0301 N3_V06S0003 + +.tile R66C30:PLC2 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 H06E0103 + +.tile R66C32:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N1_V01S0100 + +.tile R66C33:PLC2 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 + +.tile R66C34:PLC2 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R66C36:PLC2 +arc: E1_H02E0401 N3_V06S0203 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 + +.tile R66C37:PLC2 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 + +.tile R66C39:PLC2 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 + +.tile R66C3:PLC2 +arc: E1_H02E0501 V02S0501 +arc: N1_V02N0201 E1_H01W0000 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 +arc: V00T0000 V02S0601 +arc: C1 N1_V02S0601 +arc: CLK0 G_HPBX0000 +arc: D1 N1_V01S0000 +arc: F1 F1_SLICE +arc: LSR0 V00T0000 +arc: MUXCLK0 CLK0 +arc: MUXLSR0 LSR0 +arc: N1_V01N0001 Q1 +arc: N1_V02N0101 Q1 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000011110000 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 + +.tile R66C40:PLC2 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0203 + +.tile R66C42:PLC2 +arc: S3_V06S0303 N3_V06S0203 +arc: V01S0100 N3_V06S0303 + +.tile R66C43:PLC2 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 + +.tile R66C45:PLC2 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0203 + +.tile R66C4:PLC2 +arc: H00L0000 N1_V02S0001 +arc: H00L0100 V02S0101 +arc: N1_V02N0301 N1_V01S0100 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 N3_V06S0203 +arc: V00B0100 V02S0101 +arc: V00T0000 N1_V02S0401 +arc: V00T0100 N1_V02S0501 +arc: C1 N1_V02S0601 +arc: C3 H00L0000 +arc: C5 V00T0000 +arc: C7 V00T0100 +arc: CLK0 G_HPBX0000 +arc: D1 V00B0100 +arc: D3 V00B0100 +arc: D5 H00L0100 +arc: D7 H00L0100 +arc: E1_H01E0101 Q7 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: F7 F7_SLICE +arc: H01W0000 Q3 +arc: LSR0 H02E0501 +arc: LSR1 H02E0501 +arc: MUXCLK0 CLK0 +arc: MUXCLK1 CLK0 +arc: MUXCLK2 CLK0 +arc: MUXCLK3 CLK0 +arc: MUXLSR0 LSR0 +arc: MUXLSR1 LSR1 +arc: MUXLSR2 LSR1 +arc: MUXLSR3 LSR0 +arc: N1_V01N0001 Q5 +arc: N1_V01N0101 Q3 +arc: N1_V02N0101 Q1 +arc: N1_V02N0501 Q5 +arc: N1_V02N0701 Q7 +arc: V01S0000 Q1 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 0000000011110000 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000011110000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 0000000011110000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000011110000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 1 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 1 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 1 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 1 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE ASYNC +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE ASYNC +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 + +.tile R66C5:PLC2 +arc: E1_H02E0601 V06S0303 +arc: N1_V02N0701 H01E0101 +arc: V00B0000 V02S0201 +arc: A0 S1_V02N0501 +arc: B2 V02S0301 +arc: B3 N1_V02S0301 +arc: B4 N1_V01S0000 +arc: B5 V02S0701 +arc: B6 V02S0501 +arc: B7 V00B0000 +arc: E1_H01E0001 F7 +arc: E1_H02E0401 F6 +arc: F2 F2_SLICE +arc: F3 F3_SLICE +arc: F4 F4_SLICE +arc: F5 F5_SLICE +arc: F6 F6_SLICE +arc: F7 F7_SLICE +arc: N1_V01N0001 F3 +arc: N1_V01N0101 F5 +arc: N1_V02N0001 F2 +arc: V01S0000 F4 +word: SLICED.K0.INIT 1100110000000000 +word: SLICED.K1.INIT 1100110000000000 +word: SLICEC.K0.INIT 1100110000000000 +word: SLICEC.K1.INIT 1100110000000000 +word: SLICEA.K0.INIT 0000000000001010 +word: SLICEA.K1.INIT 1111111111111111 +word: SLICEB.K0.INIT 0110011010101010 +word: SLICEB.K1.INIT 1100110000000000 +enum: SLICED.MODE CCU2 +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: SLICED.CCU2.INJECT1_0 NO +enum: SLICED.CCU2.INJECT1_1 NO +enum: SLICED.A0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 +enum: SLICEC.MODE CCU2 +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 NO +enum: SLICEC.CCU2.INJECT1_1 NO +enum: SLICEC.A0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.C1MUX 1 +enum: SLICEC.D1MUX 1 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 YES +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R66C6:PLC2 +arc: N1_V02N0201 H01E0001 +arc: N1_V02N0401 H02E0401 +arc: B0 V02S0101 +arc: F0 F0_SLICE +arc: N1_V02N0001 F0 +word: SLICEA.K0.INIT 1100110000000000 +word: SLICEA.K1.INIT 0000000000000000 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000001010 +enum: SLICEA.MODE CCU2 +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 NO +enum: SLICEA.CCU2.INJECT1_1 NO +enum: SLICEA.A0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEA.C1MUX 1 +enum: SLICEA.D1MUX 1 +enum: SLICEB.MODE CCU2 +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 NO +enum: SLICEB.CCU2.INJECT1_1 NO +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R66C7:PLC2 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0601 W1_H02E0601 +arc: N1_V02N0701 N1_V01S0100 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: V00T0100 V02S0501 +arc: C5 V00T0100 +arc: D5 E1_H02W0001 +arc: F5 F5_SLICE +arc: S3_V06S0303 F5 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R66C8:PLC2 +arc: N1_V02N0101 N1_V01S0100 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 N1_V01S0100 +arc: S1_V02S0301 E3_H06W0003 +arc: S1_V02S0601 E3_H06W0303 +arc: S3_V06S0003 N1_V01S0000 + +.tile R66C9:PLC2 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0201 N1_V01S0000 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0601 N1_V01S0000 +arc: S1_V02S0101 N3_V06S0103 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: W1_H02W0001 V06S0003 + +.tile R67C10:PLC2 +arc: N1_V02N0601 N1_V01S0000 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0303 + +.tile R67C11:PLC2 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0701 N1_V01S0100 + +.tile R67C12:PLC2 +arc: N1_V02N0201 N1_V01S0000 + +.tile R67C13:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: E1_H02E0301 V06S0003 +arc: N1_V02N0501 N3_V06S0303 + +.tile R67C14:PLC2 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0101 N3_V06S0103 + +.tile R67C15:PLC2 +arc: H00L0000 W1_H02E0201 +arc: H00L0100 W1_H02E0301 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 S1_V02N0001 +arc: N1_V02N0601 S1_V02N0301 +arc: S3_V06S0203 N1_V01S0000 +arc: S3_V06S0303 N3_V06S0203 +arc: V00B0000 S1_V02N0001 +arc: C1 H00L0000 +arc: C3 H00L0100 +arc: C5 V02S0201 +arc: D1 S1_V02N0001 +arc: D3 S1_V02N0001 +arc: D5 V00B0000 +arc: E3_H06E0303 F5 +arc: F1 F1_SLICE +arc: F3 F3_SLICE +arc: F5 F5_SLICE +arc: S3_V06S0003 F3 +arc: S3_V06S0103 F1 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 1111000000000000 +word: SLICEA.K0.INIT 0000000000000000 +word: SLICEA.K1.INIT 1111000000000000 +word: SLICEC.K0.INIT 0000000000000000 +word: SLICEC.K1.INIT 1111000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEA.MODE LOGIC +enum: SLICEA.GSR DISABLED +enum: SLICEA.REG0.SD 0 +enum: SLICEA.REG1.SD 0 +enum: SLICEA.REG0.REGSET RESET +enum: SLICEA.REG1.REGSET RESET +enum: SLICEA.REG0.LSRMODE LSR +enum: SLICEA.REG1.LSRMODE LSR +enum: SLICEA.CEMUX 1 +enum: SLICEA.CCU2.INJECT1_0 _NONE_ +enum: SLICEA.CCU2.INJECT1_1 _NONE_ +enum: SLICEA.A0MUX 1 +enum: SLICEA.B0MUX 1 +enum: SLICEA.C0MUX 1 +enum: SLICEA.D0MUX 1 +enum: SLICEA.A1MUX 1 +enum: SLICEA.B1MUX 1 +enum: SLICEC.MODE LOGIC +enum: SLICEC.GSR DISABLED +enum: SLICEC.REG0.SD 0 +enum: SLICEC.REG1.SD 0 +enum: SLICEC.REG0.REGSET RESET +enum: SLICEC.REG1.REGSET RESET +enum: SLICEC.REG0.LSRMODE LSR +enum: SLICEC.REG1.LSRMODE LSR +enum: SLICEC.CEMUX 1 +enum: SLICEC.CCU2.INJECT1_0 _NONE_ +enum: SLICEC.CCU2.INJECT1_1 _NONE_ +enum: SLICEC.A0MUX 1 +enum: SLICEC.B0MUX 1 +enum: SLICEC.C0MUX 1 +enum: SLICEC.D0MUX 1 +enum: SLICEC.A1MUX 1 +enum: SLICEC.B1MUX 1 + +.tile R67C16:PLC2 +arc: N1_V01N0101 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0303 + +.tile R67C18:PLC2 +arc: S3_V06S0203 N3_V06S0203 + +.tile R67C19:PLC2 +arc: N1_V02N0201 N3_V06S0103 +arc: S3_V06S0003 N1_V02S0301 +arc: S3_V06S0303 N3_V06S0303 +arc: V01S0100 N3_V06S0303 + +.tile R67C21:PLC2 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0701 N1_V01S0100 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 W3_H06E0303 + +.tile R67C22:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R67C23:PLC2 +arc: N1_V02N0701 N3_V06S0203 +arc: S3_V06S0003 N1_V02S0301 + +.tile R67C24:PLC2 +arc: N1_V02N0201 N3_V06S0103 +arc: S1_V02S0301 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0003 + +.tile R67C25:PLC2 +arc: N1_V02N0401 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 + +.tile R67C27:PLC2 +arc: S3_V06S0203 N3_V06S0203 + +.tile R67C28:PLC2 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N1_V02S0201 +arc: S3_V06S0303 N3_V06S0303 + +.tile R67C30:PLC2 +arc: S3_V06S0003 N3_V06S0303 + +.tile R67C31:PLC2 +arc: S1_V02S0001 N3_V06S0003 +arc: S3_V06S0203 N1_V02S0701 + +.tile R67C32:PLC2 +arc: S1_V02S0401 N3_V06S0203 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R67C33:PLC2 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0103 N3_V06S0003 + +.tile R67C36:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R67C37:PLC2 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0303 + +.tile R67C39:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R67C3:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R67C40:PLC2 +arc: S3_V06S0003 N3_V06S0303 + +.tile R67C42:PLC2 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0303 N1_V01S0100 + +.tile R67C43:PLC2 +arc: S3_V06S0003 N3_V06S0003 + +.tile R67C45:PLC2 +arc: S1_V02S0601 N3_V06S0303 + +.tile R67C4:PLC2 +arc: N1_V02N0401 N1_V01S0000 +arc: S3_V06S0003 N3_V06S0303 + +.tile R67C5:PLC2 +arc: N1_V02N0001 N1_V01S0000 + +.tile R67C7:PLC2 +arc: N1_V02N0601 S1_V02N0601 +arc: S3_V06S0303 N3_V06S0303 + +.tile R67C9:PLC2 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0203 + +.tile R68C10:PLC2 +arc: S1_V02S0501 N1_V02S0501 + +.tile R68C11:PLC2 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0701 N3_V06S0203 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0501 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 +arc: W3_H06W0303 N3_V06S0303 + +.tile R68C13:PLC2 +arc: S1_V02S0201 N1_V02S0701 +arc: S3_V06S0203 N1_V02S0701 + +.tile R68C14:PLC2 +arc: N1_V02N0201 N3_V06S0103 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile R68C15:PLC2 +arc: S1_V02S0201 N3_V06S0103 +arc: S3_V06S0203 N1_V02S0401 +arc: S3_V06S0303 N3_V06S0303 + +.tile R68C16:PLC2 +arc: N1_V02N0401 N3_V06S0203 +arc: S1_V02S0001 N1_V02S0501 + +.tile R68C17:PLC2 +arc: E3_H06E0003 N3_V06S0003 +arc: E3_H06E0303 N3_V06S0303 +arc: N3_V06N0303 S1_V02N0601 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile R68C18:PLC2 +arc: S1_V02S0201 N1_V02S0201 + +.tile R68C19:PLC2 +arc: S1_V02S0701 N1_V01S0100 +arc: S3_V06S0203 N1_V02S0401 + +.tile R68C20:PLC2 +arc: S1_V02S0001 H06E0003 +arc: S1_V02S0101 V01N0101 +arc: S1_V02S0601 H06E0303 +arc: S3_V06S0303 H06E0303 + +.tile R68C21:PLC2 +arc: S1_V02S0201 N1_V02S0201 + +.tile R68C22:PLC2 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0601 N3_V06S0303 + +.tile R68C23:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0303 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile R68C24:PLC2 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 H02E0201 + +.tile R68C25:PLC2 +arc: S3_V06S0203 N3_V06S0103 +arc: W1_H02W0101 N3_V06S0103 + +.tile R68C26:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0201 N3_V06S0103 +arc: S1_V02S0301 N3_V06S0003 +arc: S3_V06S0003 H06E0003 +arc: S3_V06S0303 H06E0303 + +.tile R68C27:PLC2 +arc: S1_V02S0201 H02E0201 + +.tile R68C28:PLC2 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0401 N1_V02S0101 +arc: S3_V06S0003 N1_V02S0001 + +.tile R68C29:PLC2 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0303 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0501 W3_H06E0303 +arc: S3_V06S0003 W3_H06E0003 +arc: S3_V06S0303 W3_H06E0303 + +.tile R68C31:PLC2 +arc: S1_V02S0001 N3_V06S0003 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 + +.tile R68C32:PLC2 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0501 H06E0303 +arc: S3_V06S0103 N3_V06S0103 + +.tile R68C33:PLC2 +arc: S1_V02S0701 N1_V02S0701 + +.tile R68C34:PLC2 +arc: S3_V06S0203 N1_V02S0701 + +.tile R68C35:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0303 W3_H06E0303 +arc: S1_V02S0501 W3_H06E0303 + +.tile R68C36:PLC2 +arc: S1_V02S0001 H02E0001 + +.tile R68C37:PLC2 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 N1_V02S0601 + +.tile R68C38:PLC2 +arc: S1_V02S0001 H06E0003 +arc: S1_V02S0501 H06E0303 + +.tile R68C41:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E3_H06E0003 W3_H06E0303 +arc: S1_V02S0301 W3_H06E0003 +arc: S1_V02S0601 W3_H06E0303 + +.tile R68C42:PLC2 +arc: E3_H06E0003 H01E0001 +arc: S1_V02S0601 H01E0001 + +.tile R68C44:PLC2 +arc: S1_V02S0601 H02W0601 + +.tile R68C45:PLC2 +arc: S1_V02S0001 H06E0003 +arc: W1_H02W0601 N1_V02S0601 + +.tile R68C46:PLC2 +arc: S1_V02S0001 H02W0001 + +.tile R68C47:PLC2 +arc: W1_H02W0001 W3_H06E0003 + +.tile R68C4:PLC2 +arc: S1_V02S0501 N1_V02S0401 +arc: S1_V02S0601 N1_V02S0301 +arc: S1_V02S0701 N3_V06S0203 + +.tile R68C5:PLC2 +arc: N1_V02N0501 N3_V06S0303 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0201 N3_V06S0103 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 +arc: V01S0000 N3_V06S0103 + +.tile R68C6:PLC2 +arc: S1_V02S0201 H02W0201 + +.tile R68C7:PLC2 +arc: W1_H02W0201 N3_V06S0103 + +.tile R68C8:PLC2 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0501 H06W0303 +arc: S1_V02S0601 H06W0303 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N1_V02S0601 + +.tile R68C9:PLC2 +arc: N3_V06N0103 S1_V02N0201 +arc: S1_V02S0401 N1_V02S0101 + +.tile R69C10:PLC2 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0401 N3_V06S0203 +arc: S1_V02S0501 E1_H02W0501 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0203 N3_V06S0203 + +.tile R69C11:PLC2 +arc: S1_V02S0401 N3_V06S0203 +arc: S3_V06S0203 N3_V06S0203 +arc: W1_H02W0401 N3_V06S0203 + +.tile R69C12:PLC2 +arc: S1_V02S0501 E1_H02W0501 +arc: W1_H02W0501 E1_H02W0501 + +.tile R69C13:PLC2 +arc: S1_V02S0001 E1_H02W0001 +arc: S3_V06S0303 N3_V06S0203 + +.tile R69C14:PLC2 +arc: E3_H06E0003 V06S0003 +arc: E3_H06E0103 V06S0103 +arc: E3_H06E0203 V06S0203 +arc: E3_H06E0303 V06S0303 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0601 E1_H02W0601 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0203 N3_V06S0203 +arc: W1_H02W0501 V06S0303 +arc: W3_H06W0303 V06S0303 + +.tile R69C15:PLC2 +arc: E3_H06E0003 V06S0003 +arc: E3_H06E0203 V06S0203 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0301 N3_V06S0003 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 E1_H02W0701 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0101 V06S0103 + +.tile R69C16:PLC2 +arc: E3_H06E0203 V06S0203 +arc: E3_H06E0303 V06S0303 +arc: S1_V02S0701 H02W0701 +arc: S3_V06S0303 N3_V06S0303 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0601 N3_V06S0303 + +.tile R69C17:PLC2 +arc: S3_V06S0203 N3_V06S0203 +arc: W1_H02W0701 N3_V06S0203 + +.tile R69C19:PLC2 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 H06E0203 + +.tile R69C20:PLC2 +arc: E1_H02E0501 W3_H06E0303 +arc: E1_H02E0701 W3_H06E0203 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0303 +arc: N1_V01N0101 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0203 + +.tile R69C21:PLC2 +arc: E1_H02E0101 V06S0103 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0203 +arc: S1_V02S0501 H02E0501 + +.tile R69C22:PLC2 +arc: E3_H06E0003 W3_H06E0303 +arc: S1_V02S0701 W1_H02E0701 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 +arc: V01S0100 N3_V06S0303 + +.tile R69C23:PLC2 +arc: E1_H02E0001 V06S0003 +arc: S1_V02S0101 W1_H02E0101 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0203 + +.tile R69C24:PLC2 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0601 N1_V02S0301 + +.tile R69C25:PLC2 +arc: E1_H02E0401 N3_V06S0203 +arc: E1_H02E0701 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0203 + +.tile R69C26:PLC2 +arc: E1_H02E0201 W3_H06E0103 +arc: E3_H06E0103 W3_H06E0003 +arc: E3_H06E0203 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0203 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0701 H02E0701 +arc: S3_V06S0303 N3_V06S0303 +arc: V01S0100 N3_V06S0303 + +.tile R69C27:PLC2 +arc: E1_H02E0401 V06S0203 +arc: E3_H06E0203 W3_H06E0203 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0201 H02E0201 + +.tile R69C28:PLC2 +arc: E1_H02E0001 W3_H06E0003 +arc: E1_H02E0701 N3_V06S0203 +arc: S1_V02S0001 W3_H06E0003 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0203 + +.tile R69C29:PLC2 +arc: S3_V06S0303 N3_V06S0303 +arc: V01S0100 N3_V06S0303 + +.tile R69C30:PLC2 +arc: E1_H02E0301 V06S0003 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0701 W1_H02E0701 + +.tile R69C31:PLC2 +arc: S1_V02S0001 N1_V02S0001 +arc: S1_V02S0301 H02E0301 +arc: S1_V02S0501 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0303 + +.tile R69C32:PLC2 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W3_H06E0203 +arc: E3_H06E0303 W3_H06E0303 +arc: S1_V02S0101 W3_H06E0103 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 N1_V02S0401 +arc: S3_V06S0203 N1_V02S0401 +arc: S3_V06S0303 N3_V06S0203 + +.tile R69C33:PLC2 +arc: E3_H06E0203 W3_H06E0203 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0101 N1_V02S0101 +arc: S1_V02S0701 N1_V02S0701 +arc: S3_V06S0003 N3_V06S0303 + +.tile R69C34:PLC2 +arc: E3_H06E0203 N3_V06S0203 +arc: S1_V02S0401 N3_V06S0203 + +.tile R69C35:PLC2 +arc: E1_H02E0301 N3_V06S0003 +arc: S1_V02S0101 H06E0103 + +.tile R69C36:PLC2 +arc: S1_V02S0301 H02E0301 +arc: V01S0000 N3_V06S0103 + +.tile R69C37:PLC2 +arc: E1_H02E0701 N3_V06S0203 +arc: S1_V02S0401 H06E0203 +arc: S1_V02S0701 N3_V06S0203 + +.tile R69C38:PLC2 +arc: E1_H02E0601 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0303 W3_H06E0203 +arc: S1_V02S0101 W3_H06E0103 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0401 W3_H06E0203 + +.tile R69C39:PLC2 +arc: E1_H02E0701 W3_H06E0203 +arc: S1_V02S0701 W1_H02E0701 + +.tile R69C3:PLC2 +arc: E1_H02E0601 V06S0303 + +.tile R69C40:PLC2 +arc: E1_H02E0001 V06S0003 +arc: S1_V02S0101 H02W0101 +arc: S1_V02S0601 W1_H02E0601 +arc: S1_V02S0701 N3_V06S0203 + +.tile R69C41:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: E1_H02E0201 W1_H02E0701 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0101 H06E0103 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0501 H06E0303 +arc: W1_H02W0101 N3_V06S0103 + +.tile R69C42:PLC2 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0601 N1_V02S0601 + +.tile R69C43:PLC2 +arc: E3_H06E0303 N3_V06S0303 +arc: V01S0100 N3_V06S0303 + +.tile R69C44:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0101 W3_H06E0103 + +.tile R69C45:PLC2 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0601 N1_V02S0601 +arc: W1_H02W0001 N3_V06S0003 + +.tile R69C46:PLC2 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 H06E0303 + +.tile R69C4:PLC2 +arc: S1_V02S0501 H06W0303 +arc: S1_V02S0601 H02E0601 +arc: V01S0100 N3_V06S0303 + +.tile R69C5:PLC2 +arc: E1_H02E0201 N1_V01S0000 +arc: S1_V02S0601 H06W0303 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0203 + +.tile R69C6:PLC2 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0301 H06W0003 +arc: S1_V02S0501 H06W0303 + +.tile R69C7:PLC2 +arc: N1_V02N0601 N3_V06S0303 +arc: S1_V02S0101 E1_H02W0101 +arc: S1_V02S0301 N3_V06S0003 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: W3_H06W0303 V06S0303 + +.tile R69C8:PLC2 +arc: S1_V02S0401 N3_V06S0203 +arc: W3_H06W0303 E3_H06W0303 + +.tile R69C9:PLC2 +arc: S1_V02S0401 E1_H02W0401 +arc: W1_H02W0101 V06S0103 +arc: W3_H06W0003 V06S0003 +arc: W3_H06W0303 V06S0303 + +.tile R71C10:PLC2 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0301 N3_V06S0003 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 + +.tile R71C11:PLC2 +arc: S3_V06S0303 N3_V06S0203 +arc: W1_H02W0201 V06S0103 + +.tile R71C13:PLC2 +arc: N1_V02N0101 H02W0101 +arc: N1_V02N0501 E1_H02W0501 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 + +.tile R71C14:PLC2 +arc: E3_H06E0203 N3_V06S0203 +arc: E3_H06E0303 N3_V06S0303 +arc: S3_V06S0003 N3_V06S0303 +arc: W1_H02W0101 V06S0103 + +.tile R71C15:PLC2 +arc: E3_H06E0303 N3_V06S0303 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 E1_H02W0001 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 S1_V02N0601 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0203 +arc: W1_H02W0501 N3_V06S0303 + +.tile R71C16:PLC2 +arc: E3_H06E0003 N3_V06S0003 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0501 H02W0501 +arc: S3_V06S0003 N3_V06S0003 + +.tile R71C17:PLC2 +arc: E1_H02E0001 V06S0003 +arc: N1_V02N0501 H06E0303 +arc: S3_V06S0303 H06E0303 +arc: W1_H02W0001 V06S0003 +arc: W1_H02W0501 V06S0303 + +.tile R71C18:PLC2 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 H06E0303 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0203 + +.tile R71C19:PLC2 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0301 H06E0003 +arc: S3_V06S0303 N3_V06S0203 + +.tile R71C20:PLC2 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V02N0601 W3_H06E0303 + +.tile R71C21:PLC2 +arc: E1_H02E0401 N3_V06S0203 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0303 W3_H06E0303 +arc: N1_V02N0501 N3_V06S0303 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0203 + +.tile R71C22:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 N3_V06S0103 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0401 H02E0401 +arc: N1_V02N0601 S1_V02N0601 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 + +.tile R71C23:PLC2 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0601 N3_V06S0303 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 +arc: W1_H02W0201 V06S0103 + +.tile R71C24:PLC2 +arc: E1_H02E0301 N3_V06S0003 +arc: S3_V06S0003 N3_V06S0303 + +.tile R71C25:PLC2 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 H02E0301 +arc: S3_V06S0303 N3_V06S0203 + +.tile R71C26:PLC2 +arc: E3_H06E0003 W3_H06E0303 +arc: E3_H06E0103 W3_H06E0003 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0701 N3_V06S0203 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 + +.tile R71C27:PLC2 +arc: E3_H06E0003 W3_H06E0003 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 W3_H06E0303 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 + +.tile R71C28:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E3_H06E0103 W3_H06E0003 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0301 W3_H06E0003 +arc: S3_V06S0203 N3_V06S0103 + +.tile R71C29:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: E3_H06E0103 N3_V06S0103 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0401 H01E0001 +arc: N1_V02N0701 N3_V06S0203 +arc: S3_V06S0103 H06E0103 +arc: S3_V06S0203 N3_V06S0203 + +.tile R71C30:PLC2 +arc: N1_V02N0401 N3_V06S0203 +arc: N3_V06N0003 S3_V06N0003 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0203 + +.tile R71C31:PLC2 +arc: E1_H02E0001 V06S0003 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0501 E1_H02W0501 +arc: S1_V02S0201 W1_H02E0201 +arc: S3_V06S0203 N3_V06S0203 + +.tile R71C32:PLC2 +arc: E1_H02E0201 V06S0103 +arc: E3_H06E0203 W3_H06E0103 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0201 W3_H06E0103 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0701 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0203 +arc: W1_H02W0001 N3_V06S0003 + +.tile R71C33:PLC2 +arc: E3_H06E0003 W3_H06E0003 +arc: E3_H06E0103 W3_H06E0003 +arc: N1_V02N0001 W3_H06E0003 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0401 S1_V02N0101 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0501 N3_V06S0303 + +.tile R71C34:PLC2 +arc: E3_H06E0103 W3_H06E0103 +arc: N1_V02N0101 W3_H06E0103 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile R71C35:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 W3_H06E0103 + +.tile R71C36:PLC2 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0601 S1_V02N0601 + +.tile R71C37:PLC2 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 H06E0103 + +.tile R71C38:PLC2 +arc: E3_H06E0303 W3_H06E0203 +arc: N1_V02N0401 E1_H01W0000 + +.tile R71C39:PLC2 +arc: E1_H01E0001 W3_H06E0003 +arc: E1_H02E0201 N3_V06S0103 +arc: E3_H06E0103 W3_H06E0003 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0401 E1_H01W0000 + +.tile R71C3:PLC2 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0401 N3_V06S0203 + +.tile R71C40:PLC2 +arc: E3_H06E0103 W3_H06E0103 +arc: E3_H06E0203 W3_H06E0103 +arc: H01W0000 W3_H06E0103 +arc: N1_V02N0001 H01E0001 +arc: N1_V02N0201 H02E0201 +arc: N1_V02N0301 S1_V02N0301 + +.tile R71C42:PLC2 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0201 H06E0103 + +.tile R71C43:PLC2 +arc: N1_V02N0401 H06E0203 + +.tile R71C44:PLC2 +arc: N1_V02N0501 W3_H06E0303 + +.tile R71C45:PLC2 +arc: N1_V02N0201 W3_H06E0103 +arc: V01S0000 N3_V06S0103 + +.tile R71C46:PLC2 +arc: N1_V02N0101 W3_H06E0103 +arc: N1_V02N0201 W3_H06E0103 + +.tile R71C4:PLC2 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0301 S1_V02N0301 +arc: N1_V02N0401 N3_V06S0203 + +.tile R71C6:PLC2 +arc: N1_V02N0401 H06W0203 +arc: N1_V02N0501 H06W0303 +arc: N1_V02N0701 E1_H02W0701 +arc: W1_H02W0201 E1_H02W0701 + +.tile R71C7:PLC2 +arc: S3_V06S0203 N3_V06S0103 +arc: V01S0000 N3_V06S0103 + +.tile R71C8:PLC2 +arc: S3_V06S0303 N3_V06S0203 +arc: W1_H02W0701 N3_V06S0203 + +.tile R71C9:PLC2 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0201 E1_H02W0201 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0501 N3_V06S0303 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N1_V02S0401 +arc: S3_V06S0303 N3_V06S0203 +arc: W3_H06W0203 N3_V06S0203 +arc: W3_H06W0303 N3_V06S0303 + +.tile R72C10:PLC2 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 N3_V06S0203 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R72C11:PLC2 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0501 W1_H02E0501 +arc: S3_V06S0303 H06W0303 + +.tile R72C12:PLC2 +arc: N1_V02N0101 H06E0103 + +.tile R72C13:PLC2 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0601 N3_V06S0303 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0203 + +.tile R72C14:PLC2 +arc: E3_H06E0303 N3_V06S0303 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0701 S1_V02N0601 +arc: S3_V06S0303 N3_V06S0303 +arc: W3_H06W0303 N3_V06S0303 + +.tile R72C15:PLC2 +arc: E3_H06E0303 N3_V06S0303 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0501 S1_V02N0401 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 + +.tile R72C16:PLC2 +arc: E3_H06E0303 N3_V06S0303 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0501 N3_V06S0303 +arc: S1_V02S0301 N3_V06S0003 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 + +.tile R72C17:PLC2 +arc: S3_V06S0303 H06E0303 + +.tile R72C18:PLC2 +arc: E3_H06E0103 N3_V06S0103 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 + +.tile R72C19:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 H06E0303 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 + +.tile R72C20:PLC2 +arc: E3_H06E0303 W3_H06E0303 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 W3_H06E0303 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 W3_H06E0303 + +.tile R72C21:PLC2 +arc: E3_H06E0003 W3_H06E0303 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 N3_V06S0203 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 + +.tile R72C22:PLC2 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0601 N3_V06S0303 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0203 + +.tile R72C23:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 H06E0303 +arc: V01S0100 N3_V06S0303 + +.tile R72C24:PLC2 +arc: N1_V02N0001 H06E0003 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0701 N3_V06S0203 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 + +.tile R72C25:PLC2 +arc: E3_H06E0203 N3_V06S0203 +arc: E3_H06E0303 N3_V06S0303 +arc: N1_V02N0001 N3_V06S0003 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R72C26:PLC2 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 W3_H06E0303 + +.tile R72C27:PLC2 +arc: E1_H02E0601 N3_V06S0303 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0301 N3_V06S0003 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 +arc: V01S0000 N3_V06S0103 + +.tile R72C28:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0601 H06E0303 +arc: N1_V02N0701 H06E0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 + +.tile R72C29:PLC2 +arc: N1_V02N0601 W1_H02E0601 + +.tile R72C30:PLC2 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0101 N3_V06S0103 +arc: S3_V06S0103 N3_V06S0003 + +.tile R72C32:PLC2 +arc: N1_V02N0301 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 + +.tile R72C33:PLC2 +arc: N1_V02N0201 N3_V06S0103 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N1_V01S0100 + +.tile R72C34:PLC2 +arc: E3_H06E0203 N3_V06S0203 +arc: E3_H06E0303 N3_V06S0303 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0201 N3_V06S0103 + +.tile R72C36:PLC2 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0701 N3_V06S0203 + +.tile R72C37:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 H06E0303 +arc: N1_V02N0701 H06E0203 + +.tile R72C39:PLC2 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0701 N3_V06S0203 + +.tile R72C3:PLC2 +arc: E1_H02E0101 N3_V06S0103 + +.tile R72C40:PLC2 +arc: N1_V02N0401 N3_V06S0203 + +.tile R72C42:PLC2 +arc: N1_V02N0501 N3_V06S0303 + +.tile R72C43:PLC2 +arc: E3_H06E0203 N3_V06S0203 +arc: E3_H06E0303 N3_V06S0303 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0701 N3_V06S0203 + +.tile R72C45:PLC2 +arc: N1_V02N0001 N1_V01S0000 +arc: N1_V02N0701 N3_V06S0203 + +.tile R72C46:PLC2 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 H06E0303 +arc: N1_V02N0701 H06E0203 + +.tile R72C5:PLC2 +arc: N1_V02N0101 W1_H02E0101 + +.tile R72C6:PLC2 +arc: S1_V02S0601 E1_H02W0601 +arc: S3_V06S0003 H06W0003 + +.tile R72C7:PLC2 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0701 N3_V06S0203 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 + +.tile R72C8:PLC2 +arc: N1_V02N0501 E3_H06W0303 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 E3_H06W0303 +arc: W1_H02W0601 E3_H06W0303 + +.tile R72C9:PLC2 +arc: E1_H02E0501 N3_V06S0303 +arc: E3_H06E0103 N3_V06S0103 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0501 N3_V06S0303 +arc: S1_V02S0001 N3_V06S0003 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0103 +arc: W3_H06W0003 N3_V06S0003 + +.tile R73C10:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R73C15:PLC2 +arc: N1_V02N0601 N3_V06S0303 +arc: S3_V06S0003 N3_V06S0303 + +.tile R73C16:PLC2 +arc: S3_V06S0003 N3_V06S0303 + +.tile R73C18:PLC2 +arc: N1_V02N0401 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0203 + +.tile R73C19:PLC2 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 + +.tile R73C21:PLC2 +arc: S3_V06S0303 N3_V06S0203 + +.tile R73C22:PLC2 +arc: N1_V02N0601 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0303 + +.tile R73C23:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N1_V01S0100 + +.tile R73C24:PLC2 +arc: S3_V06S0203 N3_V06S0103 + +.tile R73C25:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 + +.tile R73C27:PLC2 +arc: N1_V02N0401 N3_V06S0203 +arc: S3_V06S0203 N1_V01S0000 +arc: S3_V06S0303 N3_V06S0203 + +.tile R73C28:PLC2 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R73C30:PLC2 +arc: S3_V06S0103 N3_V06S0003 + +.tile R73C31:PLC2 +arc: S1_V02S0301 N1_V02S0201 + +.tile R73C32:PLC2 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 + +.tile R73C33:PLC2 +arc: N1_V02N0101 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0103 + +.tile R73C36:PLC2 +arc: N1_V02N0601 N3_V06S0303 + +.tile R73C40:PLC2 +arc: N1_V02N0301 N3_V06S0003 + +.tile R73C4:PLC2 +arc: N1_V02N0301 N3_V06S0003 + +.tile R73C7:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R73C9:PLC2 +arc: N1_V02N0401 N3_V06S0203 +arc: S3_V06S0203 N3_V06S0203 + +.tile R74C11:PLC2 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R74C13:PLC2 +arc: S3_V06S0203 N3_V06S0203 + +.tile R74C14:PLC2 +arc: N1_V02N0601 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R74C15:PLC2 +arc: N1_V02N0401 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0203 + +.tile R74C16:PLC2 +arc: S3_V06S0003 N1_V02S0301 + +.tile R74C17:PLC2 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 + +.tile R74C19:PLC2 +arc: S3_V06S0303 N3_V06S0203 + +.tile R74C20:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R74C23:PLC2 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0103 + +.tile R74C25:PLC2 +arc: S3_V06S0303 N3_V06S0203 + +.tile R74C26:PLC2 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile R74C28:PLC2 +arc: S3_V06S0003 N3_V06S0003 + +.tile R74C29:PLC2 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile R74C31:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 + +.tile R74C34:PLC2 +arc: S3_V06S0203 N3_V06S0203 + +.tile R74C5:PLC2 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 + +.tile R74C6:PLC2 +arc: S3_V06S0303 N1_V02S0601 + +.tile R74C8:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R74C9:PLC2 +arc: S3_V06S0003 N1_V02S0001 + +.tile R75C10:PLC2 +arc: S3_V06S0303 N3_V06S0203 + +.tile R75C11:PLC2 +arc: S3_V06S0303 N3_V06S0203 + +.tile R75C13:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R75C14:PLC2 +arc: S3_V06S0303 N3_V06S0203 + +.tile R75C16:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R75C17:PLC2 +arc: S3_V06S0203 N3_V06S0203 + +.tile R75C20:PLC2 +arc: S3_V06S0003 N3_V06S0303 + +.tile R75C22:PLC2 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 + +.tile R75C23:PLC2 +arc: S3_V06S0003 N3_V06S0303 + +.tile R75C25:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R75C26:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R75C28:PLC2 +arc: S3_V06S0003 N3_V06S0303 + +.tile R75C29:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R75C31:PLC2 +arc: S3_V06S0003 N1_V02S0301 +arc: S3_V06S0303 N3_V06S0303 + +.tile R75C32:PLC2 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0203 + +.tile R75C33:PLC2 +arc: S3_V06S0003 N3_V06S0003 + +.tile R75C5:PLC2 +arc: S3_V06S0003 N3_V06S0303 + +.tile R75C7:PLC2 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0203 + +.tile R76C10:PLC2 +arc: N3_V06N0003 S3_V06N0303 + +.tile R76C11:PLC2 +arc: N3_V06N0103 S3_V06N0003 +arc: N3_V06N0203 S3_V06N0203 + +.tile R76C13:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0103 S3_V06N0103 + +.tile R76C15:PLC2 +arc: N3_V06N0203 S3_V06N0103 + +.tile R76C17:PLC2 +arc: N3_V06N0203 S3_V06N0203 + +.tile R76C18:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R76C19:PLC2 +arc: N3_V06N0003 S3_V06N0003 +arc: N3_V06N0303 S3_V06N0303 + +.tile R76C21:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R76C22:PLC2 +arc: N3_V06N0003 S3_V06N0303 + +.tile R76C24:PLC2 +arc: S3_V06S0303 N3_V06S0203 + +.tile R76C9:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile R77C10:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 + +.tile R77C11:PLC2 +arc: S3_V06S0003 N3_V06S0303 + +.tile R77C13:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 + +.tile R77C14:PLC2 +arc: S3_V06S0003 N3_V06S0003 + +.tile R77C15:PLC2 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile R77C16:PLC2 +arc: S3_V06S0103 N3_V06S0003 + +.tile R77C17:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R77C18:PLC2 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 + +.tile R77C19:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R77C21:PLC2 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 + +.tile R77C22:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 + +.tile R77C23:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile R77C24:PLC2 +arc: S3_V06S0003 N3_V06S0003 + +.tile R77C25:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R77C26:PLC2 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R77C27:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 + +.tile R77C28:PLC2 +arc: S3_V06S0203 N3_V06S0203 + +.tile R77C29:PLC2 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 + +.tile R77C30:PLC2 +arc: N3_V06N0003 S3_V06N0303 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 + +.tile R77C31:PLC2 +arc: S3_V06S0303 N3_V06S0203 + +.tile R77C32:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R77C33:PLC2 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 + +.tile R77C34:PLC2 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile R77C35:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 + +.tile R77C7:PLC2 +arc: S3_V06S0303 N3_V06S0203 + +.tile R77C8:PLC2 +arc: S3_V06S0003 N3_V06S0303 + +.tile R77C9:PLC2 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0203 + +.tile R78C10:PLC2 +arc: S1_V02S0501 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R78C11:PLC2 +arc: V01S0100 N3_V06S0303 + +.tile R78C13:PLC2 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0103 N3_V06S0003 + +.tile R78C14:PLC2 +arc: S3_V06S0303 N3_V06S0303 +arc: V01S0100 N3_V06S0303 + +.tile R78C15:PLC2 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0203 + +.tile R78C16:PLC2 +arc: E1_H02E0301 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 + +.tile R78C17:PLC2 +arc: S1_V02S0301 H02E0301 +arc: V01S0100 N3_V06S0303 + +.tile R78C18:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R78C19:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0203 + +.tile R78C20:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: V01S0100 N3_V06S0303 + +.tile R78C21:PLC2 +arc: S1_V02S0401 N3_V06S0203 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R78C22:PLC2 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0601 N3_V06S0303 + +.tile R78C23:PLC2 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0303 + +.tile R78C24:PLC2 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0203 + +.tile R78C25:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R78C26:PLC2 +arc: S1_V02S0301 N3_V06S0003 +arc: S3_V06S0003 N3_V06S0303 +arc: V01S0100 N3_V06S0303 + +.tile R78C27:PLC2 +arc: S1_V02S0701 N3_V06S0203 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 + +.tile R78C28:PLC2 +arc: S1_V02S0301 N3_V06S0003 +arc: S3_V06S0103 N3_V06S0103 + +.tile R78C30:PLC2 +arc: S3_V06S0103 N3_V06S0103 + +.tile R78C32:PLC2 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 + +.tile R78C33:PLC2 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0303 N3_V06S0303 + +.tile R78C6:PLC2 +arc: S3_V06S0103 N3_V06S0003 + +.tile R78C7:PLC2 +arc: S3_V06S0103 N3_V06S0103 +arc: S3_V06S0203 N3_V06S0203 + +.tile R78C8:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: V01S0100 N3_V06S0303 + +.tile R78C9:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 + +.tile R79C10:PLC2 +arc: S3_V06S0003 N3_V06S0303 +arc: S3_V06S0303 N3_V06S0303 + +.tile R79C11:PLC2 +arc: S3_V06S0103 N1_V01S0100 + +.tile R79C13:PLC2 +arc: S1_V02S0301 E1_H02W0301 + +.tile R79C14:PLC2 +arc: S3_V06S0103 N1_V01S0100 + +.tile R79C15:PLC2 +arc: S3_V06S0103 N3_V06S0003 +arc: W1_H02W0301 N3_V06S0003 + +.tile R79C16:PLC2 +arc: S3_V06S0003 N3_V06S0003 + +.tile R79C17:PLC2 +arc: S3_V06S0103 N1_V01S0100 + +.tile R79C18:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R79C19:PLC2 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 + +.tile R79C20:PLC2 +arc: S3_V06S0103 N1_V01S0100 + +.tile R79C21:PLC2 +arc: S3_V06S0003 N3_V06S0303 + +.tile R79C22:PLC2 +arc: S3_V06S0003 N3_V06S0303 + +.tile R79C23:PLC2 +arc: S3_V06S0103 N3_V06S0003 +arc: S3_V06S0203 N3_V06S0103 + +.tile R79C24:PLC2 +arc: S1_V02S0401 N3_V06S0203 + +.tile R79C25:PLC2 +arc: S3_V06S0003 N3_V06S0003 +arc: S3_V06S0303 N3_V06S0203 + +.tile R79C26:PLC2 +arc: S3_V06S0103 N1_V01S0100 + +.tile R79C27:PLC2 +arc: S1_V02S0601 N3_V06S0303 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 + +.tile R79C28:PLC2 +arc: S3_V06S0203 N3_V06S0203 +arc: S3_V06S0303 N3_V06S0303 + +.tile R79C30:PLC2 +arc: S3_V06S0203 N3_V06S0103 + +.tile R79C32:PLC2 +arc: S3_V06S0303 N3_V06S0203 +arc: V01S0100 N3_V06S0303 + +.tile R79C33:PLC2 +arc: S3_V06S0303 N3_V06S0203 + +.tile R79C7:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R79C8:PLC2 +arc: S3_V06S0103 N1_V01S0100 + +.tile R79C9:PLC2 +arc: S3_V06S0203 N3_V06S0203 + +.tile R7C27:PLC2 +arc: S3_V06S0003 N3_V06S0003 + +.tile R7C33:PLC2 +arc: S3_V06S0303 N3_V06S0303 + +.tile R80C10:PLC2 +arc: S1_V02S0001 W1_H02E0001 +arc: S1_V02S0501 N1_V02S0501 + +.tile R80C11:PLC2 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0501 N3_V06S0303 + +.tile R80C13:PLC2 +arc: S1_V02S0701 N3_V06S0203 + +.tile R80C14:PLC2 +arc: S1_V02S0601 N3_V06S0303 +arc: S1_V02S0701 N3_V06S0203 + +.tile R80C15:PLC2 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0501 N3_V06S0303 + +.tile R80C16:PLC2 +arc: S1_V02S0001 N3_V06S0003 + +.tile R80C17:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: E3_H06E0103 N3_V06S0103 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0601 N1_V02S0301 +arc: W1_H02W0201 N3_V06S0103 + +.tile R80C18:PLC2 +arc: S1_V02S0201 H02E0201 + +.tile R80C19:PLC2 +arc: S1_V02S0601 N3_V06S0303 + +.tile R80C20:PLC2 +arc: S1_V02S0201 H06E0103 +arc: S1_V02S0501 N3_V06S0303 + +.tile R80C21:PLC2 +arc: S1_V02S0501 N1_V02S0401 + +.tile R80C22:PLC2 +arc: E1_H02E0001 N1_V02S0001 +arc: S1_V02S0601 N1_V02S0601 + +.tile R80C23:PLC2 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0401 N3_V06S0203 + +.tile R80C24:PLC2 +arc: S1_V02S0501 H02W0501 +arc: S1_V02S0601 H02W0601 +arc: S1_V02S0701 N1_V02S0601 + +.tile R80C25:PLC2 +arc: W1_H02W0501 N3_V06S0303 +arc: W1_H02W0601 V06S0303 + +.tile R80C26:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: S1_V02S0301 N1_V02S0301 +arc: S1_V02S0501 N3_V06S0303 +arc: S1_V02S0601 N3_V06S0303 + +.tile R80C27:PLC2 +arc: E1_H02E0701 N1_V02S0701 +arc: S1_V02S0201 H02E0201 + +.tile R80C28:PLC2 +arc: S1_V02S0301 N3_V06S0003 +arc: S1_V02S0601 N1_V02S0301 +arc: S1_V02S0701 H02E0701 + +.tile R80C29:PLC2 +arc: S1_V02S0101 N3_V06S0103 +arc: S1_V02S0501 N3_V06S0303 + +.tile R80C31:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0401 N3_V06S0203 + +.tile R80C32:PLC2 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0101 N1_V01S0100 + +.tile R80C33:PLC2 +arc: S1_V02S0201 E1_H02W0201 +arc: S1_V02S0301 E1_H02W0301 +arc: S1_V02S0401 H02W0401 + +.tile R80C34:PLC2 +arc: W1_H02W0401 N3_V06S0203 + +.tile R80C35:PLC2 +arc: W1_H02W0201 V06S0103 +arc: W1_H02W0301 V06S0003 + +.tile R80C5:PLC2 +arc: S1_V02S0201 N3_V06S0103 +arc: S1_V02S0601 N3_V06S0303 + +.tile R80C6:PLC2 +arc: S1_V02S0601 N3_V06S0303 + +.tile R80C8:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0201 N3_V06S0103 +arc: S1_V02S0501 N3_V06S0303 + +.tile R80C9:PLC2 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0301 N3_V06S0003 + +.tile R81C10:PLC2 +arc: S1_V02S0501 N3_V06S0303 +arc: V01S0100 N3_V06S0303 + +.tile R81C11:PLC2 +arc: V01S0100 N3_V06S0303 + +.tile R81C13:PLC2 +arc: E1_H02E0001 V06S0003 +arc: S1_V02S0601 N1_V02S0301 +arc: V01S0100 N3_V06S0303 + +.tile R81C14:PLC2 +arc: S1_V02S0001 H02E0001 +arc: S1_V02S0201 E1_H02W0201 +arc: V01S0100 N3_V06S0303 + +.tile R81C15:PLC2 +arc: S1_V02S0401 E1_H02W0401 + +.tile R81C16:PLC2 +arc: E1_H02E0401 V06S0203 +arc: E3_H06E0203 V06S0203 +arc: E3_H06E0303 N3_V06S0303 +arc: V01S0100 N3_V06S0303 +arc: W1_H02W0201 V06S0103 + +.tile R81C17:PLC2 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0701 N3_V06S0203 +arc: W1_H02W0401 N3_V06S0203 + +.tile R81C18:PLC2 +arc: E1_H02E0401 V06S0203 + +.tile R81C19:PLC2 +arc: E1_H02E0001 V06S0003 +arc: S1_V02S0401 H02E0401 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 H06E0303 +arc: S1_V02S0701 H06E0203 + +.tile R81C20:PLC2 +arc: S1_V02S0301 N3_V06S0003 + +.tile R81C21:PLC2 +arc: S1_V02S0001 W1_H02E0001 + +.tile R81C22:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: S1_V02S0301 H02W0301 +arc: S1_V02S0401 N3_V06S0203 + +.tile R81C23:PLC2 +arc: E1_H02E0201 V06S0103 +arc: S1_V02S0101 H02E0101 +arc: W1_H02W0301 N3_V06S0003 + +.tile R81C24:PLC2 +arc: S1_V02S0001 H02W0001 +arc: S1_V02S0201 H02E0201 +arc: S1_V02S0501 N1_V02S0401 + +.tile R81C25:PLC2 +arc: E3_H06E0303 N3_V06S0303 +arc: W1_H02W0001 V06S0003 + +.tile R81C26:PLC2 +arc: V01S0100 N3_V06S0303 + +.tile R81C27:PLC2 +arc: S1_V02S0601 N1_V02S0601 + +.tile R81C28:PLC2 +arc: E1_H02E0301 N3_V06S0003 +arc: S1_V02S0501 H06E0303 +arc: S1_V02S0601 H06E0303 + +.tile R81C29:PLC2 +arc: V01S0100 N3_V06S0303 + +.tile R81C30:PLC2 +arc: E1_H02E0101 V06S0103 +arc: S1_V02S0301 W1_H02E0301 + +.tile R81C31:PLC2 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0101 H02E0101 +arc: S1_V02S0501 N3_V06S0303 + +.tile R81C32:PLC2 +arc: S1_V02S0001 N3_V06S0003 +arc: S1_V02S0601 N3_V06S0303 +arc: V01S0100 N3_V06S0303 + +.tile R81C33:PLC2 +arc: E1_H02E0301 N3_V06S0003 + +.tile R81C34:PLC2 +arc: S1_V02S0301 H02E0301 + +.tile R81C5:PLC2 +arc: S1_V02S0001 N3_V06S0003 + +.tile R81C6:PLC2 +arc: S1_V02S0301 H06W0003 + +.tile R81C7:PLC2 +arc: S1_V02S0101 N3_V06S0103 +arc: V01S0100 N3_V06S0303 + +.tile R81C9:PLC2 +arc: W3_H06W0003 V06S0003 + +.tile R83C10:PLC2 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0401 N3_V06S0203 + +.tile R83C11:PLC2 +arc: N1_V02N0201 W1_H02E0201 +arc: N1_V02N0301 N3_V06S0003 + +.tile R83C13:PLC2 +arc: E3_H06E0103 W3_H06E0103 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0101 E1_H02W0101 +arc: N1_V02N0401 N3_V06S0203 + +.tile R83C14:PLC2 +arc: N1_V02N0301 N3_V06S0003 + +.tile R83C15:PLC2 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0401 S1_V02N0101 +arc: N1_V02N0601 N3_V06S0303 +arc: W1_H02W0101 N3_V06S0103 + +.tile R83C16:PLC2 +arc: E3_H06E0103 N3_V06S0103 +arc: V01S0000 N3_V06S0103 + +.tile R83C17:PLC2 +arc: N1_V02N0501 N3_V06S0303 + +.tile R83C18:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E1_H02E0201 N3_V06S0103 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0401 V01N0001 +arc: N1_V02N0601 S1_V02N0601 + +.tile R83C19:PLC2 +arc: E3_H06E0103 W3_H06E0103 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0501 N3_V06S0303 + +.tile R83C20:PLC2 +arc: N1_V02N0001 W1_H02E0001 +arc: N1_V02N0201 W1_H02E0201 + +.tile R83C21:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: N1_V02N0401 N3_V06S0203 + +.tile R83C22:PLC2 +arc: E1_H02E0001 N3_V06S0003 +arc: E3_H06E0103 N3_V06S0103 +arc: N1_V02N0101 S1_V02N0001 +arc: N1_V02N0201 H02E0201 + +.tile R83C23:PLC2 +arc: N1_V02N0001 H02E0001 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0601 N3_V06S0303 + +.tile R83C24:PLC2 +arc: E1_H02E0301 N3_V06S0003 +arc: N1_V02N0601 H02W0601 + +.tile R83C25:PLC2 +arc: E1_H02E0101 W3_H06E0103 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0301 H02E0301 +arc: W1_H02W0601 N3_V06S0303 + +.tile R83C26:PLC2 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0701 N3_V06S0203 + +.tile R83C27:PLC2 +arc: E1_H02E0301 N3_V06S0003 +arc: E1_H02E0401 V01N0001 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0301 N3_V06S0003 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0601 V01N0001 +arc: V00T0100 W1_H02E0101 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0303 Q6 +arc: M6 V00T0100 +arc: MUXCLK3 CLK0 +word: SLICED.K0.INIT 0000000000000000 +word: SLICED.K1.INIT 0000000000000000 +enum: SLICED.MODE LOGIC +enum: SLICED.GSR DISABLED +enum: SLICED.REG0.SD 0 +enum: SLICED.REG1.SD 0 +enum: SLICED.REG0.REGSET RESET +enum: SLICED.REG1.REGSET RESET +enum: SLICED.REG0.LSRMODE LSR +enum: SLICED.REG1.LSRMODE LSR +enum: SLICED.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICED.CCU2.INJECT1_0 _NONE_ +enum: SLICED.CCU2.INJECT1_1 _NONE_ +enum: SLICED.A0MUX 1 +enum: SLICED.B0MUX 1 +enum: SLICED.C0MUX 1 +enum: SLICED.D0MUX 1 +enum: SLICED.A1MUX 1 +enum: SLICED.B1MUX 1 +enum: SLICED.C1MUX 1 +enum: SLICED.D1MUX 1 + +.tile R83C28:PLC2 +arc: E1_H02E0401 N3_V06S0203 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0701 N3_V06S0203 + +.tile R83C29:PLC2 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0301 W1_H02E0301 +arc: N1_V02N0401 W1_H02E0401 +arc: N1_V02N0701 N3_V06S0203 + +.tile R83C30:PLC2 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0401 W1_H02E0401 +arc: N3_V06N0303 H06E0303 + +.tile R83C31:PLC2 +arc: N1_V02N0001 H02W0001 +arc: N1_V02N0601 N3_V06S0303 + +.tile R83C32:PLC2 +arc: N1_V01N0101 N3_V06S0203 +arc: N1_V02N0501 N3_V06S0303 +arc: W1_H02W0001 N3_V06S0003 + +.tile R83C33:PLC2 +arc: N1_V02N0201 H02W0201 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0601 N3_V06S0303 + +.tile R83C34:PLC2 +arc: N1_V02N0501 N3_V06S0303 +arc: W1_H02W0201 N3_V06S0103 + +.tile R83C6:PLC2 +arc: N1_V02N0001 H06W0003 + +.tile R83C7:PLC2 +arc: N1_V02N0601 N3_V06S0303 +arc: V00B0100 V02S0101 +arc: CLK0 G_HPBX0000 +arc: E3_H06E0103 Q2 +arc: M2 V00B0100 +arc: MUXCLK1 CLK0 +word: SLICEB.K0.INIT 0000000000000000 +word: SLICEB.K1.INIT 0000000000000000 +enum: SLICEB.MODE LOGIC +enum: SLICEB.GSR DISABLED +enum: SLICEB.REG0.SD 0 +enum: SLICEB.REG1.SD 0 +enum: SLICEB.REG0.REGSET RESET +enum: SLICEB.REG1.REGSET RESET +enum: SLICEB.REG0.LSRMODE LSR +enum: SLICEB.REG1.LSRMODE LSR +enum: SLICEB.CEMUX 1 +enum: LSR0.SRMODE LSR_OVER_CE +enum: LSR0.LSRMUX LSR +enum: LSR1.SRMODE LSR_OVER_CE +enum: LSR1.LSRMUX LSR +enum: CLK0.CLKMUX CLK +enum: SLICEB.CCU2.INJECT1_0 _NONE_ +enum: SLICEB.CCU2.INJECT1_1 _NONE_ +enum: SLICEB.A0MUX 1 +enum: SLICEB.B0MUX 1 +enum: SLICEB.C0MUX 1 +enum: SLICEB.D0MUX 1 +enum: SLICEB.A1MUX 1 +enum: SLICEB.B1MUX 1 +enum: SLICEB.C1MUX 1 +enum: SLICEB.D1MUX 1 + +.tile R83C8:PLC2 +arc: N1_V02N0301 N3_V06S0003 + +.tile R83C9:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0401 S1_V02N0401 +arc: N1_V02N0601 N3_V06S0303 +arc: V01S0000 N3_V06S0103 +arc: W3_H06W0003 N3_V06S0003 + +.tile R84C10:PLC2 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 N3_V06S0303 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 N3_V06S0203 + +.tile R84C13:PLC2 +arc: N1_V02N0201 N3_V06S0103 + +.tile R84C14:PLC2 +arc: N1_V02N0501 N3_V06S0303 + +.tile R84C15:PLC2 +arc: N1_V02N0401 N3_V06S0203 +arc: N1_V02N0501 N3_V06S0303 + +.tile R84C16:PLC2 +arc: E3_H06E0103 N3_V06S0103 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0401 N1_V01S0000 +arc: N1_V02N0701 N3_V06S0203 + +.tile R84C18:PLC2 +arc: E3_H06E0103 N3_V06S0103 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0501 N3_V06S0303 + +.tile R84C19:PLC2 +arc: N1_V02N0201 H06E0103 +arc: N1_V02N0401 N3_V06S0203 + +.tile R84C20:PLC2 +arc: N1_V02N0001 N3_V06S0003 + +.tile R84C21:PLC2 +arc: N1_V02N0101 H06E0103 +arc: N1_V02N0601 N3_V06S0303 +arc: N1_V02N0701 N3_V06S0203 + +.tile R84C23:PLC2 +arc: N1_V02N0501 N3_V06S0303 + +.tile R84C24:PLC2 +arc: N1_V02N0501 N3_V06S0303 + +.tile R84C25:PLC2 +arc: E3_H06E0203 N3_V06S0203 +arc: E3_H06E0303 N3_V06S0303 + +.tile R84C26:PLC2 +arc: N1_V02N0001 N3_V06S0003 + +.tile R84C27:PLC2 +arc: N1_V01N0001 N3_V06S0003 +arc: N1_V02N0701 N3_V06S0203 + +.tile R84C28:PLC2 +arc: E1_H02E0201 N3_V06S0103 +arc: N1_V02N0401 H06E0203 +arc: N1_V02N0501 H06E0303 +arc: N1_V02N0601 H06E0303 +arc: N1_V02N0701 H06E0203 + +.tile R84C30:PLC2 +arc: N1_V02N0201 W1_H02E0201 + +.tile R84C32:PLC2 +arc: E1_H02E0101 N3_V06S0103 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0401 N3_V06S0203 + +.tile R84C33:PLC2 +arc: N1_V02N0101 N3_V06S0103 +arc: N1_V02N0501 N3_V06S0303 + +.tile R84C34:PLC2 +arc: N1_V02N0101 W1_H02E0101 + +.tile R84C6:PLC2 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0701 H06W0203 + +.tile R84C7:PLC2 +arc: N1_V02N0201 N3_V06S0103 +arc: N1_V02N0701 N3_V06S0203 + +.tile R84C8:PLC2 +arc: N1_V02N0001 N3_V06S0003 + +.tile R84C9:PLC2 +arc: N1_V02N0001 N3_V06S0003 +arc: N1_V02N0601 N1_V01S0000 +arc: N1_V02N0701 N3_V06S0203 +arc: W3_H06W0203 N1_V01S0000 + +.tile R85C15:PLC2 +arc: N1_V02N0101 N3_V06S0103 + +.tile R85C18:PLC2 +arc: N1_V02N0601 N3_V06S0303 + +.tile R85C22:PLC2 +arc: N1_V02N0001 N3_V06S0003 + +.tile R85C9:PLC2 +arc: N1_V02N0401 N3_V06S0203 + +.tile R88C7:PLC2 +arc: N3_V06N0103 S3_V06N0003 + +.tile R8C28:PLC2 +arc: N3_V06N0103 S3_V06N0103 + +.tile TAP_R22C13:TAP_DRIVE_CIB +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R22C31:TAP_DRIVE_CIB +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R22C58:TAP_DRIVE_CIB +arc: L_HPBX0000 G_VPTX0000 + +.tile TAP_R29C31:TAP_DRIVE +arc: L_HPBX0100 G_VPTX0100 + +.tile TAP_R30C31:TAP_DRIVE +arc: L_HPBX0100 G_VPTX0100 + +.tile TAP_R31C31:TAP_DRIVE +arc: L_HPBX0100 G_VPTX0100 + +.tile TAP_R32C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: L_HPBX0100 G_VPTX0100 + +.tile TAP_R33C13:TAP_DRIVE +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R33C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: L_HPBX0100 G_VPTX0100 + +.tile TAP_R35C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: L_HPBX0100 G_VPTX0100 +arc: R_HPBX0000 G_VPTX0000 +arc: R_HPBX0100 G_VPTX0100 + +.tile TAP_R36C13:TAP_DRIVE +arc: R_HPBX0000 G_VPTX0000 +arc: R_HPBX0100 G_VPTX0100 + +.tile TAP_R36C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R37C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 +arc: R_HPBX0100 G_VPTX0100 + +.tile TAP_R37C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: L_HPBX0100 G_VPTX0100 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R38C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R38C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R39C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R39C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R40C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R40C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R41C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R41C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R42C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R42C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R43C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R43C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R44C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R44C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R45C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R45C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R46C13:TAP_DRIVE_CIB +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R46C31:TAP_DRIVE_CIB +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R47C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R47C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R48C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R48C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R49C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R49C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R50C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R50C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R51C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R51C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R52C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R52C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R53C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R53C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R54C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R54C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R55C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R55C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R56C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R56C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R57C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R57C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 + +.tile TAP_R59C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R59C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R60C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R60C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R61C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R61C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R62C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R62C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R63C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R63C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R64C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R64C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 + +.tile TAP_R65C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R65C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 + +.tile TAP_R66C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R66C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 + +.tile TAP_R70C13:TAP_DRIVE_CIB +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R70C31:TAP_DRIVE_CIB +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R70C58:TAP_DRIVE_CIB +arc: L_HPBX0000 G_VPTX0000 + +.tile TAP_R82C13:TAP_DRIVE_CIB +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R82C31:TAP_DRIVE_CIB +arc: L_HPBX0000 G_VPTX0000 +arc: R_HPBX0000 G_VPTX0000 + +.tile TAP_R83C13:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 + +.tile TAP_R83C31:TAP_DRIVE +arc: L_HPBX0000 G_VPTX0000 + +.bram_init 3 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 4 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 5 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 6 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 7 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 8 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 9 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 10 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 11 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 12 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 13 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 14 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 15 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 16 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 17 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 18 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 19 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 20 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 21 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 22 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 23 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 24 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 25 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 26 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 27 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 28 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 29 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 30 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 31 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 32 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 33 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 34 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 35 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 36 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 37 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 38 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 39 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 40 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 41 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 42 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 43 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 44 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 45 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 46 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 47 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 48 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 49 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 50 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 51 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 52 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 53 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 54 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 55 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 56 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 57 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 58 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 59 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 60 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 61 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 62 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 63 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 64 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 65 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.bram_init 66 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 +000 000 000 000 000 000 000 000 + +.tile_group MIB_R22C31:MIB_EBR0 MIB_R22C32:MIB_EBR1 +word: EBR0.WID 100000100 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R22C15:MIB_EBR2 MIB_R22C16:MIB_EBR3 MIB_R22C17:MIB_EBR4 +word: EBR1.WID 000000100 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R70C6:MIB_EBR2 MIB_R70C7:MIB_EBR3 MIB_R70C8:MIB_EBR4 +word: EBR1.WID 111111000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R82C6:MIB_EBR2 MIB_R82C7:MIB_EBR3 MIB_R82C8:MIB_EBR4 +word: EBR1.WID 011111000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R82C10:MIB_EBR6 MIB_R82C11:MIB_EBR7 MIB_R82C12:MIB_EBR8 +word: EBR3.WID 001111000 +word: EBR3.CSDECODE_A 111 +word: EBR3.CSDECODE_B 111 +enum: EBR3.MODE DP16KD +enum: EBR3.DP16KD.DATA_WIDTH_A 1 +enum: EBR3.DP16KD.DATA_WIDTH_B 1 +enum: EBR3.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR3.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR3.REGMODE_A NOREG +enum: EBR3.REGMODE_B NOREG +enum: EBR3.RESETMODE SYNC +enum: EBR3.ASYNC_RESET_RELEASE SYNC +enum: EBR3.GSR DISABLED +enum: EBR3.CLKAMUX CLKA +enum: EBR3.CLKBMUX CLKB +enum: EBR3.RSTAMUX INV +enum: EBR3.RSTBMUX INV +enum: EBR3.WEAMUX WEA +enum: EBR3.WEBMUX INV +enum: EBR3.CEAMUX CEA +enum: EBR3.CEBMUX CEB +enum: EBR3.OCEAMUX OCEA +enum: EBR3.OCEBMUX OCEB + +.tile_group MIB_R70C8:MIB_EBR4 MIB_R70C9:MIB_EBR5 MIB_R70C10:MIB_EBR6 +word: EBR2.WID 100111000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R70C26:MIB_EBR4 MIB_R70C27:MIB_EBR5 MIB_R70C28:MIB_EBR6 +word: EBR2.WID 111011000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R70C42:MIB_EBR2 MIB_R70C43:MIB_EBR3 MIB_R70C44:MIB_EBR4 +word: EBR1.WID 011011000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R70C10:MIB_EBR6 MIB_R70C11:MIB_EBR7 MIB_R70C12:EBR_SPINE_LL2 +word: EBR3.WID 101011000 +word: EBR3.CSDECODE_A 111 +word: EBR3.CSDECODE_B 111 +enum: EBR3.MODE DP16KD +enum: EBR3.DP16KD.DATA_WIDTH_A 1 +enum: EBR3.DP16KD.DATA_WIDTH_B 1 +enum: EBR3.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR3.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR3.REGMODE_A NOREG +enum: EBR3.REGMODE_B NOREG +enum: EBR3.RESETMODE SYNC +enum: EBR3.ASYNC_RESET_RELEASE SYNC +enum: EBR3.GSR DISABLED +enum: EBR3.CLKAMUX CLKA +enum: EBR3.CLKBMUX CLKB +enum: EBR3.RSTAMUX INV +enum: EBR3.RSTBMUX INV +enum: EBR3.WEAMUX WEA +enum: EBR3.WEBMUX INV +enum: EBR3.CEAMUX CEA +enum: EBR3.CEBMUX CEB +enum: EBR3.OCEAMUX OCEA +enum: EBR3.OCEBMUX OCEB + +.tile_group MIB_R70C15:MIB_EBR2 MIB_R70C16:MIB_EBR3 MIB_R70C17:MIB_EBR4 +word: EBR1.WID 001011000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R70C40:MIB_EBR0 MIB_R70C41:MIB_EBR1 +word: EBR0.WID 110011000 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R82C13:MIB_EBR0 MIB_R82C14:MIB_EBR1 +word: EBR0.WID 010011000 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R46C15:MIB_EBR2 MIB_R46C16:MIB_EBR3 MIB_R46C17:MIB_EBR4 +word: EBR1.WID 100011000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R82C26:MIB_EBR4 MIB_R82C27:MIB_EBR5 MIB_R82C28:MIB_EBR6 +word: EBR2.WID 000011000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R70C13:MIB_EBR0 MIB_R70C14:MIB_EBR1 +word: EBR0.WID 111101000 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R82C22:MIB_EBR0 MIB_R82C23:MIB_EBR1 +word: EBR0.WID 011101000 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R82C8:MIB_EBR4 MIB_R82C9:MIB_EBR5 MIB_R82C10:MIB_EBR6 +word: EBR2.WID 101101000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R82C19:MIB_EBR6 MIB_R82C20:MIB_EBR7 MIB_R82C21:MIB_EBR8 +word: EBR3.WID 001101000 +word: EBR3.CSDECODE_A 111 +word: EBR3.CSDECODE_B 111 +enum: EBR3.MODE DP16KD +enum: EBR3.DP16KD.DATA_WIDTH_A 1 +enum: EBR3.DP16KD.DATA_WIDTH_B 1 +enum: EBR3.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR3.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR3.REGMODE_A NOREG +enum: EBR3.REGMODE_B NOREG +enum: EBR3.RESETMODE SYNC +enum: EBR3.ASYNC_RESET_RELEASE SYNC +enum: EBR3.GSR DISABLED +enum: EBR3.CLKAMUX CLKA +enum: EBR3.CLKBMUX CLKB +enum: EBR3.RSTAMUX INV +enum: EBR3.RSTBMUX INV +enum: EBR3.WEAMUX WEA +enum: EBR3.WEBMUX INV +enum: EBR3.CEAMUX CEA +enum: EBR3.CEBMUX CEB +enum: EBR3.OCEAMUX OCEA +enum: EBR3.OCEBMUX OCEB + +.tile_group MIB_R70C22:MIB_EBR0 MIB_R70C23:MIB_EBR1 +word: EBR0.WID 110101000 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R70C19:MIB_EBR6 MIB_R70C20:MIB_EBR7 MIB_R70C21:MIB_EBR8 +word: EBR3.WID 010101000 +word: EBR3.CSDECODE_A 111 +word: EBR3.CSDECODE_B 111 +enum: EBR3.MODE DP16KD +enum: EBR3.DP16KD.DATA_WIDTH_A 1 +enum: EBR3.DP16KD.DATA_WIDTH_B 1 +enum: EBR3.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR3.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR3.REGMODE_A NOREG +enum: EBR3.REGMODE_B NOREG +enum: EBR3.RESETMODE SYNC +enum: EBR3.ASYNC_RESET_RELEASE SYNC +enum: EBR3.GSR DISABLED +enum: EBR3.CLKAMUX CLKA +enum: EBR3.CLKBMUX CLKB +enum: EBR3.RSTAMUX INV +enum: EBR3.RSTBMUX INV +enum: EBR3.WEAMUX WEA +enum: EBR3.WEBMUX INV +enum: EBR3.CEAMUX CEA +enum: EBR3.CEBMUX CEB +enum: EBR3.OCEAMUX OCEA +enum: EBR3.OCEBMUX OCEB + +.tile_group MIB_R70C17:MIB_EBR4 MIB_R70C18:MIB_EBR5 MIB_R70C19:MIB_EBR6 +word: EBR2.WID 100101000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R82C17:MIB_EBR4 MIB_R82C18:MIB_EBR5 MIB_R82C19:MIB_EBR6 +word: EBR2.WID 000101000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R70C35:MIB_EBR4 MIB_R70C36:MIB_EBR5 MIB_R70C37:MIB_EBR6 +word: EBR2.WID 111001000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R82C15:MIB_EBR2 MIB_R82C16:MIB_EBR3 MIB_R82C17:MIB_EBR4 +word: EBR1.WID 011001000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R82C28:MIB_EBR6 MIB_R82C29:MIB_EBR7 MIB_R82C30:MIB_EBR8 +word: EBR3.WID 001001000 +word: EBR3.CSDECODE_A 111 +word: EBR3.CSDECODE_B 111 +enum: EBR3.MODE DP16KD +enum: EBR3.DP16KD.DATA_WIDTH_A 1 +enum: EBR3.DP16KD.DATA_WIDTH_B 1 +enum: EBR3.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR3.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR3.REGMODE_A NOREG +enum: EBR3.REGMODE_B NOREG +enum: EBR3.RESETMODE SYNC +enum: EBR3.ASYNC_RESET_RELEASE SYNC +enum: EBR3.GSR DISABLED +enum: EBR3.CLKAMUX CLKA +enum: EBR3.CLKBMUX CLKB +enum: EBR3.RSTAMUX INV +enum: EBR3.RSTBMUX INV +enum: EBR3.WEAMUX WEA +enum: EBR3.WEBMUX INV +enum: EBR3.CEAMUX CEA +enum: EBR3.CEBMUX CEB +enum: EBR3.OCEAMUX OCEA +enum: EBR3.OCEBMUX OCEB + +.tile_group MIB_R70C44:MIB_EBR4 MIB_R70C45:MIB_EBR5 MIB_R70C46:MIB_EBR6 +word: EBR2.WID 110001000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R22C24:MIB_EBR2 MIB_R22C25:MIB_EBR3 MIB_R22C26:MIB_EBR4 +word: EBR1.WID 010001000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R22C28:MIB_EBR6 MIB_R22C29:MIB_EBR7 MIB_R22C30:EBR_SPINE_UL1 +word: EBR3.WID 000001000 +word: EBR3.CSDECODE_A 111 +word: EBR3.CSDECODE_B 111 +enum: EBR3.MODE DP16KD +enum: EBR3.DP16KD.DATA_WIDTH_A 1 +enum: EBR3.DP16KD.DATA_WIDTH_B 1 +enum: EBR3.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR3.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR3.REGMODE_A NOREG +enum: EBR3.REGMODE_B NOREG +enum: EBR3.RESETMODE SYNC +enum: EBR3.ASYNC_RESET_RELEASE SYNC +enum: EBR3.GSR DISABLED +enum: EBR3.CLKAMUX CLKA +enum: EBR3.CLKBMUX CLKB +enum: EBR3.RSTAMUX INV +enum: EBR3.RSTBMUX INV +enum: EBR3.WEAMUX WEA +enum: EBR3.WEBMUX INV +enum: EBR3.CEAMUX CEA +enum: EBR3.CEBMUX CEB +enum: EBR3.OCEAMUX OCEA +enum: EBR3.OCEBMUX OCEB + +.tile_group MIB_R22C6:MIB_EBR2 MIB_R22C7:MIB_EBR3 MIB_R22C8:MIB_EBR4 +word: EBR1.WID 111110000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R22C8:MIB_EBR4 MIB_R22C9:MIB_EBR5 MIB_R22C10:MIB_EBR6 +word: EBR2.WID 011110000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R22C42:MIB_EBR2 MIB_R22C43:MIB_EBR3 MIB_R22C44:MIB_EBR4 +word: EBR1.WID 110110000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R22C46:MIB_EBR6 MIB_R22C47:MIB_EBR7 MIB_R22C48:MIB_EBR8 +word: EBR3.WID 010110000 +word: EBR3.CSDECODE_A 111 +word: EBR3.CSDECODE_B 111 +enum: EBR3.MODE DP16KD +enum: EBR3.DP16KD.DATA_WIDTH_A 1 +enum: EBR3.DP16KD.DATA_WIDTH_B 1 +enum: EBR3.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR3.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR3.REGMODE_A NOREG +enum: EBR3.REGMODE_B NOREG +enum: EBR3.RESETMODE SYNC +enum: EBR3.ASYNC_RESET_RELEASE SYNC +enum: EBR3.GSR DISABLED +enum: EBR3.CLKAMUX CLKA +enum: EBR3.CLKBMUX CLKB +enum: EBR3.RSTAMUX INV +enum: EBR3.RSTBMUX INV +enum: EBR3.WEAMUX WEA +enum: EBR3.WEBMUX INV +enum: EBR3.CEAMUX CEA +enum: EBR3.CEBMUX CEB +enum: EBR3.OCEAMUX OCEA +enum: EBR3.OCEBMUX OCEB + +.tile_group MIB_R22C33:MIB_EBR2 MIB_R22C34:MIB_EBR3 MIB_R22C35:MIB_EBR4 +word: EBR1.WID 000110000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R22C4:MIB_EBR0 MIB_R22C5:MIB_EBR1 +word: EBR0.WID 111010000 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R22C40:MIB_EBR0 MIB_R22C41:MIB_EBR1 +word: EBR0.WID 011010000 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R22C19:MIB_EBR6 MIB_R22C20:MIB_EBR7 MIB_R22C21:MIB_EBR8 +word: EBR3.WID 001010000 +word: EBR3.CSDECODE_A 111 +word: EBR3.CSDECODE_B 111 +enum: EBR3.MODE DP16KD +enum: EBR3.DP16KD.DATA_WIDTH_A 1 +enum: EBR3.DP16KD.DATA_WIDTH_B 1 +enum: EBR3.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR3.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR3.REGMODE_A NOREG +enum: EBR3.REGMODE_B NOREG +enum: EBR3.RESETMODE SYNC +enum: EBR3.ASYNC_RESET_RELEASE SYNC +enum: EBR3.GSR DISABLED +enum: EBR3.CLKAMUX CLKA +enum: EBR3.CLKBMUX CLKB +enum: EBR3.RSTAMUX INV +enum: EBR3.RSTBMUX INV +enum: EBR3.WEAMUX WEA +enum: EBR3.WEBMUX INV +enum: EBR3.CEAMUX CEA +enum: EBR3.CEBMUX CEB +enum: EBR3.OCEAMUX OCEA +enum: EBR3.OCEBMUX OCEB + +.tile_group MIB_R22C17:MIB_EBR4 MIB_R22C18:MIB_EBR5 MIB_R22C19:MIB_EBR6 +word: EBR2.WID 110010000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R46C24:MIB_EBR2 MIB_R46C25:MIB_EBR3 MIB_R46C26:MIB_EBR4 +word: EBR1.WID 010010000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R46C10:MIB_EBR6 MIB_R46C11:MIB_EBR7 MIB_R46C12:MIB_EBR8 +word: EBR3.WID 000010000 +word: EBR3.CSDECODE_A 111 +word: EBR3.CSDECODE_B 111 +enum: EBR3.MODE DP16KD +enum: EBR3.DP16KD.DATA_WIDTH_A 1 +enum: EBR3.DP16KD.DATA_WIDTH_B 1 +enum: EBR3.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR3.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR3.REGMODE_A NOREG +enum: EBR3.REGMODE_B NOREG +enum: EBR3.RESETMODE SYNC +enum: EBR3.ASYNC_RESET_RELEASE SYNC +enum: EBR3.GSR DISABLED +enum: EBR3.CLKAMUX CLKA +enum: EBR3.CLKBMUX CLKB +enum: EBR3.RSTAMUX INV +enum: EBR3.RSTBMUX INV +enum: EBR3.WEAMUX WEA +enum: EBR3.WEBMUX INV +enum: EBR3.CEAMUX CEA +enum: EBR3.CEBMUX CEB +enum: EBR3.OCEAMUX OCEA +enum: EBR3.OCEBMUX OCEB + +.tile_group MIB_R46C13:MIB_EBR0 MIB_R46C14:MIB_EBR1 +word: EBR0.WID 011100000 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R46C37:MIB_EBR6 MIB_R46C38:MIB_EBR7 MIB_R46C39:MIB_EBR8 +word: EBR3.WID 001100000 +word: EBR3.CSDECODE_A 111 +word: EBR3.CSDECODE_B 111 +enum: EBR3.MODE DP16KD +enum: EBR3.DP16KD.DATA_WIDTH_A 1 +enum: EBR3.DP16KD.DATA_WIDTH_B 1 +enum: EBR3.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR3.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR3.REGMODE_A NOREG +enum: EBR3.REGMODE_B NOREG +enum: EBR3.RESETMODE SYNC +enum: EBR3.ASYNC_RESET_RELEASE SYNC +enum: EBR3.GSR DISABLED +enum: EBR3.CLKAMUX CLKA +enum: EBR3.CLKBMUX CLKB +enum: EBR3.RSTAMUX INV +enum: EBR3.RSTBMUX INV +enum: EBR3.WEAMUX WEA +enum: EBR3.WEBMUX INV +enum: EBR3.CEAMUX CEA +enum: EBR3.CEBMUX CEB +enum: EBR3.OCEAMUX OCEA +enum: EBR3.OCEBMUX OCEB + +.tile_group MIB_R82C31:MIB_EBR0 MIB_R82C32:MIB_EBR1 +word: EBR0.WID 110100000 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R82C33:MIB_EBR2 MIB_R82C34:MIB_EBR3 MIB_R82C35:MIB_EBR4 +word: EBR1.WID 010100000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R70C31:MIB_EBR0 MIB_R70C32:MIB_EBR1 +word: EBR0.WID 100100000 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R70C24:MIB_EBR2 MIB_R70C25:MIB_EBR3 MIB_R70C26:MIB_EBR4 +word: EBR1.WID 000100000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R82C24:MIB_EBR2 MIB_R82C25:MIB_EBR3 MIB_R82C26:MIB_EBR4 +word: EBR1.WID 111000000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R46C31:MIB_EBR0 MIB_R46C32:MIB_EBR1 +word: EBR0.WID 011000000 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R22C22:MIB_EBR0 MIB_R22C23:MIB_EBR1 +word: EBR0.WID 101000000 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R46C33:MIB_EBR2 MIB_R46C34:MIB_EBR3 MIB_R46C35:MIB_EBR4 +word: EBR1.WID 001000000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R46C26:MIB_EBR4 MIB_R46C27:MIB_EBR5 MIB_R46C28:MIB_EBR6 +word: EBR2.WID 110000000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R70C28:MIB_EBR6 MIB_R70C29:MIB_EBR7 MIB_R70C30:EBR_SPINE_LL1 +word: EBR3.WID 010000100 +word: EBR3.CSDECODE_A 111 +word: EBR3.CSDECODE_B 111 +enum: EBR3.MODE DP16KD +enum: EBR3.DP16KD.DATA_WIDTH_A 1 +enum: EBR3.DP16KD.DATA_WIDTH_B 1 +enum: EBR3.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR3.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR3.REGMODE_A NOREG +enum: EBR3.REGMODE_B NOREG +enum: EBR3.RESETMODE SYNC +enum: EBR3.ASYNC_RESET_RELEASE SYNC +enum: EBR3.GSR DISABLED +enum: EBR3.CLKAMUX CLKA +enum: EBR3.CLKBMUX CLKB +enum: EBR3.RSTAMUX INV +enum: EBR3.RSTBMUX INV +enum: EBR3.WEAMUX WEA +enum: EBR3.WEBMUX INV +enum: EBR3.CEAMUX CEA +enum: EBR3.CEBMUX CEB +enum: EBR3.OCEAMUX OCEA +enum: EBR3.OCEBMUX OCEB + +.tile_group MIB_R70C4:MIB_EBR0 MIB_R70C5:MIB_EBR1 +word: EBR0.WID 101111000 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R22C13:MIB_EBR0 MIB_R22C14:MIB_EBR1 +word: EBR0.WID 010111000 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R70C37:MIB_EBR6 MIB_R70C38:MIB_EBR7 MIB_R70C39:MIB_EBR8 +word: EBR3.WID 000111000 +word: EBR3.CSDECODE_A 111 +word: EBR3.CSDECODE_B 111 +enum: EBR3.MODE DP16KD +enum: EBR3.DP16KD.DATA_WIDTH_A 1 +enum: EBR3.DP16KD.DATA_WIDTH_B 1 +enum: EBR3.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR3.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR3.REGMODE_A NOREG +enum: EBR3.REGMODE_B NOREG +enum: EBR3.RESETMODE SYNC +enum: EBR3.ASYNC_RESET_RELEASE SYNC +enum: EBR3.GSR DISABLED +enum: EBR3.CLKAMUX CLKA +enum: EBR3.CLKBMUX CLKB +enum: EBR3.RSTAMUX INV +enum: EBR3.RSTBMUX INV +enum: EBR3.WEAMUX WEA +enum: EBR3.WEBMUX INV +enum: EBR3.CEAMUX CEA +enum: EBR3.CEBMUX CEB +enum: EBR3.OCEAMUX OCEA +enum: EBR3.OCEBMUX OCEB + +.tile_group MIB_R70C33:MIB_EBR2 MIB_R70C34:MIB_EBR3 MIB_R70C35:MIB_EBR4 +word: EBR1.WID 101001000 +word: EBR1.CSDECODE_A 111 +word: EBR1.CSDECODE_B 111 +enum: EBR1.MODE DP16KD +enum: EBR1.DP16KD.DATA_WIDTH_A 1 +enum: EBR1.DP16KD.DATA_WIDTH_B 1 +enum: EBR1.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR1.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR1.REGMODE_A NOREG +enum: EBR1.REGMODE_B NOREG +enum: EBR1.RESETMODE SYNC +enum: EBR1.ASYNC_RESET_RELEASE SYNC +enum: EBR1.GSR DISABLED +enum: EBR1.CLKAMUX CLKA +enum: EBR1.CLKBMUX CLKB +enum: EBR1.RSTAMUX INV +enum: EBR1.RSTBMUX INV +enum: EBR1.WEAMUX WEA +enum: EBR1.WEBMUX INV +enum: EBR1.CEAMUX CEA +enum: EBR1.CEBMUX CEB +enum: EBR1.OCEAMUX OCEA +enum: EBR1.OCEBMUX OCEB + +.tile_group MIB_R22C10:MIB_EBR6 MIB_R22C11:MIB_EBR7 MIB_R22C12:EBR_SPINE_UL2 +word: EBR3.WID 101110000 +word: EBR3.CSDECODE_A 111 +word: EBR3.CSDECODE_B 111 +enum: EBR3.MODE DP16KD +enum: EBR3.DP16KD.DATA_WIDTH_A 1 +enum: EBR3.DP16KD.DATA_WIDTH_B 1 +enum: EBR3.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR3.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR3.REGMODE_A NOREG +enum: EBR3.REGMODE_B NOREG +enum: EBR3.RESETMODE SYNC +enum: EBR3.ASYNC_RESET_RELEASE SYNC +enum: EBR3.GSR DISABLED +enum: EBR3.CLKAMUX CLKA +enum: EBR3.CLKBMUX CLKB +enum: EBR3.RSTAMUX INV +enum: EBR3.RSTBMUX INV +enum: EBR3.WEAMUX WEA +enum: EBR3.WEBMUX INV +enum: EBR3.CEAMUX CEA +enum: EBR3.CEBMUX CEB +enum: EBR3.OCEAMUX OCEA +enum: EBR3.OCEBMUX OCEB + +.tile_group MIB_R46C8:MIB_EBR4 MIB_R46C9:MIB_EBR5 MIB_R46C10:MIB_EBR6 +word: EBR2.WID 001110000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R22C37:MIB_EBR6 MIB_R22C38:MIB_EBR7 MIB_R22C39:MIB_EBR8 +word: EBR3.WID 101010000 +word: EBR3.CSDECODE_A 111 +word: EBR3.CSDECODE_B 111 +enum: EBR3.MODE DP16KD +enum: EBR3.DP16KD.DATA_WIDTH_A 1 +enum: EBR3.DP16KD.DATA_WIDTH_B 1 +enum: EBR3.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR3.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR3.REGMODE_A NOREG +enum: EBR3.REGMODE_B NOREG +enum: EBR3.RESETMODE SYNC +enum: EBR3.ASYNC_RESET_RELEASE SYNC +enum: EBR3.GSR DISABLED +enum: EBR3.CLKAMUX CLKA +enum: EBR3.CLKBMUX CLKB +enum: EBR3.RSTAMUX INV +enum: EBR3.RSTBMUX INV +enum: EBR3.WEAMUX WEA +enum: EBR3.WEBMUX INV +enum: EBR3.CEAMUX CEA +enum: EBR3.CEBMUX CEB +enum: EBR3.OCEAMUX OCEA +enum: EBR3.OCEBMUX OCEB + +.tile_group MIB_R22C35:MIB_EBR4 MIB_R22C36:MIB_EBR5 MIB_R22C37:MIB_EBR6 +word: EBR2.WID 100010000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R46C17:MIB_EBR4 MIB_R46C18:MIB_EBR5 MIB_R46C19:MIB_EBR6 +word: EBR2.WID 101100000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R46C35:MIB_EBR4 MIB_R46C36:MIB_EBR5 MIB_R46C37:MIB_EBR6 +word: EBR2.WID 111100000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R46C22:MIB_EBR0 MIB_R46C23:MIB_EBR1 +word: EBR0.WID 110111000 +word: EBR0.CSDECODE_A 111 +word: EBR0.CSDECODE_B 111 +enum: EBR0.MODE DP16KD +enum: EBR0.DP16KD.DATA_WIDTH_A 1 +enum: EBR0.DP16KD.DATA_WIDTH_B 1 +enum: EBR0.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR0.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR0.REGMODE_A NOREG +enum: EBR0.REGMODE_B NOREG +enum: EBR0.RESETMODE SYNC +enum: EBR0.ASYNC_RESET_RELEASE SYNC +enum: EBR0.GSR DISABLED +enum: EBR0.CLKAMUX CLKA +enum: EBR0.CLKBMUX CLKB +enum: EBR0.RSTAMUX INV +enum: EBR0.RSTBMUX INV +enum: EBR0.WEAMUX WEA +enum: EBR0.WEBMUX INV +enum: EBR0.CEAMUX CEA +enum: EBR0.CEBMUX CEB +enum: EBR0.OCEAMUX OCEA +enum: EBR0.OCEBMUX OCEB + +.tile_group MIB_R22C26:MIB_EBR4 MIB_R22C27:MIB_EBR5 MIB_R22C28:MIB_EBR6 +word: EBR2.WID 100110000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R22C44:MIB_EBR4 MIB_R22C45:MIB_EBR5 MIB_R22C46:MIB_EBR6 +word: EBR2.WID 100001000 +word: EBR2.CSDECODE_A 111 +word: EBR2.CSDECODE_B 111 +enum: EBR2.MODE DP16KD +enum: EBR2.DP16KD.DATA_WIDTH_A 1 +enum: EBR2.DP16KD.DATA_WIDTH_B 1 +enum: EBR2.DP16KD.WRITEMODE_A READBEFOREWRITE +enum: EBR2.DP16KD.WRITEMODE_B READBEFOREWRITE +enum: EBR2.REGMODE_A NOREG +enum: EBR2.REGMODE_B NOREG +enum: EBR2.RESETMODE SYNC +enum: EBR2.ASYNC_RESET_RELEASE SYNC +enum: EBR2.GSR DISABLED +enum: EBR2.CLKAMUX CLKA +enum: EBR2.CLKBMUX CLKB +enum: EBR2.RSTAMUX INV +enum: EBR2.RSTBMUX INV +enum: EBR2.WEAMUX WEA +enum: EBR2.WEBMUX INV +enum: EBR2.CEAMUX CEA +enum: EBR2.CEBMUX CEB +enum: EBR2.OCEAMUX OCEA +enum: EBR2.OCEBMUX OCEB + +.tile_group MIB_R58C26:MIB_DSP4 MIB_R58C26:MIB2_DSP4 MIB_R58C27:MIB_DSP5 MIB_R58C27:MIB2_DSP5 MIB_R58C28:MIB_DSP6 MIB_R58C28:MIB2_DSP6 MIB_R58C29:MIB_DSP7 MIB_R58C29:MIB2_DSP7 MIB_R58C30:MIB_DSP8 MIB_R58C30:MIB2_DSP8 +enum: MULT18_4.REG_INPUTA_CLK NONE +enum: MULT18_4.REG_INPUTA_CE CE0 +enum: MULT18_4.REG_INPUTA_RST RST0 +enum: MULT18_4.REG_INPUTB_CLK NONE +enum: MULT18_4.REG_INPUTB_CE CE0 +enum: MULT18_4.REG_INPUTB_RST RST0 +enum: MULT18_4.REG_INPUTC_CLK NONE +enum: MULT18_4.REG_PIPELINE_CLK NONE +enum: MULT18_4.REG_PIPELINE_CE CE0 +enum: MULT18_4.REG_PIPELINE_RST RST0 +enum: MULT18_4.REG_OUTPUT_CLK NONE +enum: MULT18_4.REG_OUTPUT_RST RST0 +enum: MULT18_4.CLK0_DIV ENABLED +enum: MULT18_4.CLK1_DIV ENABLED +enum: MULT18_4.CLK2_DIV ENABLED +enum: MULT18_4.CLK3_DIV ENABLED +enum: MULT18_4.GSR ENABLED +enum: MULT18_4.SOURCEB_MODE B_SHIFT +enum: MULT18_4.RESETMODE SYNC +enum: MULT18_4.MODE MULT18X18D +enum: MULT18_4.CIBOUT_BYP ON +enum: DSP_RIGHT.CIBOUT ON +enum: MULT18_4.CLK0MUX CLK0 +enum: MULT18_4.CLK1MUX CLK1 +enum: MULT18_4.CLK2MUX CLK2 +enum: MULT18_4.CLK3MUX CLK3 +enum: MULT18_4.CE0MUX CE0 +enum: MULT18_4.CE1MUX CE1 +enum: MULT18_4.CE2MUX CE2 +enum: MULT18_4.CE3MUX CE3 +enum: MULT18_4.RST0MUX RST0 +enum: MULT18_4.RST1MUX RST1 +enum: MULT18_4.RST2MUX RST2 +enum: MULT18_4.RST3MUX RST3 + +.tile_group MIB_R58C26:MIB_DSP4 MIB_R58C26:MIB2_DSP4 MIB_R58C27:MIB_DSP5 MIB_R58C27:MIB2_DSP5 MIB_R58C28:MIB_DSP6 MIB_R58C28:MIB2_DSP6 MIB_R58C29:MIB_DSP7 MIB_R58C29:MIB2_DSP7 MIB_R58C30:MIB_DSP8 MIB_R58C30:MIB2_DSP8 +enum: MULT18_5.REG_INPUTA_CLK NONE +enum: MULT18_5.REG_INPUTA_CE CE0 +enum: MULT18_5.REG_INPUTA_RST RST0 +enum: MULT18_5.REG_INPUTB_CLK NONE +enum: MULT18_5.REG_INPUTB_CE CE0 +enum: MULT18_5.REG_INPUTB_RST RST0 +enum: MULT18_5.REG_INPUTC_CLK NONE +enum: MULT18_5.REG_PIPELINE_CLK NONE +enum: MULT18_5.REG_PIPELINE_CE CE0 +enum: MULT18_5.REG_PIPELINE_RST RST0 +enum: MULT18_5.REG_OUTPUT_CLK NONE +enum: MULT18_5.CLK0_DIV ENABLED +enum: MULT18_5.CLK1_DIV ENABLED +enum: MULT18_5.CLK2_DIV ENABLED +enum: MULT18_5.CLK3_DIV ENABLED +enum: MULT18_5.GSR ENABLED +enum: MULT18_5.SOURCEB_MODE B_SHIFT +enum: MULT18_5.RESETMODE SYNC +enum: MULT18_5.MODE MULT18X18D +enum: MULT18_5.CIBOUT_BYP ON +enum: DSP_RIGHT.CIBOUT ON +enum: MULT18_5.CLK0MUX CLK0 +enum: MULT18_5.CLK1MUX CLK1 +enum: MULT18_5.CLK2MUX CLK2 +enum: MULT18_5.CLK3MUX CLK3 +enum: MULT18_5.CE0MUX CE0 +enum: MULT18_5.CE1MUX CE1 +enum: MULT18_5.CE2MUX CE2 +enum: MULT18_5.CE3MUX CE3 +enum: MULT18_5.RST0MUX RST0 +enum: MULT18_5.RST1MUX RST1 +enum: MULT18_5.RST2MUX RST2 +enum: MULT18_5.RST3MUX RST3 + +.tile_group MIB_R58C22:MIB_DSP0 MIB_R58C22:MIB2_DSP0 MIB_R58C23:MIB_DSP1 MIB_R58C23:MIB2_DSP1 MIB_R58C24:MIB_DSP2 MIB_R58C24:MIB2_DSP2 MIB_R58C25:MIB_DSP3 MIB_R58C25:MIB2_DSP3 MIB_R58C26:MIB_DSP4 MIB_R58C26:MIB2_DSP4 +enum: MULT18_1.REG_INPUTA_CLK NONE +enum: MULT18_1.REG_INPUTA_CE CE0 +enum: MULT18_1.REG_INPUTA_RST RST0 +enum: MULT18_1.REG_INPUTB_CLK NONE +enum: MULT18_1.REG_INPUTB_CE CE0 +enum: MULT18_1.REG_INPUTB_RST RST0 +enum: MULT18_1.REG_INPUTC_CLK NONE +enum: MULT18_1.REG_PIPELINE_CLK NONE +enum: MULT18_1.REG_PIPELINE_CE CE0 +enum: MULT18_1.REG_PIPELINE_RST RST0 +enum: MULT18_1.REG_OUTPUT_CLK NONE +enum: MULT18_1.CLK0_DIV ENABLED +enum: MULT18_1.CLK1_DIV ENABLED +enum: MULT18_1.CLK2_DIV ENABLED +enum: MULT18_1.CLK3_DIV ENABLED +enum: MULT18_1.GSR ENABLED +enum: MULT18_1.SOURCEB_MODE B_SHIFT +enum: MULT18_1.RESETMODE SYNC +enum: MULT18_1.MODE MULT18X18D +enum: MULT18_1.CIBOUT_BYP ON +enum: DSP_LEFT.CIBOUT ON +enum: MULT18_1.CLK0MUX CLK0 +enum: MULT18_1.CLK1MUX CLK1 +enum: MULT18_1.CLK2MUX CLK2 +enum: MULT18_1.CLK3MUX CLK3 +enum: MULT18_1.CE0MUX CE0 +enum: MULT18_1.CE1MUX CE1 +enum: MULT18_1.CE2MUX CE2 +enum: MULT18_1.CE3MUX CE3 +enum: MULT18_1.RST0MUX RST0 +enum: MULT18_1.RST1MUX RST1 +enum: MULT18_1.RST2MUX RST2 +enum: MULT18_1.RST3MUX RST3 + +.tile_group MIB_R58C17:MIB_DSP4 MIB_R58C17:MIB2_DSP4 MIB_R58C18:MIB_DSP5 MIB_R58C18:MIB2_DSP5 MIB_R58C19:MIB_DSP6 MIB_R58C19:MIB2_DSP6 MIB_R58C20:MIB_DSP7 MIB_R58C20:MIB2_DSP7 MIB_R58C21:MIB_DSP8 MIB_R58C21:MIB2_DSP8 +enum: MULT18_5.REG_INPUTA_CLK NONE +enum: MULT18_5.REG_INPUTA_CE CE0 +enum: MULT18_5.REG_INPUTA_RST RST0 +enum: MULT18_5.REG_INPUTB_CLK NONE +enum: MULT18_5.REG_INPUTB_CE CE0 +enum: MULT18_5.REG_INPUTB_RST RST0 +enum: MULT18_5.REG_INPUTC_CLK NONE +enum: MULT18_5.REG_PIPELINE_CLK NONE +enum: MULT18_5.REG_PIPELINE_CE CE0 +enum: MULT18_5.REG_PIPELINE_RST RST0 +enum: MULT18_5.REG_OUTPUT_CLK NONE +enum: MULT18_5.CLK0_DIV ENABLED +enum: MULT18_5.CLK1_DIV ENABLED +enum: MULT18_5.CLK2_DIV ENABLED +enum: MULT18_5.CLK3_DIV ENABLED +enum: MULT18_5.GSR ENABLED +enum: MULT18_5.SOURCEB_MODE B_SHIFT +enum: MULT18_5.RESETMODE SYNC +enum: MULT18_5.MODE MULT18X18D +enum: MULT18_5.CIBOUT_BYP ON +enum: DSP_RIGHT.CIBOUT ON +enum: MULT18_5.CLK0MUX CLK0 +enum: MULT18_5.CLK1MUX CLK1 +enum: MULT18_5.CLK2MUX CLK2 +enum: MULT18_5.CLK3MUX CLK3 +enum: MULT18_5.CE0MUX CE0 +enum: MULT18_5.CE1MUX CE1 +enum: MULT18_5.CE2MUX CE2 +enum: MULT18_5.CE3MUX CE3 +enum: MULT18_5.RST0MUX RST0 +enum: MULT18_5.RST1MUX RST1 +enum: MULT18_5.RST2MUX RST2 +enum: MULT18_5.RST3MUX RST3 + diff --git a/ulx3s/PQVexRiscvUlx3s.json b/ulx3s/PQVexRiscvUlx3s.json new file mode 100644 index 0000000..fe9e9d5 --- /dev/null +++ b/ulx3s/PQVexRiscvUlx3s.json @@ -0,0 +1,241497 @@ +{ + "creator": "Yosys 0.9+3855 (git sha1 54294957, clang 10.0.0-4ubuntu1 -fPIC -Os)", + "modules": { + "\\$__ABC9_LUT5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000010", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:22.1-30.10" + }, + "ports": { + "M0": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "C": { + "direction": "input", + "bits": [ 4 ] + }, + "B": { + "direction": "input", + "bits": [ 5 ] + }, + "A": { + "direction": "input", + "bits": [ 6 ] + }, + "Z": { + "direction": "output", + "bits": [ 7 ] + } + }, + "cells": { + "$specify$1272": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010010111", + "T_FALL_MIN": "00000000000000000000000010010111", + "T_FALL_TYP": "00000000000000000000000010010111", + "T_RISE_MAX": "00000000000000000000000010010111", + "T_RISE_MIN": "00000000000000000000000010010111", + "T_RISE_TYP": "00000000000000000000000010010111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:24.9-24.25" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 7 ], + "EN": [ "1" ], + "SRC": [ 2 ] + } + }, + "$specify$1273": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011101111", + "T_FALL_MIN": "00000000000000000000000011101111", + "T_FALL_TYP": "00000000000000000000000011101111", + "T_RISE_MAX": "00000000000000000000000011101111", + "T_RISE_MIN": "00000000000000000000000011101111", + "T_RISE_TYP": "00000000000000000000000011101111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:25.9-25.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 7 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$1274": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000101110101", + "T_FALL_MIN": "00000000000000000000000101110101", + "T_FALL_TYP": "00000000000000000000000101110101", + "T_RISE_MAX": "00000000000000000000000101110101", + "T_RISE_MIN": "00000000000000000000000101110101", + "T_RISE_TYP": "00000000000000000000000101110101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:26.9-26.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 7 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$1275": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000111011101", + "T_FALL_MIN": "00000000000000000000000111011101", + "T_FALL_TYP": "00000000000000000000000111011101", + "T_RISE_MAX": "00000000000000000000000111011101", + "T_RISE_MIN": "00000000000000000000000111011101", + "T_RISE_TYP": "00000000000000000000000111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:27.9-27.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 7 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$1276": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000111011101", + "T_FALL_MIN": "00000000000000000000000111011101", + "T_FALL_TYP": "00000000000000000000000111011101", + "T_RISE_MAX": "00000000000000000000000111011101", + "T_RISE_MIN": "00000000000000000000000111011101", + "T_RISE_TYP": "00000000000000000000000111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:28.9-28.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 7 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + } + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:22.42-22.43" + } + }, + "B": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:22.39-22.40" + } + }, + "C": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:22.36-22.37" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:22.33-22.34" + } + }, + "M0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:22.29-22.31" + } + }, + "Z": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:22.52-22.53" + } + } + } + }, + "\\$__ABC9_LUT6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000100", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:36.1-45.10" + }, + "ports": { + "M1": { + "direction": "input", + "bits": [ 2 ] + }, + "M0": { + "direction": "input", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + }, + "C": { + "direction": "input", + "bits": [ 5 ] + }, + "B": { + "direction": "input", + "bits": [ 6 ] + }, + "A": { + "direction": "input", + "bits": [ 7 ] + }, + "Z": { + "direction": "output", + "bits": [ 8 ] + } + }, + "cells": { + "$specify$1277": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010010100", + "T_FALL_MIN": "00000000000000000000000010010100", + "T_FALL_TYP": "00000000000000000000000010010100", + "T_RISE_MAX": "00000000000000000000000010010100", + "T_RISE_MIN": "00000000000000000000000010010100", + "T_RISE_TYP": "00000000000000000000000010010100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:38.9-38.25" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 8 ], + "EN": [ "1" ], + "SRC": [ 2 ] + } + }, + "$specify$1278": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000100100100", + "T_FALL_MIN": "00000000000000000000000100100100", + "T_FALL_TYP": "00000000000000000000000100100100", + "T_RISE_MAX": "00000000000000000000000100100100", + "T_RISE_MIN": "00000000000000000000000100100100", + "T_RISE_TYP": "00000000000000000000000100100100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:39.9-39.25" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 8 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$1279": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000101111100", + "T_FALL_MIN": "00000000000000000000000101111100", + "T_FALL_TYP": "00000000000000000000000101111100", + "T_RISE_MAX": "00000000000000000000000101111100", + "T_RISE_MIN": "00000000000000000000000101111100", + "T_RISE_TYP": "00000000000000000000000101111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:40.9-40.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 8 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$1280": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000000010", + "T_FALL_MIN": "00000000000000000000001000000010", + "T_FALL_TYP": "00000000000000000000001000000010", + "T_RISE_MAX": "00000000000000000000001000000010", + "T_RISE_MIN": "00000000000000000000001000000010", + "T_RISE_TYP": "00000000000000000000001000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:41.9-41.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 8 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$1281": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001001101010", + "T_FALL_MIN": "00000000000000000000001001101010", + "T_FALL_TYP": "00000000000000000000001001101010", + "T_RISE_MAX": "00000000000000000000001001101010", + "T_RISE_MIN": "00000000000000000000001001101010", + "T_RISE_TYP": "00000000000000000000001001101010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:42.9-42.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 8 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$1282": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001001101010", + "T_FALL_MIN": "00000000000000000000001001101010", + "T_FALL_TYP": "00000000000000000000001001101010", + "T_RISE_MAX": "00000000000000000000001001101010", + "T_RISE_MIN": "00000000000000000000001001101010", + "T_RISE_TYP": "00000000000000000000001001101010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:43.9-43.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 8 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + } + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:36.46-36.47" + } + }, + "B": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:36.43-36.44" + } + }, + "C": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:36.40-36.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:36.37-36.38" + } + }, + "M0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:36.33-36.35" + } + }, + "M1": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:36.29-36.31" + } + }, + "Z": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:36.56-36.57" + } + } + } + }, + "\\$__ABC9_LUT7": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000001000", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:51.1-61.10" + }, + "ports": { + "M2": { + "direction": "input", + "bits": [ 2 ] + }, + "M1": { + "direction": "input", + "bits": [ 3 ] + }, + "M0": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "C": { + "direction": "input", + "bits": [ 6 ] + }, + "B": { + "direction": "input", + "bits": [ 7 ] + }, + "A": { + "direction": "input", + "bits": [ 8 ] + }, + "Z": { + "direction": "output", + "bits": [ 9 ] + } + }, + "cells": { + "$specify$1283": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010010100", + "T_FALL_MIN": "00000000000000000000000010010100", + "T_FALL_TYP": "00000000000000000000000010010100", + "T_RISE_MAX": "00000000000000000000000010010100", + "T_RISE_MIN": "00000000000000000000000010010100", + "T_RISE_TYP": "00000000000000000000000010010100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:53.9-53.25" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 9 ], + "EN": [ "1" ], + "SRC": [ 2 ] + } + }, + "$specify$1284": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000100100001", + "T_FALL_MIN": "00000000000000000000000100100001", + "T_FALL_TYP": "00000000000000000000000100100001", + "T_RISE_MAX": "00000000000000000000000100100001", + "T_RISE_MIN": "00000000000000000000000100100001", + "T_RISE_TYP": "00000000000000000000000100100001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:54.9-54.25" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 9 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$1285": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000110110001", + "T_FALL_MIN": "00000000000000000000000110110001", + "T_FALL_TYP": "00000000000000000000000110110001", + "T_RISE_MAX": "00000000000000000000000110110001", + "T_RISE_MIN": "00000000000000000000000110110001", + "T_RISE_TYP": "00000000000000000000000110110001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:55.9-55.25" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 9 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$1286": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000001001", + "T_FALL_MIN": "00000000000000000000001000001001", + "T_FALL_TYP": "00000000000000000000001000001001", + "T_RISE_MAX": "00000000000000000000001000001001", + "T_RISE_MIN": "00000000000000000000001000001001", + "T_RISE_TYP": "00000000000000000000001000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:56.9-56.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 9 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$1287": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001010001111", + "T_FALL_MIN": "00000000000000000000001010001111", + "T_FALL_TYP": "00000000000000000000001010001111", + "T_RISE_MAX": "00000000000000000000001010001111", + "T_RISE_MIN": "00000000000000000000001010001111", + "T_RISE_TYP": "00000000000000000000001010001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:57.9-57.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 9 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$1288": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001011110111", + "T_FALL_MIN": "00000000000000000000001011110111", + "T_FALL_TYP": "00000000000000000000001011110111", + "T_RISE_MAX": "00000000000000000000001011110111", + "T_RISE_MIN": "00000000000000000000001011110111", + "T_RISE_TYP": "00000000000000000000001011110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:58.9-58.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 9 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + }, + "$specify$1289": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001011110111", + "T_FALL_MIN": "00000000000000000000001011110111", + "T_FALL_TYP": "00000000000000000000001011110111", + "T_RISE_MAX": "00000000000000000000001011110111", + "T_RISE_MIN": "00000000000000000000001011110111", + "T_RISE_TYP": "00000000000000000000001011110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:59.9-59.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 9 ], + "EN": [ "1" ], + "SRC": [ 8 ] + } + } + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:51.50-51.51" + } + }, + "B": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:51.47-51.48" + } + }, + "C": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:51.44-51.45" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:51.41-51.42" + } + }, + "M0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:51.37-51.39" + } + }, + "M1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:51.33-51.35" + } + }, + "M2": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:51.29-51.31" + } + }, + "Z": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:51.60-51.61" + } + } + } + }, + "ALU54B": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:44.1-95.10" + }, + "parameter_default_values": { + "CLK0_DIV": "00000000000000000000000000000000000000000000000000000000000000000000000001000101010011100100000101000010010011000100010101000100", + "CLK1_DIV": "00000000000000000000000000000000000000000000000000000000000000000000000001000101010011100100000101000010010011000100010101000100", + "CLK2_DIV": "00000000000000000000000000000000000000000000000000000000000000000000000001000101010011100100000101000010010011000100010101000100", + "CLK3_DIV": "00000000000000000000000000000000000000000000000000000000000000000000000001000101010011100100000101000010010011000100010101000100", + "FORCE_ZERO_BARREL_SHIFT": "DISABLED", + "GSR": "00000000000000000000000000000000000000000000000000000000000000000000000001000101010011100100000101000010010011000100010101000100", + "LEGACY": "DISABLED", + "MASK01": "0x00000000000000 ", + "MASKPAT": "0x00000000000000 ", + "MASKPAT_SOURCE": "00000000000000000000000000000000000000000000000000000000000000000000000000000000010100110101010001000001010101000100100101000011", + "MCPAT": "0x00000000000000 ", + "MCPAT_SOURCE": "00000000000000000000000000000000000000000000000000000000000000000000000000000000010100110101010001000001010101000100100101000011", + "REG_FLAG_CLK": "NONE", + "REG_INPUTC0_CLK": "NONE", + "REG_INPUTC1_CLK": "NONE", + "REG_OPCODEIN_0_CE": "CE0", + "REG_OPCODEIN_0_CLK": "NONE", + "REG_OPCODEIN_0_RST": "RST0", + "REG_OPCODEIN_1_CE": "CE0", + "REG_OPCODEIN_1_CLK": "NONE", + "REG_OPCODEIN_1_RST": "RST0", + "REG_OPCODEOP0_0_CE": "CE0", + "REG_OPCODEOP0_0_CLK": "NONE", + "REG_OPCODEOP0_0_RST": "RST0", + "REG_OPCODEOP0_1_CE": "CE0", + "REG_OPCODEOP0_1_CLK": "NONE", + "REG_OPCODEOP0_1_RST": "RST0", + "REG_OPCODEOP1_0_CLK": "NONE", + "REG_OUTPUT0_CLK": "NONE", + "REG_OUTPUT1_CLK": "NONE", + "RESETMODE": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011010110010100111001000011", + "RNDPAT": "0x00000000000000 " + }, + "ports": { + "CLK0": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK1": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK2": { + "direction": "input", + "bits": [ 4 ] + }, + "CLK3": { + "direction": "input", + "bits": [ 5 ] + }, + "CE0": { + "direction": "input", + "bits": [ 6 ] + }, + "CE1": { + "direction": "input", + "bits": [ 7 ] + }, + "CE2": { + "direction": "input", + "bits": [ 8 ] + }, + "CE3": { + "direction": "input", + "bits": [ 9 ] + }, + "RST0": { + "direction": "input", + "bits": [ 10 ] + }, + "RST1": { + "direction": "input", + "bits": [ 11 ] + }, + "RST2": { + "direction": "input", + "bits": [ 12 ] + }, + "RST3": { + "direction": "input", + "bits": [ 13 ] + }, + "SIGNEDIA": { + "direction": "input", + "bits": [ 14 ] + }, + "SIGNEDIB": { + "direction": "input", + "bits": [ 15 ] + }, + "SIGNEDCIN": { + "direction": "input", + "bits": [ 16 ] + }, + "A0": { + "direction": "input", + "bits": [ 17 ] + }, + "A1": { + "direction": "input", + "bits": [ 18 ] + }, + "A2": { + "direction": "input", + "bits": [ 19 ] + }, + "A3": { + "direction": "input", + "bits": [ 20 ] + }, + "A4": { + "direction": "input", + "bits": [ 21 ] + }, + "A5": { + "direction": "input", + "bits": [ 22 ] + }, + "A6": { + "direction": "input", + "bits": [ 23 ] + }, + "A7": { + "direction": "input", + "bits": [ 24 ] + }, + "A8": { + "direction": "input", + "bits": [ 25 ] + }, + "A9": { + "direction": "input", + "bits": [ 26 ] + }, + "A10": { + "direction": "input", + "bits": [ 27 ] + }, + "A11": { + "direction": "input", + "bits": [ 28 ] + }, + "A12": { + "direction": "input", + "bits": [ 29 ] + }, + "A13": { + "direction": "input", + "bits": [ 30 ] + }, + "A14": { + "direction": "input", + "bits": [ 31 ] + }, + "A15": { + "direction": "input", + "bits": [ 32 ] + }, + "A16": { + "direction": "input", + "bits": [ 33 ] + }, + "A17": { + "direction": "input", + "bits": [ 34 ] + }, + "A18": { + "direction": "input", + "bits": [ 35 ] + }, + "A19": { + "direction": "input", + "bits": [ 36 ] + }, + "A20": { + "direction": "input", + "bits": [ 37 ] + }, + "A21": { + "direction": "input", + "bits": [ 38 ] + }, + "A22": { + "direction": "input", + "bits": [ 39 ] + }, + "A23": { + "direction": "input", + "bits": [ 40 ] + }, + "A24": { + "direction": "input", + "bits": [ 41 ] + }, + "A25": { + "direction": "input", + "bits": [ 42 ] + }, + "A26": { + "direction": "input", + "bits": [ 43 ] + }, + "A27": { + "direction": "input", + "bits": [ 44 ] + }, + "A28": { + "direction": "input", + "bits": [ 45 ] + }, + "A29": { + "direction": "input", + "bits": [ 46 ] + }, + "A30": { + "direction": "input", + "bits": [ 47 ] + }, + "A31": { + "direction": "input", + "bits": [ 48 ] + }, + "A32": { + "direction": "input", + "bits": [ 49 ] + }, + "A33": { + "direction": "input", + "bits": [ 50 ] + }, + "A34": { + "direction": "input", + "bits": [ 51 ] + }, + "A35": { + "direction": "input", + "bits": [ 52 ] + }, + "B0": { + "direction": "input", + "bits": [ 53 ] + }, + "B1": { + "direction": "input", + "bits": [ 54 ] + }, + "B2": { + "direction": "input", + "bits": [ 55 ] + }, + "B3": { + "direction": "input", + "bits": [ 56 ] + }, + "B4": { + "direction": "input", + "bits": [ 57 ] + }, + "B5": { + "direction": "input", + "bits": [ 58 ] + }, + "B6": { + "direction": "input", + "bits": [ 59 ] + }, + "B7": { + "direction": "input", + "bits": [ 60 ] + }, + "B8": { + "direction": "input", + "bits": [ 61 ] + }, + "B9": { + "direction": "input", + "bits": [ 62 ] + }, + "B10": { + "direction": "input", + "bits": [ 63 ] + }, + "B11": { + "direction": "input", + "bits": [ 64 ] + }, + "B12": { + "direction": "input", + "bits": [ 65 ] + }, + "B13": { + "direction": "input", + "bits": [ 66 ] + }, + "B14": { + "direction": "input", + "bits": [ 67 ] + }, + "B15": { + "direction": "input", + "bits": [ 68 ] + }, + "B16": { + "direction": "input", + "bits": [ 69 ] + }, + "B17": { + "direction": "input", + "bits": [ 70 ] + }, + "B18": { + "direction": "input", + "bits": [ 71 ] + }, + "B19": { + "direction": "input", + "bits": [ 72 ] + }, + "B20": { + "direction": "input", + "bits": [ 73 ] + }, + "B21": { + "direction": "input", + "bits": [ 74 ] + }, + "B22": { + "direction": "input", + "bits": [ 75 ] + }, + "B23": { + "direction": "input", + "bits": [ 76 ] + }, + "B24": { + "direction": "input", + "bits": [ 77 ] + }, + "B25": { + "direction": "input", + "bits": [ 78 ] + }, + "B26": { + "direction": "input", + "bits": [ 79 ] + }, + "B27": { + "direction": "input", + "bits": [ 80 ] + }, + "B28": { + "direction": "input", + "bits": [ 81 ] + }, + "B29": { + "direction": "input", + "bits": [ 82 ] + }, + "B30": { + "direction": "input", + "bits": [ 83 ] + }, + "B31": { + "direction": "input", + "bits": [ 84 ] + }, + "B32": { + "direction": "input", + "bits": [ 85 ] + }, + "B33": { + "direction": "input", + "bits": [ 86 ] + }, + "B34": { + "direction": "input", + "bits": [ 87 ] + }, + "B35": { + "direction": "input", + "bits": [ 88 ] + }, + "C0": { + "direction": "input", + "bits": [ 89 ] + }, + "C1": { + "direction": "input", + "bits": [ 90 ] + }, + "C2": { + "direction": "input", + "bits": [ 91 ] + }, + "C3": { + "direction": "input", + "bits": [ 92 ] + }, + "C4": { + "direction": "input", + "bits": [ 93 ] + }, + "C5": { + "direction": "input", + "bits": [ 94 ] + }, + "C6": { + "direction": "input", + "bits": [ 95 ] + }, + "C7": { + "direction": "input", + "bits": [ 96 ] + }, + "C8": { + "direction": "input", + "bits": [ 97 ] + }, + "C9": { + "direction": "input", + "bits": [ 98 ] + }, + "C10": { + "direction": "input", + "bits": [ 99 ] + }, + "C11": { + "direction": "input", + "bits": [ 100 ] + }, + "C12": { + "direction": "input", + "bits": [ 101 ] + }, + "C13": { + "direction": "input", + "bits": [ 102 ] + }, + "C14": { + "direction": "input", + "bits": [ 103 ] + }, + "C15": { + "direction": "input", + "bits": [ 104 ] + }, + "C16": { + "direction": "input", + "bits": [ 105 ] + }, + "C17": { + "direction": "input", + "bits": [ 106 ] + }, + "C18": { + "direction": "input", + "bits": [ 107 ] + }, + "C19": { + "direction": "input", + "bits": [ 108 ] + }, + "C20": { + "direction": "input", + "bits": [ 109 ] + }, + "C21": { + "direction": "input", + "bits": [ 110 ] + }, + "C22": { + "direction": "input", + "bits": [ 111 ] + }, + "C23": { + "direction": "input", + "bits": [ 112 ] + }, + "C24": { + "direction": "input", + "bits": [ 113 ] + }, + "C25": { + "direction": "input", + "bits": [ 114 ] + }, + "C26": { + "direction": "input", + "bits": [ 115 ] + }, + "C27": { + "direction": "input", + "bits": [ 116 ] + }, + "C28": { + "direction": "input", + "bits": [ 117 ] + }, + "C29": { + "direction": "input", + "bits": [ 118 ] + }, + "C30": { + "direction": "input", + "bits": [ 119 ] + }, + "C31": { + "direction": "input", + "bits": [ 120 ] + }, + "C32": { + "direction": "input", + "bits": [ 121 ] + }, + "C33": { + "direction": "input", + "bits": [ 122 ] + }, + "C34": { + "direction": "input", + "bits": [ 123 ] + }, + "C35": { + "direction": "input", + "bits": [ 124 ] + }, + "C36": { + "direction": "input", + "bits": [ 125 ] + }, + "C37": { + "direction": "input", + "bits": [ 126 ] + }, + "C38": { + "direction": "input", + "bits": [ 127 ] + }, + "C39": { + "direction": "input", + "bits": [ 128 ] + }, + "C40": { + "direction": "input", + "bits": [ 129 ] + }, + "C41": { + "direction": "input", + "bits": [ 130 ] + }, + "C42": { + "direction": "input", + "bits": [ 131 ] + }, + "C43": { + "direction": "input", + "bits": [ 132 ] + }, + "C44": { + "direction": "input", + "bits": [ 133 ] + }, + "C45": { + "direction": "input", + "bits": [ 134 ] + }, + "C46": { + "direction": "input", + "bits": [ 135 ] + }, + "C47": { + "direction": "input", + "bits": [ 136 ] + }, + "C48": { + "direction": "input", + "bits": [ 137 ] + }, + "C49": { + "direction": "input", + "bits": [ 138 ] + }, + "C50": { + "direction": "input", + "bits": [ 139 ] + }, + "C51": { + "direction": "input", + "bits": [ 140 ] + }, + "C52": { + "direction": "input", + "bits": [ 141 ] + }, + "C53": { + "direction": "input", + "bits": [ 142 ] + }, + "CFB0": { + "direction": "input", + "bits": [ 143 ] + }, + "CFB1": { + "direction": "input", + "bits": [ 144 ] + }, + "CFB2": { + "direction": "input", + "bits": [ 145 ] + }, + "CFB3": { + "direction": "input", + "bits": [ 146 ] + }, + "CFB4": { + "direction": "input", + "bits": [ 147 ] + }, + "CFB5": { + "direction": "input", + "bits": [ 148 ] + }, + "CFB6": { + "direction": "input", + "bits": [ 149 ] + }, + "CFB7": { + "direction": "input", + "bits": [ 150 ] + }, + "CFB8": { + "direction": "input", + "bits": [ 151 ] + }, + "CFB9": { + "direction": "input", + "bits": [ 152 ] + }, + "CFB10": { + "direction": "input", + "bits": [ 153 ] + }, + "CFB11": { + "direction": "input", + "bits": [ 154 ] + }, + "CFB12": { + "direction": "input", + "bits": [ 155 ] + }, + "CFB13": { + "direction": "input", + "bits": [ 156 ] + }, + "CFB14": { + "direction": "input", + "bits": [ 157 ] + }, + "CFB15": { + "direction": "input", + "bits": [ 158 ] + }, + "CFB16": { + "direction": "input", + "bits": [ 159 ] + }, + "CFB17": { + "direction": "input", + "bits": [ 160 ] + }, + "CFB18": { + "direction": "input", + "bits": [ 161 ] + }, + "CFB19": { + "direction": "input", + "bits": [ 162 ] + }, + "CFB20": { + "direction": "input", + "bits": [ 163 ] + }, + "CFB21": { + "direction": "input", + "bits": [ 164 ] + }, + "CFB22": { + "direction": "input", + "bits": [ 165 ] + }, + "CFB23": { + "direction": "input", + "bits": [ 166 ] + }, + "CFB24": { + "direction": "input", + "bits": [ 167 ] + }, + "CFB25": { + "direction": "input", + "bits": [ 168 ] + }, + "CFB26": { + "direction": "input", + "bits": [ 169 ] + }, + "CFB27": { + "direction": "input", + "bits": [ 170 ] + }, + "CFB28": { + "direction": "input", + "bits": [ 171 ] + }, + "CFB29": { + "direction": "input", + "bits": [ 172 ] + }, + "CFB30": { + "direction": "input", + "bits": [ 173 ] + }, + "CFB31": { + "direction": "input", + "bits": [ 174 ] + }, + "CFB32": { + "direction": "input", + "bits": [ 175 ] + }, + "CFB33": { + "direction": "input", + "bits": [ 176 ] + }, + "CFB34": { + "direction": "input", + "bits": [ 177 ] + }, + "CFB35": { + "direction": "input", + "bits": [ 178 ] + }, + "CFB36": { + "direction": "input", + "bits": [ 179 ] + }, + "CFB37": { + "direction": "input", + "bits": [ 180 ] + }, + "CFB38": { + "direction": "input", + "bits": [ 181 ] + }, + "CFB39": { + "direction": "input", + "bits": [ 182 ] + }, + "CFB40": { + "direction": "input", + "bits": [ 183 ] + }, + "CFB41": { + "direction": "input", + "bits": [ 184 ] + }, + "CFB42": { + "direction": "input", + "bits": [ 185 ] + }, + "CFB43": { + "direction": "input", + "bits": [ 186 ] + }, + "CFB44": { + "direction": "input", + "bits": [ 187 ] + }, + "CFB45": { + "direction": "input", + "bits": [ 188 ] + }, + "CFB46": { + "direction": "input", + "bits": [ 189 ] + }, + "CFB47": { + "direction": "input", + "bits": [ 190 ] + }, + "CFB48": { + "direction": "input", + "bits": [ 191 ] + }, + "CFB49": { + "direction": "input", + "bits": [ 192 ] + }, + "CFB50": { + "direction": "input", + "bits": [ 193 ] + }, + "CFB51": { + "direction": "input", + "bits": [ 194 ] + }, + "CFB52": { + "direction": "input", + "bits": [ 195 ] + }, + "CFB53": { + "direction": "input", + "bits": [ 196 ] + }, + "MA0": { + "direction": "input", + "bits": [ 197 ] + }, + "MA1": { + "direction": "input", + "bits": [ 198 ] + }, + "MA2": { + "direction": "input", + "bits": [ 199 ] + }, + "MA3": { + "direction": "input", + "bits": [ 200 ] + }, + "MA4": { + "direction": "input", + "bits": [ 201 ] + }, + "MA5": { + "direction": "input", + "bits": [ 202 ] + }, + "MA6": { + "direction": "input", + "bits": [ 203 ] + }, + "MA7": { + "direction": "input", + "bits": [ 204 ] + }, + "MA8": { + "direction": "input", + "bits": [ 205 ] + }, + "MA9": { + "direction": "input", + "bits": [ 206 ] + }, + "MA10": { + "direction": "input", + "bits": [ 207 ] + }, + "MA11": { + "direction": "input", + "bits": [ 208 ] + }, + "MA12": { + "direction": "input", + "bits": [ 209 ] + }, + "MA13": { + "direction": "input", + "bits": [ 210 ] + }, + "MA14": { + "direction": "input", + "bits": [ 211 ] + }, + "MA15": { + "direction": "input", + "bits": [ 212 ] + }, + "MA16": { + "direction": "input", + "bits": [ 213 ] + }, + "MA17": { + "direction": "input", + "bits": [ 214 ] + }, + "MA18": { + "direction": "input", + "bits": [ 215 ] + }, + "MA19": { + "direction": "input", + "bits": [ 216 ] + }, + "MA20": { + "direction": "input", + "bits": [ 217 ] + }, + "MA21": { + "direction": "input", + "bits": [ 218 ] + }, + "MA22": { + "direction": "input", + "bits": [ 219 ] + }, + "MA23": { + "direction": "input", + "bits": [ 220 ] + }, + "MA24": { + "direction": "input", + "bits": [ 221 ] + }, + "MA25": { + "direction": "input", + "bits": [ 222 ] + }, + "MA26": { + "direction": "input", + "bits": [ 223 ] + }, + "MA27": { + "direction": "input", + "bits": [ 224 ] + }, + "MA28": { + "direction": "input", + "bits": [ 225 ] + }, + "MA29": { + "direction": "input", + "bits": [ 226 ] + }, + "MA30": { + "direction": "input", + "bits": [ 227 ] + }, + "MA31": { + "direction": "input", + "bits": [ 228 ] + }, + "MA32": { + "direction": "input", + "bits": [ 229 ] + }, + "MA33": { + "direction": "input", + "bits": [ 230 ] + }, + "MA34": { + "direction": "input", + "bits": [ 231 ] + }, + "MA35": { + "direction": "input", + "bits": [ 232 ] + }, + "MB0": { + "direction": "input", + "bits": [ 233 ] + }, + "MB1": { + "direction": "input", + "bits": [ 234 ] + }, + "MB2": { + "direction": "input", + "bits": [ 235 ] + }, + "MB3": { + "direction": "input", + "bits": [ 236 ] + }, + "MB4": { + "direction": "input", + "bits": [ 237 ] + }, + "MB5": { + "direction": "input", + "bits": [ 238 ] + }, + "MB6": { + "direction": "input", + "bits": [ 239 ] + }, + "MB7": { + "direction": "input", + "bits": [ 240 ] + }, + "MB8": { + "direction": "input", + "bits": [ 241 ] + }, + "MB9": { + "direction": "input", + "bits": [ 242 ] + }, + "MB10": { + "direction": "input", + "bits": [ 243 ] + }, + "MB11": { + "direction": "input", + "bits": [ 244 ] + }, + "MB12": { + "direction": "input", + "bits": [ 245 ] + }, + "MB13": { + "direction": "input", + "bits": [ 246 ] + }, + "MB14": { + "direction": "input", + "bits": [ 247 ] + }, + "MB15": { + "direction": "input", + "bits": [ 248 ] + }, + "MB16": { + "direction": "input", + "bits": [ 249 ] + }, + "MB17": { + "direction": "input", + "bits": [ 250 ] + }, + "MB18": { + "direction": "input", + "bits": [ 251 ] + }, + "MB19": { + "direction": "input", + "bits": [ 252 ] + }, + "MB20": { + "direction": "input", + "bits": [ 253 ] + }, + "MB21": { + "direction": "input", + "bits": [ 254 ] + }, + "MB22": { + "direction": "input", + "bits": [ 255 ] + }, + "MB23": { + "direction": "input", + "bits": [ 256 ] + }, + "MB24": { + "direction": "input", + "bits": [ 257 ] + }, + "MB25": { + "direction": "input", + "bits": [ 258 ] + }, + "MB26": { + "direction": "input", + "bits": [ 259 ] + }, + "MB27": { + "direction": "input", + "bits": [ 260 ] + }, + "MB28": { + "direction": "input", + "bits": [ 261 ] + }, + "MB29": { + "direction": "input", + "bits": [ 262 ] + }, + "MB30": { + "direction": "input", + "bits": [ 263 ] + }, + "MB31": { + "direction": "input", + "bits": [ 264 ] + }, + "MB32": { + "direction": "input", + "bits": [ 265 ] + }, + "MB33": { + "direction": "input", + "bits": [ 266 ] + }, + "MB34": { + "direction": "input", + "bits": [ 267 ] + }, + "MB35": { + "direction": "input", + "bits": [ 268 ] + }, + "CIN0": { + "direction": "input", + "bits": [ 269 ] + }, + "CIN1": { + "direction": "input", + "bits": [ 270 ] + }, + "CIN2": { + "direction": "input", + "bits": [ 271 ] + }, + "CIN3": { + "direction": "input", + "bits": [ 272 ] + }, + "CIN4": { + "direction": "input", + "bits": [ 273 ] + }, + "CIN5": { + "direction": "input", + "bits": [ 274 ] + }, + "CIN6": { + "direction": "input", + "bits": [ 275 ] + }, + "CIN7": { + "direction": "input", + "bits": [ 276 ] + }, + "CIN8": { + "direction": "input", + "bits": [ 277 ] + }, + "CIN9": { + "direction": "input", + "bits": [ 278 ] + }, + "CIN10": { + "direction": "input", + "bits": [ 279 ] + }, + "CIN11": { + "direction": "input", + "bits": [ 280 ] + }, + "CIN12": { + "direction": "input", + "bits": [ 281 ] + }, + "CIN13": { + "direction": "input", + "bits": [ 282 ] + }, + "CIN14": { + "direction": "input", + "bits": [ 283 ] + }, + "CIN15": { + "direction": "input", + "bits": [ 284 ] + }, + "CIN16": { + "direction": "input", + "bits": [ 285 ] + }, + "CIN17": { + "direction": "input", + "bits": [ 286 ] + }, + "CIN18": { + "direction": "input", + "bits": [ 287 ] + }, + "CIN19": { + "direction": "input", + "bits": [ 288 ] + }, + "CIN20": { + "direction": "input", + "bits": [ 289 ] + }, + "CIN21": { + "direction": "input", + "bits": [ 290 ] + }, + "CIN22": { + "direction": "input", + "bits": [ 291 ] + }, + "CIN23": { + "direction": "input", + "bits": [ 292 ] + }, + "CIN24": { + "direction": "input", + "bits": [ 293 ] + }, + "CIN25": { + "direction": "input", + "bits": [ 294 ] + }, + "CIN26": { + "direction": "input", + "bits": [ 295 ] + }, + "CIN27": { + "direction": "input", + "bits": [ 296 ] + }, + "CIN28": { + "direction": "input", + "bits": [ 297 ] + }, + "CIN29": { + "direction": "input", + "bits": [ 298 ] + }, + "CIN30": { + "direction": "input", + "bits": [ 299 ] + }, + "CIN31": { + "direction": "input", + "bits": [ 300 ] + }, + "CIN32": { + "direction": "input", + "bits": [ 301 ] + }, + "CIN33": { + "direction": "input", + "bits": [ 302 ] + }, + "CIN34": { + "direction": "input", + "bits": [ 303 ] + }, + "CIN35": { + "direction": "input", + "bits": [ 304 ] + }, + "CIN36": { + "direction": "input", + "bits": [ 305 ] + }, + "CIN37": { + "direction": "input", + "bits": [ 306 ] + }, + "CIN38": { + "direction": "input", + "bits": [ 307 ] + }, + "CIN39": { + "direction": "input", + "bits": [ 308 ] + }, + "CIN40": { + "direction": "input", + "bits": [ 309 ] + }, + "CIN41": { + "direction": "input", + "bits": [ 310 ] + }, + "CIN42": { + "direction": "input", + "bits": [ 311 ] + }, + "CIN43": { + "direction": "input", + "bits": [ 312 ] + }, + "CIN44": { + "direction": "input", + "bits": [ 313 ] + }, + "CIN45": { + "direction": "input", + "bits": [ 314 ] + }, + "CIN46": { + "direction": "input", + "bits": [ 315 ] + }, + "CIN47": { + "direction": "input", + "bits": [ 316 ] + }, + "CIN48": { + "direction": "input", + "bits": [ 317 ] + }, + "CIN49": { + "direction": "input", + "bits": [ 318 ] + }, + "CIN50": { + "direction": "input", + "bits": [ 319 ] + }, + "CIN51": { + "direction": "input", + "bits": [ 320 ] + }, + "CIN52": { + "direction": "input", + "bits": [ 321 ] + }, + "CIN53": { + "direction": "input", + "bits": [ 322 ] + }, + "OP0": { + "direction": "input", + "bits": [ 323 ] + }, + "OP1": { + "direction": "input", + "bits": [ 324 ] + }, + "OP2": { + "direction": "input", + "bits": [ 325 ] + }, + "OP3": { + "direction": "input", + "bits": [ 326 ] + }, + "OP4": { + "direction": "input", + "bits": [ 327 ] + }, + "OP5": { + "direction": "input", + "bits": [ 328 ] + }, + "OP6": { + "direction": "input", + "bits": [ 329 ] + }, + "OP7": { + "direction": "input", + "bits": [ 330 ] + }, + "OP8": { + "direction": "input", + "bits": [ 331 ] + }, + "OP9": { + "direction": "input", + "bits": [ 332 ] + }, + "OP10": { + "direction": "input", + "bits": [ 333 ] + }, + "R0": { + "direction": "output", + "bits": [ 334 ] + }, + "R1": { + "direction": "output", + "bits": [ 335 ] + }, + "R2": { + "direction": "output", + "bits": [ 336 ] + }, + "R3": { + "direction": "output", + "bits": [ 337 ] + }, + "R4": { + "direction": "output", + "bits": [ 338 ] + }, + "R5": { + "direction": "output", + "bits": [ 339 ] + }, + "R6": { + "direction": "output", + "bits": [ 340 ] + }, + "R7": { + "direction": "output", + "bits": [ 341 ] + }, + "R8": { + "direction": "output", + "bits": [ 342 ] + }, + "R9": { + "direction": "output", + "bits": [ 343 ] + }, + "R10": { + "direction": "output", + "bits": [ 344 ] + }, + "R11": { + "direction": "output", + "bits": [ 345 ] + }, + "R12": { + "direction": "output", + "bits": [ 346 ] + }, + "R13": { + "direction": "output", + "bits": [ 347 ] + }, + "R14": { + "direction": "output", + "bits": [ 348 ] + }, + "R15": { + "direction": "output", + "bits": [ 349 ] + }, + "R16": { + "direction": "output", + "bits": [ 350 ] + }, + "R17": { + "direction": "output", + "bits": [ 351 ] + }, + "R18": { + "direction": "output", + "bits": [ 352 ] + }, + "R19": { + "direction": "output", + "bits": [ 353 ] + }, + "R20": { + "direction": "output", + "bits": [ 354 ] + }, + "R21": { + "direction": "output", + "bits": [ 355 ] + }, + "R22": { + "direction": "output", + "bits": [ 356 ] + }, + "R23": { + "direction": "output", + "bits": [ 357 ] + }, + "R24": { + "direction": "output", + "bits": [ 358 ] + }, + "R25": { + "direction": "output", + "bits": [ 359 ] + }, + "R26": { + "direction": "output", + "bits": [ 360 ] + }, + "R27": { + "direction": "output", + "bits": [ 361 ] + }, + "R28": { + "direction": "output", + "bits": [ 362 ] + }, + "R29": { + "direction": "output", + "bits": [ 363 ] + }, + "R30": { + "direction": "output", + "bits": [ 364 ] + }, + "R31": { + "direction": "output", + "bits": [ 365 ] + }, + "R32": { + "direction": "output", + "bits": [ 366 ] + }, + "R33": { + "direction": "output", + "bits": [ 367 ] + }, + "R34": { + "direction": "output", + "bits": [ 368 ] + }, + "R35": { + "direction": "output", + "bits": [ 369 ] + }, + "R36": { + "direction": "output", + "bits": [ 370 ] + }, + "R37": { + "direction": "output", + "bits": [ 371 ] + }, + "R38": { + "direction": "output", + "bits": [ 372 ] + }, + "R39": { + "direction": "output", + "bits": [ 373 ] + }, + "R40": { + "direction": "output", + "bits": [ 374 ] + }, + "R41": { + "direction": "output", + "bits": [ 375 ] + }, + "R42": { + "direction": "output", + "bits": [ 376 ] + }, + "R43": { + "direction": "output", + "bits": [ 377 ] + }, + "R44": { + "direction": "output", + "bits": [ 378 ] + }, + "R45": { + "direction": "output", + "bits": [ 379 ] + }, + "R46": { + "direction": "output", + "bits": [ 380 ] + }, + "R47": { + "direction": "output", + "bits": [ 381 ] + }, + "R48": { + "direction": "output", + "bits": [ 382 ] + }, + "R49": { + "direction": "output", + "bits": [ 383 ] + }, + "R50": { + "direction": "output", + "bits": [ 384 ] + }, + "R51": { + "direction": "output", + "bits": [ 385 ] + }, + "R52": { + "direction": "output", + "bits": [ 386 ] + }, + "R53": { + "direction": "output", + "bits": [ 387 ] + }, + "CO0": { + "direction": "output", + "bits": [ 388 ] + }, + "CO1": { + "direction": "output", + "bits": [ 389 ] + }, + "CO2": { + "direction": "output", + "bits": [ 390 ] + }, + "CO3": { + "direction": "output", + "bits": [ 391 ] + }, + "CO4": { + "direction": "output", + "bits": [ 392 ] + }, + "CO5": { + "direction": "output", + "bits": [ 393 ] + }, + "CO6": { + "direction": "output", + "bits": [ 394 ] + }, + "CO7": { + "direction": "output", + "bits": [ 395 ] + }, + "CO8": { + "direction": "output", + "bits": [ 396 ] + }, + "CO9": { + "direction": "output", + "bits": [ 397 ] + }, + "CO10": { + "direction": "output", + "bits": [ 398 ] + }, + "CO11": { + "direction": "output", + "bits": [ 399 ] + }, + "CO12": { + "direction": "output", + "bits": [ 400 ] + }, + "CO13": { + "direction": "output", + "bits": [ 401 ] + }, + "CO14": { + "direction": "output", + "bits": [ 402 ] + }, + "CO15": { + "direction": "output", + "bits": [ 403 ] + }, + "CO16": { + "direction": "output", + "bits": [ 404 ] + }, + "CO17": { + "direction": "output", + "bits": [ 405 ] + }, + "CO18": { + "direction": "output", + "bits": [ 406 ] + }, + "CO19": { + "direction": "output", + "bits": [ 407 ] + }, + "CO20": { + "direction": "output", + "bits": [ 408 ] + }, + "CO21": { + "direction": "output", + "bits": [ 409 ] + }, + "CO22": { + "direction": "output", + "bits": [ 410 ] + }, + "CO23": { + "direction": "output", + "bits": [ 411 ] + }, + "CO24": { + "direction": "output", + "bits": [ 412 ] + }, + "CO25": { + "direction": "output", + "bits": [ 413 ] + }, + "CO26": { + "direction": "output", + "bits": [ 414 ] + }, + "CO27": { + "direction": "output", + "bits": [ 415 ] + }, + "CO28": { + "direction": "output", + "bits": [ 416 ] + }, + "CO29": { + "direction": "output", + "bits": [ 417 ] + }, + "CO30": { + "direction": "output", + "bits": [ 418 ] + }, + "CO31": { + "direction": "output", + "bits": [ 419 ] + }, + "CO32": { + "direction": "output", + "bits": [ 420 ] + }, + "CO33": { + "direction": "output", + "bits": [ 421 ] + }, + "CO34": { + "direction": "output", + "bits": [ 422 ] + }, + "CO35": { + "direction": "output", + "bits": [ 423 ] + }, + "CO36": { + "direction": "output", + "bits": [ 424 ] + }, + "CO37": { + "direction": "output", + "bits": [ 425 ] + }, + "CO38": { + "direction": "output", + "bits": [ 426 ] + }, + "CO39": { + "direction": "output", + "bits": [ 427 ] + }, + "CO40": { + "direction": "output", + "bits": [ 428 ] + }, + "CO41": { + "direction": "output", + "bits": [ 429 ] + }, + "CO42": { + "direction": "output", + "bits": [ 430 ] + }, + "CO43": { + "direction": "output", + "bits": [ 431 ] + }, + "CO44": { + "direction": "output", + "bits": [ 432 ] + }, + "CO45": { + "direction": "output", + "bits": [ 433 ] + }, + "CO46": { + "direction": "output", + "bits": [ 434 ] + }, + "CO47": { + "direction": "output", + "bits": [ 435 ] + }, + "CO48": { + "direction": "output", + "bits": [ 436 ] + }, + "CO49": { + "direction": "output", + "bits": [ 437 ] + }, + "CO50": { + "direction": "output", + "bits": [ 438 ] + }, + "CO51": { + "direction": "output", + "bits": [ 439 ] + }, + "CO52": { + "direction": "output", + "bits": [ 440 ] + }, + "CO53": { + "direction": "output", + "bits": [ 441 ] + }, + "EQZ": { + "direction": "output", + "bits": [ 442 ] + }, + "EQZM": { + "direction": "output", + "bits": [ 443 ] + }, + "EQOM": { + "direction": "output", + "bits": [ 444 ] + }, + "EQPAT": { + "direction": "output", + "bits": [ 445 ] + }, + "EQPATB": { + "direction": "output", + "bits": [ 446 ] + }, + "OVER": { + "direction": "output", + "bits": [ 447 ] + }, + "UNDER": { + "direction": "output", + "bits": [ 448 ] + }, + "OVERUNDER": { + "direction": "output", + "bits": [ 449 ] + }, + "SIGNEDR": { + "direction": "output", + "bits": [ 450 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.8-49.10" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.12-49.14" + } + }, + "A10": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.48-49.51" + } + }, + "A11": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.53-49.56" + } + }, + "A12": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.58-49.61" + } + }, + "A13": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.63-49.66" + } + }, + "A14": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.68-49.71" + } + }, + "A15": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.73-49.76" + } + }, + "A16": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.78-49.81" + } + }, + "A17": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.83-49.86" + } + }, + "A18": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.88-49.91" + } + }, + "A19": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.93-49.96" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.16-49.18" + } + }, + "A20": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.98-49.101" + } + }, + "A21": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.103-49.106" + } + }, + "A22": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.108-49.111" + } + }, + "A23": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.113-49.116" + } + }, + "A24": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.118-49.121" + } + }, + "A25": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.123-49.126" + } + }, + "A26": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.128-49.131" + } + }, + "A27": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.133-49.136" + } + }, + "A28": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.138-49.141" + } + }, + "A29": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.143-49.146" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.20-49.22" + } + }, + "A30": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.148-49.151" + } + }, + "A31": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.153-49.156" + } + }, + "A32": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.158-49.161" + } + }, + "A33": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.163-49.166" + } + }, + "A34": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.168-49.171" + } + }, + "A35": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.173-49.176" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.24-49.26" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.28-49.30" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.32-49.34" + } + }, + "A7": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.36-49.38" + } + }, + "A8": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.40-49.42" + } + }, + "A9": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49.44-49.46" + } + }, + "B0": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.8-50.10" + } + }, + "B1": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.12-50.14" + } + }, + "B10": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.48-50.51" + } + }, + "B11": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.53-50.56" + } + }, + "B12": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.58-50.61" + } + }, + "B13": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.63-50.66" + } + }, + "B14": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.68-50.71" + } + }, + "B15": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.73-50.76" + } + }, + "B16": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.78-50.81" + } + }, + "B17": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.83-50.86" + } + }, + "B18": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.88-50.91" + } + }, + "B19": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.93-50.96" + } + }, + "B2": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.16-50.18" + } + }, + "B20": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.98-50.101" + } + }, + "B21": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.103-50.106" + } + }, + "B22": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.108-50.111" + } + }, + "B23": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.113-50.116" + } + }, + "B24": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.118-50.121" + } + }, + "B25": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.123-50.126" + } + }, + "B26": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.128-50.131" + } + }, + "B27": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.133-50.136" + } + }, + "B28": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.138-50.141" + } + }, + "B29": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.143-50.146" + } + }, + "B3": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.20-50.22" + } + }, + "B30": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.148-50.151" + } + }, + "B31": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.153-50.156" + } + }, + "B32": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.158-50.161" + } + }, + "B33": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.163-50.166" + } + }, + "B34": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.168-50.171" + } + }, + "B35": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.173-50.176" + } + }, + "B4": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.24-50.26" + } + }, + "B5": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.28-50.30" + } + }, + "B6": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.32-50.34" + } + }, + "B7": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.36-50.38" + } + }, + "B8": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.40-50.42" + } + }, + "B9": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50.44-50.46" + } + }, + "C0": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.8-51.10" + } + }, + "C1": { + "hide_name": 0, + "bits": [ 90 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.12-51.14" + } + }, + "C10": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.48-51.51" + } + }, + "C11": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.53-51.56" + } + }, + "C12": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.58-51.61" + } + }, + "C13": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.63-51.66" + } + }, + "C14": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.68-51.71" + } + }, + "C15": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.73-51.76" + } + }, + "C16": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.78-51.81" + } + }, + "C17": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.83-51.86" + } + }, + "C18": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.88-51.91" + } + }, + "C19": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.93-51.96" + } + }, + "C2": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.16-51.18" + } + }, + "C20": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.98-51.101" + } + }, + "C21": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.103-51.106" + } + }, + "C22": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.108-51.111" + } + }, + "C23": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.113-51.116" + } + }, + "C24": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.118-51.121" + } + }, + "C25": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.123-51.126" + } + }, + "C26": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.128-51.131" + } + }, + "C27": { + "hide_name": 0, + "bits": [ 116 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.133-51.136" + } + }, + "C28": { + "hide_name": 0, + "bits": [ 117 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.138-51.141" + } + }, + "C29": { + "hide_name": 0, + "bits": [ 118 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.143-51.146" + } + }, + "C3": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.20-51.22" + } + }, + "C30": { + "hide_name": 0, + "bits": [ 119 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.148-51.151" + } + }, + "C31": { + "hide_name": 0, + "bits": [ 120 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.153-51.156" + } + }, + "C32": { + "hide_name": 0, + "bits": [ 121 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.158-51.161" + } + }, + "C33": { + "hide_name": 0, + "bits": [ 122 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.163-51.166" + } + }, + "C34": { + "hide_name": 0, + "bits": [ 123 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.168-51.171" + } + }, + "C35": { + "hide_name": 0, + "bits": [ 124 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.173-51.176" + } + }, + "C36": { + "hide_name": 0, + "bits": [ 125 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.178-51.181" + } + }, + "C37": { + "hide_name": 0, + "bits": [ 126 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.183-51.186" + } + }, + "C38": { + "hide_name": 0, + "bits": [ 127 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.188-51.191" + } + }, + "C39": { + "hide_name": 0, + "bits": [ 128 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.193-51.196" + } + }, + "C4": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.24-51.26" + } + }, + "C40": { + "hide_name": 0, + "bits": [ 129 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.198-51.201" + } + }, + "C41": { + "hide_name": 0, + "bits": [ 130 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.203-51.206" + } + }, + "C42": { + "hide_name": 0, + "bits": [ 131 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.208-51.211" + } + }, + "C43": { + "hide_name": 0, + "bits": [ 132 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.213-51.216" + } + }, + "C44": { + "hide_name": 0, + "bits": [ 133 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.218-51.221" + } + }, + "C45": { + "hide_name": 0, + "bits": [ 134 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.223-51.226" + } + }, + "C46": { + "hide_name": 0, + "bits": [ 135 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.228-51.231" + } + }, + "C47": { + "hide_name": 0, + "bits": [ 136 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.233-51.236" + } + }, + "C48": { + "hide_name": 0, + "bits": [ 137 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.238-51.241" + } + }, + "C49": { + "hide_name": 0, + "bits": [ 138 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.243-51.246" + } + }, + "C5": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.28-51.30" + } + }, + "C50": { + "hide_name": 0, + "bits": [ 139 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.248-51.251" + } + }, + "C51": { + "hide_name": 0, + "bits": [ 140 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.253-51.256" + } + }, + "C52": { + "hide_name": 0, + "bits": [ 141 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.258-51.261" + } + }, + "C53": { + "hide_name": 0, + "bits": [ 142 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.263-51.266" + } + }, + "C6": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.32-51.34" + } + }, + "C7": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.36-51.38" + } + }, + "C8": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.40-51.42" + } + }, + "C9": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51.44-51.46" + } + }, + "CE0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:46.8-46.11" + } + }, + "CE1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:46.13-46.16" + } + }, + "CE2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:46.18-46.21" + } + }, + "CE3": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:46.23-46.26" + } + }, + "CFB0": { + "hide_name": 0, + "bits": [ 143 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.8-52.12" + } + }, + "CFB1": { + "hide_name": 0, + "bits": [ 144 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.14-52.18" + } + }, + "CFB10": { + "hide_name": 0, + "bits": [ 153 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.68-52.73" + } + }, + "CFB11": { + "hide_name": 0, + "bits": [ 154 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.75-52.80" + } + }, + "CFB12": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.82-52.87" + } + }, + "CFB13": { + "hide_name": 0, + "bits": [ 156 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.89-52.94" + } + }, + "CFB14": { + "hide_name": 0, + "bits": [ 157 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.96-52.101" + } + }, + "CFB15": { + "hide_name": 0, + "bits": [ 158 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.103-52.108" + } + }, + "CFB16": { + "hide_name": 0, + "bits": [ 159 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.110-52.115" + } + }, + "CFB17": { + "hide_name": 0, + "bits": [ 160 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.117-52.122" + } + }, + "CFB18": { + "hide_name": 0, + "bits": [ 161 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.124-52.129" + } + }, + "CFB19": { + "hide_name": 0, + "bits": [ 162 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.131-52.136" + } + }, + "CFB2": { + "hide_name": 0, + "bits": [ 145 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.20-52.24" + } + }, + "CFB20": { + "hide_name": 0, + "bits": [ 163 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.138-52.143" + } + }, + "CFB21": { + "hide_name": 0, + "bits": [ 164 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.145-52.150" + } + }, + "CFB22": { + "hide_name": 0, + "bits": [ 165 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.152-52.157" + } + }, + "CFB23": { + "hide_name": 0, + "bits": [ 166 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.159-52.164" + } + }, + "CFB24": { + "hide_name": 0, + "bits": [ 167 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.166-52.171" + } + }, + "CFB25": { + "hide_name": 0, + "bits": [ 168 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.173-52.178" + } + }, + "CFB26": { + "hide_name": 0, + "bits": [ 169 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.180-52.185" + } + }, + "CFB27": { + "hide_name": 0, + "bits": [ 170 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.187-52.192" + } + }, + "CFB28": { + "hide_name": 0, + "bits": [ 171 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.194-52.199" + } + }, + "CFB29": { + "hide_name": 0, + "bits": [ 172 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.201-52.206" + } + }, + "CFB3": { + "hide_name": 0, + "bits": [ 146 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.26-52.30" + } + }, + "CFB30": { + "hide_name": 0, + "bits": [ 173 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.208-52.213" + } + }, + "CFB31": { + "hide_name": 0, + "bits": [ 174 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.215-52.220" + } + }, + "CFB32": { + "hide_name": 0, + "bits": [ 175 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.222-52.227" + } + }, + "CFB33": { + "hide_name": 0, + "bits": [ 176 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.229-52.234" + } + }, + "CFB34": { + "hide_name": 0, + "bits": [ 177 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.236-52.241" + } + }, + "CFB35": { + "hide_name": 0, + "bits": [ 178 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.243-52.248" + } + }, + "CFB36": { + "hide_name": 0, + "bits": [ 179 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.250-52.255" + } + }, + "CFB37": { + "hide_name": 0, + "bits": [ 180 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.257-52.262" + } + }, + "CFB38": { + "hide_name": 0, + "bits": [ 181 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.264-52.269" + } + }, + "CFB39": { + "hide_name": 0, + "bits": [ 182 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.271-52.276" + } + }, + "CFB4": { + "hide_name": 0, + "bits": [ 147 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.32-52.36" + } + }, + "CFB40": { + "hide_name": 0, + "bits": [ 183 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.278-52.283" + } + }, + "CFB41": { + "hide_name": 0, + "bits": [ 184 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.285-52.290" + } + }, + "CFB42": { + "hide_name": 0, + "bits": [ 185 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.292-52.297" + } + }, + "CFB43": { + "hide_name": 0, + "bits": [ 186 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.299-52.304" + } + }, + "CFB44": { + "hide_name": 0, + "bits": [ 187 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.306-52.311" + } + }, + "CFB45": { + "hide_name": 0, + "bits": [ 188 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.313-52.318" + } + }, + "CFB46": { + "hide_name": 0, + "bits": [ 189 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.320-52.325" + } + }, + "CFB47": { + "hide_name": 0, + "bits": [ 190 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.327-52.332" + } + }, + "CFB48": { + "hide_name": 0, + "bits": [ 191 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.334-52.339" + } + }, + "CFB49": { + "hide_name": 0, + "bits": [ 192 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.341-52.346" + } + }, + "CFB5": { + "hide_name": 0, + "bits": [ 148 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.38-52.42" + } + }, + "CFB50": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.348-52.353" + } + }, + "CFB51": { + "hide_name": 0, + "bits": [ 194 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.355-52.360" + } + }, + "CFB52": { + "hide_name": 0, + "bits": [ 195 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.362-52.367" + } + }, + "CFB53": { + "hide_name": 0, + "bits": [ 196 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.369-52.374" + } + }, + "CFB6": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.44-52.48" + } + }, + "CFB7": { + "hide_name": 0, + "bits": [ 150 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.50-52.54" + } + }, + "CFB8": { + "hide_name": 0, + "bits": [ 151 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.56-52.60" + } + }, + "CFB9": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52.62-52.66" + } + }, + "CIN0": { + "hide_name": 0, + "bits": [ 269 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.8-55.12" + } + }, + "CIN1": { + "hide_name": 0, + "bits": [ 270 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.14-55.18" + } + }, + "CIN10": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.68-55.73" + } + }, + "CIN11": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.75-55.80" + } + }, + "CIN12": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.82-55.87" + } + }, + "CIN13": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.89-55.94" + } + }, + "CIN14": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.96-55.101" + } + }, + "CIN15": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.103-55.108" + } + }, + "CIN16": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.110-55.115" + } + }, + "CIN17": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.117-55.122" + } + }, + "CIN18": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.124-55.129" + } + }, + "CIN19": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.131-55.136" + } + }, + "CIN2": { + "hide_name": 0, + "bits": [ 271 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.20-55.24" + } + }, + "CIN20": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.138-55.143" + } + }, + "CIN21": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.145-55.150" + } + }, + "CIN22": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.152-55.157" + } + }, + "CIN23": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.159-55.164" + } + }, + "CIN24": { + "hide_name": 0, + "bits": [ 293 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.166-55.171" + } + }, + "CIN25": { + "hide_name": 0, + "bits": [ 294 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.173-55.178" + } + }, + "CIN26": { + "hide_name": 0, + "bits": [ 295 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.180-55.185" + } + }, + "CIN27": { + "hide_name": 0, + "bits": [ 296 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.187-55.192" + } + }, + "CIN28": { + "hide_name": 0, + "bits": [ 297 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.194-55.199" + } + }, + "CIN29": { + "hide_name": 0, + "bits": [ 298 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.201-55.206" + } + }, + "CIN3": { + "hide_name": 0, + "bits": [ 272 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.26-55.30" + } + }, + "CIN30": { + "hide_name": 0, + "bits": [ 299 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.208-55.213" + } + }, + "CIN31": { + "hide_name": 0, + "bits": [ 300 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.215-55.220" + } + }, + "CIN32": { + "hide_name": 0, + "bits": [ 301 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.222-55.227" + } + }, + "CIN33": { + "hide_name": 0, + "bits": [ 302 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.229-55.234" + } + }, + "CIN34": { + "hide_name": 0, + "bits": [ 303 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.236-55.241" + } + }, + "CIN35": { + "hide_name": 0, + "bits": [ 304 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.243-55.248" + } + }, + "CIN36": { + "hide_name": 0, + "bits": [ 305 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.250-55.255" + } + }, + "CIN37": { + "hide_name": 0, + "bits": [ 306 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.257-55.262" + } + }, + "CIN38": { + "hide_name": 0, + "bits": [ 307 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.264-55.269" + } + }, + "CIN39": { + "hide_name": 0, + "bits": [ 308 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.271-55.276" + } + }, + "CIN4": { + "hide_name": 0, + "bits": [ 273 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.32-55.36" + } + }, + "CIN40": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.278-55.283" + } + }, + "CIN41": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.285-55.290" + } + }, + "CIN42": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.292-55.297" + } + }, + "CIN43": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.299-55.304" + } + }, + "CIN44": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.306-55.311" + } + }, + "CIN45": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.313-55.318" + } + }, + "CIN46": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.320-55.325" + } + }, + "CIN47": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.327-55.332" + } + }, + "CIN48": { + "hide_name": 0, + "bits": [ 317 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.334-55.339" + } + }, + "CIN49": { + "hide_name": 0, + "bits": [ 318 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.341-55.346" + } + }, + "CIN5": { + "hide_name": 0, + "bits": [ 274 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.38-55.42" + } + }, + "CIN50": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.348-55.353" + } + }, + "CIN51": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.355-55.360" + } + }, + "CIN52": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.362-55.367" + } + }, + "CIN53": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.369-55.374" + } + }, + "CIN6": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.44-55.48" + } + }, + "CIN7": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.50-55.54" + } + }, + "CIN8": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.56-55.60" + } + }, + "CIN9": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55.62-55.66" + } + }, + "CLK0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:45.8-45.12" + } + }, + "CLK1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:45.14-45.18" + } + }, + "CLK2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:45.20-45.24" + } + }, + "CLK3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:45.26-45.30" + } + }, + "CO0": { + "hide_name": 0, + "bits": [ 388 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.9-58.12" + } + }, + "CO1": { + "hide_name": 0, + "bits": [ 389 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.14-58.17" + } + }, + "CO10": { + "hide_name": 0, + "bits": [ 398 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.59-58.63" + } + }, + "CO11": { + "hide_name": 0, + "bits": [ 399 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.65-58.69" + } + }, + "CO12": { + "hide_name": 0, + "bits": [ 400 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.71-58.75" + } + }, + "CO13": { + "hide_name": 0, + "bits": [ 401 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.77-58.81" + } + }, + "CO14": { + "hide_name": 0, + "bits": [ 402 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.83-58.87" + } + }, + "CO15": { + "hide_name": 0, + "bits": [ 403 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.89-58.93" + } + }, + "CO16": { + "hide_name": 0, + "bits": [ 404 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.95-58.99" + } + }, + "CO17": { + "hide_name": 0, + "bits": [ 405 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.101-58.105" + } + }, + "CO18": { + "hide_name": 0, + "bits": [ 406 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.107-58.111" + } + }, + "CO19": { + "hide_name": 0, + "bits": [ 407 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.113-58.117" + } + }, + "CO2": { + "hide_name": 0, + "bits": [ 390 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.19-58.22" + } + }, + "CO20": { + "hide_name": 0, + "bits": [ 408 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.119-58.123" + } + }, + "CO21": { + "hide_name": 0, + "bits": [ 409 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.125-58.129" + } + }, + "CO22": { + "hide_name": 0, + "bits": [ 410 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.131-58.135" + } + }, + "CO23": { + "hide_name": 0, + "bits": [ 411 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.137-58.141" + } + }, + "CO24": { + "hide_name": 0, + "bits": [ 412 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.143-58.147" + } + }, + "CO25": { + "hide_name": 0, + "bits": [ 413 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.149-58.153" + } + }, + "CO26": { + "hide_name": 0, + "bits": [ 414 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.155-58.159" + } + }, + "CO27": { + "hide_name": 0, + "bits": [ 415 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.161-58.165" + } + }, + "CO28": { + "hide_name": 0, + "bits": [ 416 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.167-58.171" + } + }, + "CO29": { + "hide_name": 0, + "bits": [ 417 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.173-58.177" + } + }, + "CO3": { + "hide_name": 0, + "bits": [ 391 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.24-58.27" + } + }, + "CO30": { + "hide_name": 0, + "bits": [ 418 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.179-58.183" + } + }, + "CO31": { + "hide_name": 0, + "bits": [ 419 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.185-58.189" + } + }, + "CO32": { + "hide_name": 0, + "bits": [ 420 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.191-58.195" + } + }, + "CO33": { + "hide_name": 0, + "bits": [ 421 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.197-58.201" + } + }, + "CO34": { + "hide_name": 0, + "bits": [ 422 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.203-58.207" + } + }, + "CO35": { + "hide_name": 0, + "bits": [ 423 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.209-58.213" + } + }, + "CO36": { + "hide_name": 0, + "bits": [ 424 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.215-58.219" + } + }, + "CO37": { + "hide_name": 0, + "bits": [ 425 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.221-58.225" + } + }, + "CO38": { + "hide_name": 0, + "bits": [ 426 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.227-58.231" + } + }, + "CO39": { + "hide_name": 0, + "bits": [ 427 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.233-58.237" + } + }, + "CO4": { + "hide_name": 0, + "bits": [ 392 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.29-58.32" + } + }, + "CO40": { + "hide_name": 0, + "bits": [ 428 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.239-58.243" + } + }, + "CO41": { + "hide_name": 0, + "bits": [ 429 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.245-58.249" + } + }, + "CO42": { + "hide_name": 0, + "bits": [ 430 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.251-58.255" + } + }, + "CO43": { + "hide_name": 0, + "bits": [ 431 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.257-58.261" + } + }, + "CO44": { + "hide_name": 0, + "bits": [ 432 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.263-58.267" + } + }, + "CO45": { + "hide_name": 0, + "bits": [ 433 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.269-58.273" + } + }, + "CO46": { + "hide_name": 0, + "bits": [ 434 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.275-58.279" + } + }, + "CO47": { + "hide_name": 0, + "bits": [ 435 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.281-58.285" + } + }, + "CO48": { + "hide_name": 0, + "bits": [ 436 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.287-58.291" + } + }, + "CO49": { + "hide_name": 0, + "bits": [ 437 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.293-58.297" + } + }, + "CO5": { + "hide_name": 0, + "bits": [ 393 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.34-58.37" + } + }, + "CO50": { + "hide_name": 0, + "bits": [ 438 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.299-58.303" + } + }, + "CO51": { + "hide_name": 0, + "bits": [ 439 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.305-58.309" + } + }, + "CO52": { + "hide_name": 0, + "bits": [ 440 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.311-58.315" + } + }, + "CO53": { + "hide_name": 0, + "bits": [ 441 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.317-58.321" + } + }, + "CO6": { + "hide_name": 0, + "bits": [ 394 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.39-58.42" + } + }, + "CO7": { + "hide_name": 0, + "bits": [ 395 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.44-58.47" + } + }, + "CO8": { + "hide_name": 0, + "bits": [ 396 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.49-58.52" + } + }, + "CO9": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58.54-58.57" + } + }, + "EQOM": { + "hide_name": 0, + "bits": [ 444 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:59.20-59.24" + } + }, + "EQPAT": { + "hide_name": 0, + "bits": [ 445 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:59.26-59.31" + } + }, + "EQPATB": { + "hide_name": 0, + "bits": [ 446 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:59.33-59.39" + } + }, + "EQZ": { + "hide_name": 0, + "bits": [ 442 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:59.9-59.12" + } + }, + "EQZM": { + "hide_name": 0, + "bits": [ 443 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:59.14-59.18" + } + }, + "MA0": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.8-53.11" + } + }, + "MA1": { + "hide_name": 0, + "bits": [ 198 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.13-53.16" + } + }, + "MA10": { + "hide_name": 0, + "bits": [ 207 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.58-53.62" + } + }, + "MA11": { + "hide_name": 0, + "bits": [ 208 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.64-53.68" + } + }, + "MA12": { + "hide_name": 0, + "bits": [ 209 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.70-53.74" + } + }, + "MA13": { + "hide_name": 0, + "bits": [ 210 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.76-53.80" + } + }, + "MA14": { + "hide_name": 0, + "bits": [ 211 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.82-53.86" + } + }, + "MA15": { + "hide_name": 0, + "bits": [ 212 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.88-53.92" + } + }, + "MA16": { + "hide_name": 0, + "bits": [ 213 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.94-53.98" + } + }, + "MA17": { + "hide_name": 0, + "bits": [ 214 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.100-53.104" + } + }, + "MA18": { + "hide_name": 0, + "bits": [ 215 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.106-53.110" + } + }, + "MA19": { + "hide_name": 0, + "bits": [ 216 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.112-53.116" + } + }, + "MA2": { + "hide_name": 0, + "bits": [ 199 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.18-53.21" + } + }, + "MA20": { + "hide_name": 0, + "bits": [ 217 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.118-53.122" + } + }, + "MA21": { + "hide_name": 0, + "bits": [ 218 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.124-53.128" + } + }, + "MA22": { + "hide_name": 0, + "bits": [ 219 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.130-53.134" + } + }, + "MA23": { + "hide_name": 0, + "bits": [ 220 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.136-53.140" + } + }, + "MA24": { + "hide_name": 0, + "bits": [ 221 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.142-53.146" + } + }, + "MA25": { + "hide_name": 0, + "bits": [ 222 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.148-53.152" + } + }, + "MA26": { + "hide_name": 0, + "bits": [ 223 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.154-53.158" + } + }, + "MA27": { + "hide_name": 0, + "bits": [ 224 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.160-53.164" + } + }, + "MA28": { + "hide_name": 0, + "bits": [ 225 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.166-53.170" + } + }, + "MA29": { + "hide_name": 0, + "bits": [ 226 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.172-53.176" + } + }, + "MA3": { + "hide_name": 0, + "bits": [ 200 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.23-53.26" + } + }, + "MA30": { + "hide_name": 0, + "bits": [ 227 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.178-53.182" + } + }, + "MA31": { + "hide_name": 0, + "bits": [ 228 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.184-53.188" + } + }, + "MA32": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.190-53.194" + } + }, + "MA33": { + "hide_name": 0, + "bits": [ 230 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.196-53.200" + } + }, + "MA34": { + "hide_name": 0, + "bits": [ 231 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.202-53.206" + } + }, + "MA35": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.208-53.212" + } + }, + "MA4": { + "hide_name": 0, + "bits": [ 201 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.28-53.31" + } + }, + "MA5": { + "hide_name": 0, + "bits": [ 202 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.33-53.36" + } + }, + "MA6": { + "hide_name": 0, + "bits": [ 203 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.38-53.41" + } + }, + "MA7": { + "hide_name": 0, + "bits": [ 204 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.43-53.46" + } + }, + "MA8": { + "hide_name": 0, + "bits": [ 205 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.48-53.51" + } + }, + "MA9": { + "hide_name": 0, + "bits": [ 206 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53.53-53.56" + } + }, + "MB0": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.8-54.11" + } + }, + "MB1": { + "hide_name": 0, + "bits": [ 234 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.13-54.16" + } + }, + "MB10": { + "hide_name": 0, + "bits": [ 243 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.58-54.62" + } + }, + "MB11": { + "hide_name": 0, + "bits": [ 244 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.64-54.68" + } + }, + "MB12": { + "hide_name": 0, + "bits": [ 245 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.70-54.74" + } + }, + "MB13": { + "hide_name": 0, + "bits": [ 246 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.76-54.80" + } + }, + "MB14": { + "hide_name": 0, + "bits": [ 247 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.82-54.86" + } + }, + "MB15": { + "hide_name": 0, + "bits": [ 248 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.88-54.92" + } + }, + "MB16": { + "hide_name": 0, + "bits": [ 249 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.94-54.98" + } + }, + "MB17": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.100-54.104" + } + }, + "MB18": { + "hide_name": 0, + "bits": [ 251 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.106-54.110" + } + }, + "MB19": { + "hide_name": 0, + "bits": [ 252 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.112-54.116" + } + }, + "MB2": { + "hide_name": 0, + "bits": [ 235 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.18-54.21" + } + }, + "MB20": { + "hide_name": 0, + "bits": [ 253 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.118-54.122" + } + }, + "MB21": { + "hide_name": 0, + "bits": [ 254 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.124-54.128" + } + }, + "MB22": { + "hide_name": 0, + "bits": [ 255 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.130-54.134" + } + }, + "MB23": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.136-54.140" + } + }, + "MB24": { + "hide_name": 0, + "bits": [ 257 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.142-54.146" + } + }, + "MB25": { + "hide_name": 0, + "bits": [ 258 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.148-54.152" + } + }, + "MB26": { + "hide_name": 0, + "bits": [ 259 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.154-54.158" + } + }, + "MB27": { + "hide_name": 0, + "bits": [ 260 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.160-54.164" + } + }, + "MB28": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.166-54.170" + } + }, + "MB29": { + "hide_name": 0, + "bits": [ 262 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.172-54.176" + } + }, + "MB3": { + "hide_name": 0, + "bits": [ 236 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.23-54.26" + } + }, + "MB30": { + "hide_name": 0, + "bits": [ 263 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.178-54.182" + } + }, + "MB31": { + "hide_name": 0, + "bits": [ 264 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.184-54.188" + } + }, + "MB32": { + "hide_name": 0, + "bits": [ 265 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.190-54.194" + } + }, + "MB33": { + "hide_name": 0, + "bits": [ 266 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.196-54.200" + } + }, + "MB34": { + "hide_name": 0, + "bits": [ 267 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.202-54.206" + } + }, + "MB35": { + "hide_name": 0, + "bits": [ 268 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.208-54.212" + } + }, + "MB4": { + "hide_name": 0, + "bits": [ 237 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.28-54.31" + } + }, + "MB5": { + "hide_name": 0, + "bits": [ 238 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.33-54.36" + } + }, + "MB6": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.38-54.41" + } + }, + "MB7": { + "hide_name": 0, + "bits": [ 240 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.43-54.46" + } + }, + "MB8": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.48-54.51" + } + }, + "MB9": { + "hide_name": 0, + "bits": [ 242 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54.53-54.56" + } + }, + "OP0": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56.8-56.11" + } + }, + "OP1": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56.13-56.16" + } + }, + "OP10": { + "hide_name": 0, + "bits": [ 333 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56.58-56.62" + } + }, + "OP2": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56.18-56.21" + } + }, + "OP3": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56.23-56.26" + } + }, + "OP4": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56.28-56.31" + } + }, + "OP5": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56.33-56.36" + } + }, + "OP6": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56.38-56.41" + } + }, + "OP7": { + "hide_name": 0, + "bits": [ 330 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56.43-56.46" + } + }, + "OP8": { + "hide_name": 0, + "bits": [ 331 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56.48-56.51" + } + }, + "OP9": { + "hide_name": 0, + "bits": [ 332 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56.53-56.56" + } + }, + "OVER": { + "hide_name": 0, + "bits": [ 447 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:60.9-60.13" + } + }, + "OVERUNDER": { + "hide_name": 0, + "bits": [ 449 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:60.22-60.31" + } + }, + "R0": { + "hide_name": 0, + "bits": [ 334 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.9-57.11" + } + }, + "R1": { + "hide_name": 0, + "bits": [ 335 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.13-57.15" + } + }, + "R10": { + "hide_name": 0, + "bits": [ 344 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.49-57.52" + } + }, + "R11": { + "hide_name": 0, + "bits": [ 345 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.54-57.57" + } + }, + "R12": { + "hide_name": 0, + "bits": [ 346 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.59-57.62" + } + }, + "R13": { + "hide_name": 0, + "bits": [ 347 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.64-57.67" + } + }, + "R14": { + "hide_name": 0, + "bits": [ 348 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.69-57.72" + } + }, + "R15": { + "hide_name": 0, + "bits": [ 349 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.74-57.77" + } + }, + "R16": { + "hide_name": 0, + "bits": [ 350 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.79-57.82" + } + }, + "R17": { + "hide_name": 0, + "bits": [ 351 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.84-57.87" + } + }, + "R18": { + "hide_name": 0, + "bits": [ 352 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.89-57.92" + } + }, + "R19": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.94-57.97" + } + }, + "R2": { + "hide_name": 0, + "bits": [ 336 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.17-57.19" + } + }, + "R20": { + "hide_name": 0, + "bits": [ 354 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.99-57.102" + } + }, + "R21": { + "hide_name": 0, + "bits": [ 355 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.104-57.107" + } + }, + "R22": { + "hide_name": 0, + "bits": [ 356 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.109-57.112" + } + }, + "R23": { + "hide_name": 0, + "bits": [ 357 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.114-57.117" + } + }, + "R24": { + "hide_name": 0, + "bits": [ 358 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.119-57.122" + } + }, + "R25": { + "hide_name": 0, + "bits": [ 359 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.124-57.127" + } + }, + "R26": { + "hide_name": 0, + "bits": [ 360 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.129-57.132" + } + }, + "R27": { + "hide_name": 0, + "bits": [ 361 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.134-57.137" + } + }, + "R28": { + "hide_name": 0, + "bits": [ 362 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.139-57.142" + } + }, + "R29": { + "hide_name": 0, + "bits": [ 363 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.144-57.147" + } + }, + "R3": { + "hide_name": 0, + "bits": [ 337 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.21-57.23" + } + }, + "R30": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.149-57.152" + } + }, + "R31": { + "hide_name": 0, + "bits": [ 365 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.154-57.157" + } + }, + "R32": { + "hide_name": 0, + "bits": [ 366 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.159-57.162" + } + }, + "R33": { + "hide_name": 0, + "bits": [ 367 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.164-57.167" + } + }, + "R34": { + "hide_name": 0, + "bits": [ 368 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.169-57.172" + } + }, + "R35": { + "hide_name": 0, + "bits": [ 369 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.174-57.177" + } + }, + "R36": { + "hide_name": 0, + "bits": [ 370 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.179-57.182" + } + }, + "R37": { + "hide_name": 0, + "bits": [ 371 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.184-57.187" + } + }, + "R38": { + "hide_name": 0, + "bits": [ 372 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.189-57.192" + } + }, + "R39": { + "hide_name": 0, + "bits": [ 373 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.194-57.197" + } + }, + "R4": { + "hide_name": 0, + "bits": [ 338 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.25-57.27" + } + }, + "R40": { + "hide_name": 0, + "bits": [ 374 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.199-57.202" + } + }, + "R41": { + "hide_name": 0, + "bits": [ 375 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.204-57.207" + } + }, + "R42": { + "hide_name": 0, + "bits": [ 376 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.209-57.212" + } + }, + "R43": { + "hide_name": 0, + "bits": [ 377 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.214-57.217" + } + }, + "R44": { + "hide_name": 0, + "bits": [ 378 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.219-57.222" + } + }, + "R45": { + "hide_name": 0, + "bits": [ 379 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.224-57.227" + } + }, + "R46": { + "hide_name": 0, + "bits": [ 380 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.229-57.232" + } + }, + "R47": { + "hide_name": 0, + "bits": [ 381 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.234-57.237" + } + }, + "R48": { + "hide_name": 0, + "bits": [ 382 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.239-57.242" + } + }, + "R49": { + "hide_name": 0, + "bits": [ 383 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.244-57.247" + } + }, + "R5": { + "hide_name": 0, + "bits": [ 339 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.29-57.31" + } + }, + "R50": { + "hide_name": 0, + "bits": [ 384 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.249-57.252" + } + }, + "R51": { + "hide_name": 0, + "bits": [ 385 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.254-57.257" + } + }, + "R52": { + "hide_name": 0, + "bits": [ 386 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.259-57.262" + } + }, + "R53": { + "hide_name": 0, + "bits": [ 387 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.264-57.267" + } + }, + "R6": { + "hide_name": 0, + "bits": [ 340 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.33-57.35" + } + }, + "R7": { + "hide_name": 0, + "bits": [ 341 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.37-57.39" + } + }, + "R8": { + "hide_name": 0, + "bits": [ 342 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.41-57.43" + } + }, + "R9": { + "hide_name": 0, + "bits": [ 343 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57.45-57.47" + } + }, + "RST0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:47.8-47.12" + } + }, + "RST1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:47.14-47.18" + } + }, + "RST2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:47.20-47.24" + } + }, + "RST3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:47.26-47.30" + } + }, + "SIGNEDCIN": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:48.28-48.37" + } + }, + "SIGNEDIA": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:48.8-48.16" + } + }, + "SIGNEDIB": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:48.18-48.26" + } + }, + "SIGNEDR": { + "hide_name": 0, + "bits": [ 450 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:61.9-61.16" + } + }, + "UNDER": { + "hide_name": 0, + "bits": [ 448 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:60.15-60.20" + } + } + } + }, + "BB": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:10.1-10.154" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "T": { + "direction": "input", + "bits": [ 3 ] + }, + "O": { + "direction": "output", + "bits": [ 4 ] + }, + "B": { + "direction": "inout", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "B": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:10.43-10.44" + } + }, + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:10.20-10.21" + } + }, + "O": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:10.34-10.35" + } + }, + "T": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:10.23-10.24" + } + } + } + }, + "BBPD": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:12.1-12.154" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "T": { + "direction": "input", + "bits": [ 3 ] + }, + "O": { + "direction": "output", + "bits": [ 4 ] + }, + "B": { + "direction": "inout", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "B": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:12.43-12.44" + } + }, + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:12.20-12.21" + } + }, + "O": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:12.34-12.35" + } + }, + "T": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:12.23-12.24" + } + } + } + }, + "BBPU": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:11.1-11.154" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "T": { + "direction": "input", + "bits": [ 3 ] + }, + "O": { + "direction": "output", + "bits": [ 4 ] + }, + "B": { + "direction": "inout", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "B": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:11.43-11.44" + } + }, + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:11.20-11.21" + } + }, + "O": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:11.34-11.35" + } + }, + "T": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:11.23-11.24" + } + } + } + }, + "CCU2C": { + "attributes": { + "abc9_box": "00000000000000000000000000000001", + "whitebox": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:76.1-134.10" + }, + "parameter_default_values": { + "INIT0": "0000000000000000", + "INIT1": "0000000000000000", + "INJECT1_0": "YES", + "INJECT1_1": "YES" + }, + "ports": { + "CIN": { + "direction": "input", + "bits": [ 2 ] + }, + "A0": { + "direction": "input", + "bits": [ 3 ] + }, + "B0": { + "direction": "input", + "bits": [ 4 ] + }, + "C0": { + "direction": "input", + "bits": [ 5 ] + }, + "D0": { + "direction": "input", + "bits": [ 6 ] + }, + "A1": { + "direction": "input", + "bits": [ 7 ] + }, + "B1": { + "direction": "input", + "bits": [ 8 ] + }, + "C1": { + "direction": "input", + "bits": [ 9 ] + }, + "D1": { + "direction": "input", + "bits": [ 10 ] + }, + "S0": { + "direction": "output", + "bits": [ 11 ] + }, + "S1": { + "direction": "output", + "bits": [ 12 ] + }, + "COUT": { + "direction": "output", + "bits": [ 13 ] + } + }, + "cells": { + "$and$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107$1343": { + "hide_name": 1, + "type": "$and", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "B_SIGNED": "00000000000000000000000000000000", + "B_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107.17-107.39" + }, + "port_directions": { + "A": "input", + "B": "input", + "Y": "output" + }, + "connections": { + "A": [ 14 ], + "B": [ "0" ], + "Y": [ 15 ] + } + }, + "$and$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107$1344": { + "hide_name": 1, + "type": "$and", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "B_SIGNED": "00000000000000000000000000000000", + "B_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107.44-107.59" + }, + "port_directions": { + "A": "input", + "B": "input", + "Y": "output" + }, + "connections": { + "A": [ 16 ], + "B": [ 17 ], + "Y": [ 18 ] + } + }, + "$and$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97$1338": { + "hide_name": 1, + "type": "$and", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "B_SIGNED": "00000000000000000000000000000000", + "B_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97.17-97.39" + }, + "port_directions": { + "A": "input", + "B": "input", + "Y": "output" + }, + "connections": { + "A": [ 19 ], + "B": [ "0" ], + "Y": [ 20 ] + } + }, + "$and$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97$1339": { + "hide_name": 1, + "type": "$and", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "B_SIGNED": "00000000000000000000000000000000", + "B_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97.44-97.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "Y": "output" + }, + "connections": { + "A": [ 21 ], + "B": [ 2 ], + "Y": [ 22 ] + } + }, + "$not$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107$1342": { + "hide_name": 1, + "type": "$not", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107.17-107.24" + }, + "port_directions": { + "A": "input", + "Y": "output" + }, + "connections": { + "A": [ 16 ], + "Y": [ 14 ] + } + }, + "$not$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97$1337": { + "hide_name": 1, + "type": "$not", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97.17-97.24" + }, + "port_directions": { + "A": "input", + "Y": "output" + }, + "connections": { + "A": [ 21 ], + "Y": [ 19 ] + } + }, + "$or$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107$1345": { + "hide_name": 1, + "type": "$or", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "B_SIGNED": "00000000000000000000000000000000", + "B_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107.16-107.60" + }, + "port_directions": { + "A": "input", + "B": "input", + "Y": "output" + }, + "connections": { + "A": [ 15 ], + "B": [ 18 ], + "Y": [ 13 ] + } + }, + "$or$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97$1340": { + "hide_name": 1, + "type": "$or", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "B_SIGNED": "00000000000000000000000000000000", + "B_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97.16-97.57" + }, + "port_directions": { + "A": "input", + "B": "input", + "Y": "output" + }, + "connections": { + "A": [ 20 ], + "B": [ 22 ], + "Y": [ 17 ] + } + }, + "$specify$1293": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000101111011", + "T_FALL_MIN": "00000000000000000000000101111011", + "T_FALL_TYP": "00000000000000000000000101111011", + "T_RISE_MAX": "00000000000000000000000101111011", + "T_RISE_MIN": "00000000000000000000000101111011", + "T_RISE_TYP": "00000000000000000000000101111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:110.3-110.20" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 11 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$1294": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000101111011", + "T_FALL_MIN": "00000000000000000000000101111011", + "T_FALL_TYP": "00000000000000000000000101111011", + "T_RISE_MAX": "00000000000000000000000101111011", + "T_RISE_MIN": "00000000000000000000000101111011", + "T_RISE_TYP": "00000000000000000000000101111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:111.3-111.20" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 11 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$1295": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000100010011", + "T_FALL_MIN": "00000000000000000000000100010011", + "T_FALL_TYP": "00000000000000000000000100010011", + "T_RISE_MAX": "00000000000000000000000100010011", + "T_RISE_MIN": "00000000000000000000000100010011", + "T_RISE_TYP": "00000000000000000000000100010011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:112.3-112.20" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 11 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$1296": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010001101", + "T_FALL_MIN": "00000000000000000000000010001101", + "T_FALL_TYP": "00000000000000000000000010001101", + "T_RISE_MAX": "00000000000000000000000010001101", + "T_RISE_MIN": "00000000000000000000000010001101", + "T_RISE_TYP": "00000000000000000000000010001101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:113.3-113.20" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 11 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$1297": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000100000001", + "T_FALL_MIN": "00000000000000000000000100000001", + "T_FALL_TYP": "00000000000000000000000100000001", + "T_RISE_MAX": "00000000000000000000000100000001", + "T_RISE_MIN": "00000000000000000000000100000001", + "T_RISE_TYP": "00000000000000000000000100000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:114.3-114.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 11 ], + "EN": [ "1" ], + "SRC": [ 2 ] + } + }, + "$specify$1298": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001001110110", + "T_FALL_MIN": "00000000000000000000001001110110", + "T_FALL_TYP": "00000000000000000000001001110110", + "T_RISE_MAX": "00000000000000000000001001110110", + "T_RISE_MIN": "00000000000000000000001001110110", + "T_RISE_TYP": "00000000000000000000001001110110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:115.3-115.20" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 12 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$1299": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001001110110", + "T_FALL_MIN": "00000000000000000000001001110110", + "T_FALL_TYP": "00000000000000000000001001110110", + "T_RISE_MAX": "00000000000000000000001001110110", + "T_RISE_MIN": "00000000000000000000001001110110", + "T_RISE_TYP": "00000000000000000000001001110110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:116.3-116.20" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 12 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$1300": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000001110", + "T_FALL_MIN": "00000000000000000000001000001110", + "T_FALL_TYP": "00000000000000000000001000001110", + "T_RISE_MAX": "00000000000000000000001000001110", + "T_RISE_MIN": "00000000000000000000001000001110", + "T_RISE_TYP": "00000000000000000000001000001110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:117.3-117.20" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 12 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$1301": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000110001000", + "T_FALL_MIN": "00000000000000000000000110001000", + "T_FALL_TYP": "00000000000000000000000110001000", + "T_RISE_MAX": "00000000000000000000000110001000", + "T_RISE_MIN": "00000000000000000000000110001000", + "T_RISE_TYP": "00000000000000000000000110001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:118.3-118.20" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 12 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$1302": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000101111011", + "T_FALL_MIN": "00000000000000000000000101111011", + "T_FALL_TYP": "00000000000000000000000101111011", + "T_RISE_MAX": "00000000000000000000000101111011", + "T_RISE_MIN": "00000000000000000000000101111011", + "T_RISE_TYP": "00000000000000000000000101111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:119.3-119.20" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 12 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + }, + "$specify$1303": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000101111011", + "T_FALL_MIN": "00000000000000000000000101111011", + "T_FALL_TYP": "00000000000000000000000101111011", + "T_RISE_MAX": "00000000000000000000000101111011", + "T_RISE_MIN": "00000000000000000000000101111011", + "T_RISE_TYP": "00000000000000000000000101111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:120.3-120.20" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 12 ], + "EN": [ "1" ], + "SRC": [ 8 ] + } + }, + "$specify$1304": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000100010011", + "T_FALL_MIN": "00000000000000000000000100010011", + "T_FALL_TYP": "00000000000000000000000100010011", + "T_RISE_MAX": "00000000000000000000000100010011", + "T_RISE_MIN": "00000000000000000000000100010011", + "T_RISE_TYP": "00000000000000000000000100010011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:121.3-121.20" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 12 ], + "EN": [ "1" ], + "SRC": [ 9 ] + } + }, + "$specify$1305": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010001101", + "T_FALL_MIN": "00000000000000000000000010001101", + "T_FALL_TYP": "00000000000000000000000010001101", + "T_RISE_MAX": "00000000000000000000000010001101", + "T_RISE_MIN": "00000000000000000000000010001101", + "T_RISE_TYP": "00000000000000000000000010001101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:122.3-122.20" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 12 ], + "EN": [ "1" ], + "SRC": [ 10 ] + } + }, + "$specify$1306": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000100010001", + "T_FALL_MIN": "00000000000000000000000100010001", + "T_FALL_TYP": "00000000000000000000000100010001", + "T_RISE_MAX": "00000000000000000000000100010001", + "T_RISE_MIN": "00000000000000000000000100010001", + "T_RISE_TYP": "00000000000000000000000100010001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:123.3-123.21" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 12 ], + "EN": [ "1" ], + "SRC": [ 2 ] + } + }, + "$specify$1307": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000000100", + "T_FALL_MIN": "00000000000000000000001000000100", + "T_FALL_TYP": "00000000000000000000001000000100", + "T_RISE_MAX": "00000000000000000000001000000100", + "T_RISE_MIN": "00000000000000000000001000000100", + "T_RISE_TYP": "00000000000000000000001000000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:124.3-124.22" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 13 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$1308": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000000100", + "T_FALL_MIN": "00000000000000000000001000000100", + "T_FALL_TYP": "00000000000000000000001000000100", + "T_RISE_MAX": "00000000000000000000001000000100", + "T_RISE_MIN": "00000000000000000000001000000100", + "T_RISE_TYP": "00000000000000000000001000000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:125.3-125.22" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 13 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$1309": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000110011100", + "T_FALL_MIN": "00000000000000000000000110011100", + "T_FALL_TYP": "00000000000000000000000110011100", + "T_RISE_MAX": "00000000000000000000000110011100", + "T_RISE_MIN": "00000000000000000000000110011100", + "T_RISE_TYP": "00000000000000000000000110011100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:126.3-126.22" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 13 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$1310": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000100010110", + "T_FALL_MIN": "00000000000000000000000100010110", + "T_FALL_TYP": "00000000000000000000000100010110", + "T_RISE_MAX": "00000000000000000000000100010110", + "T_RISE_MIN": "00000000000000000000000100010110", + "T_RISE_TYP": "00000000000000000000000100010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:127.3-127.22" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 13 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$1311": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000000100", + "T_FALL_MIN": "00000000000000000000001000000100", + "T_FALL_TYP": "00000000000000000000001000000100", + "T_RISE_MAX": "00000000000000000000001000000100", + "T_RISE_MIN": "00000000000000000000001000000100", + "T_RISE_TYP": "00000000000000000000001000000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:128.3-128.22" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 13 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + }, + "$specify$1312": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000000100", + "T_FALL_MIN": "00000000000000000000001000000100", + "T_FALL_TYP": "00000000000000000000001000000100", + "T_RISE_MAX": "00000000000000000000001000000100", + "T_RISE_MIN": "00000000000000000000001000000100", + "T_RISE_TYP": "00000000000000000000001000000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:129.3-129.22" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 13 ], + "EN": [ "1" ], + "SRC": [ 8 ] + } + }, + "$specify$1313": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000110011100", + "T_FALL_MIN": "00000000000000000000000110011100", + "T_FALL_TYP": "00000000000000000000000110011100", + "T_RISE_MAX": "00000000000000000000000110011100", + "T_RISE_MIN": "00000000000000000000000110011100", + "T_RISE_TYP": "00000000000000000000000110011100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:130.3-130.22" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 13 ], + "EN": [ "1" ], + "SRC": [ 9 ] + } + }, + "$specify$1314": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000100010110", + "T_FALL_MIN": "00000000000000000000000100010110", + "T_FALL_TYP": "00000000000000000000000100010110", + "T_RISE_MAX": "00000000000000000000000100010110", + "T_RISE_MIN": "00000000000000000000000100010110", + "T_RISE_TYP": "00000000000000000000000100010110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:131.3-131.22" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 13 ], + "EN": [ "1" ], + "SRC": [ 10 ] + } + }, + "$specify$1315": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000000101011", + "T_FALL_MIN": "00000000000000000000000000101011", + "T_FALL_TYP": "00000000000000000000000000101011", + "T_RISE_MAX": "00000000000000000000000000101011", + "T_RISE_MIN": "00000000000000000000000000101011", + "T_RISE_TYP": "00000000000000000000000000101011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:132.3-132.22" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 13 ], + "EN": [ "1" ], + "SRC": [ 2 ] + } + }, + "$xor$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:104$1341": { + "hide_name": 1, + "type": "$xor", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "B_SIGNED": "00000000000000000000000000000000", + "B_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:104.14-104.34" + }, + "port_directions": { + "A": "input", + "B": "input", + "Y": "output" + }, + "connections": { + "A": [ 16 ], + "B": [ "0" ], + "Y": [ 12 ] + } + }, + "$xor$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:94$1336": { + "hide_name": 1, + "type": "$xor", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "B_SIGNED": "00000000000000000000000000000000", + "B_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:94.14-94.34" + }, + "port_directions": { + "A": "input", + "B": "input", + "Y": "output" + }, + "connections": { + "A": [ 21 ], + "B": [ "0" ], + "Y": [ 11 ] + } + }, + "lut2_0": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:92.28-92.62" + }, + "port_directions": { + "A": "input", + "B": "input", + "Z": "output" + }, + "connections": { + "A": [ 3 ], + "B": [ 4 ], + "Z": [ 23 ] + } + }, + "lut2_1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:102.28-102.62" + }, + "port_directions": { + "A": "input", + "B": "input", + "Z": "output" + }, + "connections": { + "A": [ 7 ], + "B": [ 8 ], + "Z": [ 24 ] + } + }, + "lut4_0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:91.23-91.73" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3 ], + "B": [ 4 ], + "C": [ 5 ], + "D": [ 6 ], + "Z": [ 21 ] + } + }, + "lut4_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:101.23-101.73" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7 ], + "B": [ 8 ], + "C": [ 9 ], + "D": [ 10 ], + "Z": [ 16 ] + } + } + }, + "netnames": { + "$and$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107$1343_Y": { + "hide_name": 1, + "bits": [ 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107.17-107.39" + } + }, + "$and$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107$1344_Y": { + "hide_name": 1, + "bits": [ 18 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107.44-107.59" + } + }, + "$and$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97$1338_Y": { + "hide_name": 1, + "bits": [ 20 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97.17-97.39" + } + }, + "$and$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97$1339_Y": { + "hide_name": 1, + "bits": [ 22 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97.44-97.56" + } + }, + "$not$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107$1342_Y": { + "hide_name": 1, + "bits": [ 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107.17-107.24" + } + }, + "$not$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97$1337_Y": { + "hide_name": 1, + "bits": [ 19 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97.17-97.24" + } + }, + "$or$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107$1345_Y": { + "hide_name": 1, + "bits": [ 13 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:107.16-107.60" + } + }, + "$or$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97$1340_Y": { + "hide_name": 1, + "bits": [ 17 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97.16-97.57" + } + }, + "$xor$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:104$1341_Y": { + "hide_name": 1, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:104.14-104.34" + } + }, + "$xor$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:94$1336_Y": { + "hide_name": 1, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:94.14-94.34" + } + }, + "A0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:79.9-79.11" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:79.25-79.27" + } + }, + "B0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:79.13-79.15" + } + }, + "B1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:79.29-79.31" + } + }, + "C0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:79.17-79.19" + } + }, + "C1": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:79.33-79.35" + } + }, + "CIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:78.9-78.12" + } + }, + "COUT": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:82.9-82.13" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:79.21-79.23" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:79.37-79.39" + } + }, + "LUT2_0": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:90.15-90.21" + } + }, + "LUT2_1": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:100.15-100.21" + } + }, + "LUT4_0": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:90.7-90.13" + } + }, + "LUT4_1": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:100.7-100.13" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:80.9-80.11" + } + }, + "S1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:80.13-80.15" + } + }, + "cout_0": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:97.7-97.13" + } + }, + "gated_cin_0": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:93.7-93.18" + } + }, + "gated_cin_1": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:103.7-103.18" + } + }, + "gated_lut2_0": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:96.7-96.19" + } + }, + "gated_lut2_1": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:106.7-106.19" + } + } + } + }, + "CLKDIVF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:321.1-327.10" + }, + "parameter_default_values": { + "DIV": "2.0", + "GSR": "DISABLED" + }, + "ports": { + "CLKI": { + "direction": "input", + "bits": [ 2 ] + }, + "RST": { + "direction": "input", + "bits": [ 3 ] + }, + "ALIGNWD": { + "direction": "input", + "bits": [ 4 ] + }, + "CDIVX": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "ALIGNWD": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:322.19-322.26" + } + }, + "CDIVX": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:323.9-323.14" + } + }, + "CLKI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:322.8-322.12" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:322.14-322.17" + } + } + } + }, + "DCCA": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:344.1-348.10" + }, + "ports": { + "CLKI": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKO": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:345.14-345.16" + } + }, + "CLKI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:345.8-345.12" + } + }, + "CLKO": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:346.9-346.13" + } + } + } + }, + "DCUA": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:351.1-659.10" + }, + "parameter_default_values": { + "CH0_AUTO_CALIB_EN": "0b0", + "CH0_AUTO_FACQ_EN": "0b0", + "CH0_BAND_THRESHOLD": "0b000000", + "CH0_CALIB_CK_MODE": "0b0", + "CH0_CC_MATCH_1": "0b0000000000", + "CH0_CC_MATCH_2": "0b0000000000", + "CH0_CC_MATCH_3": "0b0000000000", + "CH0_CC_MATCH_4": "0b0000000000", + "CH0_CDR_CNT4SEL": "0b00", + "CH0_CDR_CNT8SEL": "0b00", + "CH0_CDR_MAX_RATE": "2.5", + "CH0_CTC_BYPASS": "0b0", + "CH0_DCOATDCFG": "0b00", + "CH0_DCOATDDLY": "0b00", + "CH0_DCOBYPSATD": "0b0", + "CH0_DCOCALDIV": "0b000", + "CH0_DCOCTLGI": "0b000", + "CH0_DCODISBDAVOID": "0b0", + "CH0_DCOFLTDAC": "0b00", + "CH0_DCOFTNRG": "0b000", + "CH0_DCOIOSTUNE": "0b000", + "CH0_DCOITUNE": "0b00", + "CH0_DCOITUNE4LSB": "0b000", + "CH0_DCOIUPDNX2": "0b0", + "CH0_DCONUOFLSB": "0b000", + "CH0_DCOSCALEI": "0b00", + "CH0_DCOSTARTVAL": "0b000", + "CH0_DCOSTEP": "0b00", + "CH0_DEC_BYPASS": "0b0", + "CH0_ENABLE_CG_ALIGN": "0b0", + "CH0_ENC_BYPASS": "0b0", + "CH0_FF_RX_F_CLK_DIS": "0b0", + "CH0_FF_RX_H_CLK_EN": "0b0", + "CH0_FF_TX_F_CLK_DIS": "0b0", + "CH0_FF_TX_H_CLK_EN": "0b0", + "CH0_GE_AN_ENABLE": "0b0", + "CH0_INVERT_RX": "0b0", + "CH0_INVERT_TX": "0b0", + "CH0_LDR_CORE2TX_SEL": "0b0", + "CH0_LDR_RX2CORE_SEL": "0b0", + "CH0_LEQ_OFFSET_SEL": "0b0", + "CH0_LEQ_OFFSET_TRIM": "0b000", + "CH0_LSM_DISABLE": "0b0", + "CH0_MATCH_2_ENABLE": "0b0", + "CH0_MATCH_4_ENABLE": "0b0", + "CH0_MIN_IPG_CNT": "0b00", + "CH0_PCIE_EI_EN": "0b0", + "CH0_PCIE_MODE": "0b0", + "CH0_PCS_DET_TIME_SEL": "0b00", + "CH0_PDEN_SEL": "0b0", + "CH0_PRBS_ENABLE": "0b0", + "CH0_PRBS_LOCK": "0b0", + "CH0_PRBS_SELECTION": "0b0", + "CH0_PROTOCOL": "8B10B", + "CH0_RATE_MODE_RX": "0b0", + "CH0_RATE_MODE_TX": "0b0", + "CH0_RCV_DCC_EN": "0b0", + "CH0_REG_BAND_OFFSET": "0b0000", + "CH0_REG_BAND_SEL": "0b000000", + "CH0_REG_IDAC_EN": "0b0", + "CH0_REG_IDAC_SEL": "0b0000000000", + "CH0_REQ_EN": "0b0", + "CH0_REQ_LVL_SET": "0b00", + "CH0_RIO_MODE": "0b0", + "CH0_RLOS_SEL": "0b0", + "CH0_RPWDNB": "0b0", + "CH0_RTERM_RX": "0b00000", + "CH0_RTERM_TX": "0b00000", + "CH0_RXIN_CM": "0b00", + "CH0_RXTERM_CM": "0b00", + "CH0_RX_DCO_CK_DIV": "0b000", + "CH0_RX_DIV11_SEL": "0b0", + "CH0_RX_GEAR_BYPASS": "0b0", + "CH0_RX_GEAR_MODE": "0b0", + "CH0_RX_LOS_CEQ": "0b00", + "CH0_RX_LOS_EN": "0b0", + "CH0_RX_LOS_HYST_EN": "0b0", + "CH0_RX_LOS_LVL": "0b000", + "CH0_RX_RATE_SEL": "0b0000", + "CH0_RX_SB_BYPASS": "0b0", + "CH0_SB_BYPASS": "0b0", + "CH0_SEL_SD_RX_CLK": "0b0", + "CH0_TDRV_DAT_SEL": "0b00", + "CH0_TDRV_POST_EN": "0b0", + "CH0_TDRV_PRE_EN": "0b0", + "CH0_TDRV_SLICE0_CUR": "0b000", + "CH0_TDRV_SLICE0_SEL": "0b00", + "CH0_TDRV_SLICE1_CUR": "0b000", + "CH0_TDRV_SLICE1_SEL": "0b00", + "CH0_TDRV_SLICE2_CUR": "0b00", + "CH0_TDRV_SLICE2_SEL": "0b00", + "CH0_TDRV_SLICE3_CUR": "0b00", + "CH0_TDRV_SLICE3_SEL": "0b00", + "CH0_TDRV_SLICE4_CUR": "0b00", + "CH0_TDRV_SLICE4_SEL": "0b00", + "CH0_TDRV_SLICE5_CUR": "0b00", + "CH0_TDRV_SLICE5_SEL": "0b00", + "CH0_TPWDNB": "0b0", + "CH0_TXAMPLITUDE": "0d1300", + "CH0_TXDEPOST": "DISABLED", + "CH0_TXDEPRE": "DISABLED", + "CH0_TX_CM_SEL": "0b00", + "CH0_TX_DIV11_SEL": "0b0", + "CH0_TX_GEAR_BYPASS": "0b0", + "CH0_TX_GEAR_MODE": "0b0", + "CH0_TX_POST_SIGN": "0b0", + "CH0_TX_PRE_SIGN": "0b0", + "CH0_UC_MODE": "0b0", + "CH0_UDF_COMMA_A": "0b0000000000", + "CH0_UDF_COMMA_B": "0b0000000000", + "CH0_UDF_COMMA_MASK": "0b0000000000", + "CH0_WA_BYPASS": "0b0", + "CH0_WA_MODE": "0b0", + "CH1_AUTO_CALIB_EN": "0b0", + "CH1_AUTO_FACQ_EN": "0b0", + "CH1_BAND_THRESHOLD": "0b000000", + "CH1_CALIB_CK_MODE": "0b0", + "CH1_CC_MATCH_1": "0b0000000000", + "CH1_CC_MATCH_2": "0b0000000000", + "CH1_CC_MATCH_3": "0b0000000000", + "CH1_CC_MATCH_4": "0b0000000000", + "CH1_CDR_CNT4SEL": "0b00", + "CH1_CDR_CNT8SEL": "0b00", + "CH1_CDR_MAX_RATE": "2.5", + "CH1_CTC_BYPASS": "0b0", + "CH1_DCOATDCFG": "0b00", + "CH1_DCOATDDLY": "0b00", + "CH1_DCOBYPSATD": "0b0", + "CH1_DCOCALDIV": "0b000", + "CH1_DCOCTLGI": "0b000", + "CH1_DCODISBDAVOID": "0b0", + "CH1_DCOFLTDAC": "0b00", + "CH1_DCOFTNRG": "0b000", + "CH1_DCOIOSTUNE": "0b000", + "CH1_DCOITUNE": "0b00", + "CH1_DCOITUNE4LSB": "0b000", + "CH1_DCOIUPDNX2": "0b0", + "CH1_DCONUOFLSB": "0b000", + "CH1_DCOSCALEI": "0b00", + "CH1_DCOSTARTVAL": "0b000", + "CH1_DCOSTEP": "0b00", + "CH1_DEC_BYPASS": "0b0", + "CH1_ENABLE_CG_ALIGN": "0b0", + "CH1_ENC_BYPASS": "0b0", + "CH1_FF_RX_F_CLK_DIS": "0b0", + "CH1_FF_RX_H_CLK_EN": "0b0", + "CH1_FF_TX_F_CLK_DIS": "0b0", + "CH1_FF_TX_H_CLK_EN": "0b0", + "CH1_GE_AN_ENABLE": "0b0", + "CH1_INVERT_RX": "0b0", + "CH1_INVERT_TX": "0b0", + "CH1_LDR_CORE2TX_SEL": "0b0", + "CH1_LDR_RX2CORE_SEL": "0b0", + "CH1_LEQ_OFFSET_SEL": "0b0", + "CH1_LEQ_OFFSET_TRIM": "0b000", + "CH1_LSM_DISABLE": "0b0", + "CH1_MATCH_2_ENABLE": "0b0", + "CH1_MATCH_4_ENABLE": "0b0", + "CH1_MIN_IPG_CNT": "0b00", + "CH1_PCIE_EI_EN": "0b0", + "CH1_PCIE_MODE": "0b0", + "CH1_PCS_DET_TIME_SEL": "0b00", + "CH1_PDEN_SEL": "0b0", + "CH1_PRBS_ENABLE": "0b0", + "CH1_PRBS_LOCK": "0b0", + "CH1_PRBS_SELECTION": "0b0", + "CH1_PROTOCOL": "8B10B", + "CH1_RATE_MODE_RX": "0b0", + "CH1_RATE_MODE_TX": "0b0", + "CH1_RCV_DCC_EN": "0b0", + "CH1_REG_BAND_OFFSET": "0b0000", + "CH1_REG_BAND_SEL": "0b000000", + "CH1_REG_IDAC_EN": "0b0", + "CH1_REG_IDAC_SEL": "0b0000000000", + "CH1_REQ_EN": "0b0", + "CH1_REQ_LVL_SET": "0b00", + "CH1_RIO_MODE": "0b0", + "CH1_RLOS_SEL": "0b0", + "CH1_RPWDNB": "0b0", + "CH1_RTERM_RX": "0b00000", + "CH1_RTERM_TX": "0b00000", + "CH1_RXIN_CM": "0b00", + "CH1_RXTERM_CM": "0b00", + "CH1_RX_DCO_CK_DIV": "0b000", + "CH1_RX_DIV11_SEL": "0b0", + "CH1_RX_GEAR_BYPASS": "0b0", + "CH1_RX_GEAR_MODE": "0b0", + "CH1_RX_LOS_CEQ": "0b00", + "CH1_RX_LOS_EN": "0b0", + "CH1_RX_LOS_HYST_EN": "0b0", + "CH1_RX_LOS_LVL": "0b000", + "CH1_RX_RATE_SEL": "0b0000", + "CH1_RX_SB_BYPASS": "0b0", + "CH1_SB_BYPASS": "0b0", + "CH1_SEL_SD_RX_CLK": "0b0", + "CH1_TDRV_DAT_SEL": "0b00", + "CH1_TDRV_POST_EN": "0b0", + "CH1_TDRV_PRE_EN": "0b0", + "CH1_TDRV_SLICE0_CUR": "0b000", + "CH1_TDRV_SLICE0_SEL": "0b00", + "CH1_TDRV_SLICE1_CUR": "0b000", + "CH1_TDRV_SLICE1_SEL": "0b00", + "CH1_TDRV_SLICE2_CUR": "0b00", + "CH1_TDRV_SLICE2_SEL": "0b00", + "CH1_TDRV_SLICE3_CUR": "0b00", + "CH1_TDRV_SLICE3_SEL": "0b00", + "CH1_TDRV_SLICE4_CUR": "0b00", + "CH1_TDRV_SLICE4_SEL": "0b00", + "CH1_TDRV_SLICE5_CUR": "0b00", + "CH1_TDRV_SLICE5_SEL": "0b00", + "CH1_TPWDNB": "0b0", + "CH1_TXAMPLITUDE": "0d1300", + "CH1_TXDEPOST": "DISABLED", + "CH1_TXDEPRE": "DISABLED", + "CH1_TX_CM_SEL": "0b00", + "CH1_TX_DIV11_SEL": "0b0", + "CH1_TX_GEAR_BYPASS": "0b0", + "CH1_TX_GEAR_MODE": "0b0", + "CH1_TX_POST_SIGN": "0b0", + "CH1_TX_PRE_SIGN": "0b0", + "CH1_UC_MODE": "0b0", + "CH1_UDF_COMMA_A": "0b0000000000", + "CH1_UDF_COMMA_B": "0b0000000000", + "CH1_UDF_COMMA_MASK": "0b0000000000", + "CH1_WA_BYPASS": "0b0", + "CH1_WA_MODE": "0b0", + "D_BITCLK_FROM_ND_EN": "0b0", + "D_BITCLK_LOCAL_EN": "0b0", + "D_BITCLK_ND_EN": "0b0", + "D_BUS8BIT_SEL": "0b0", + "D_CDR_LOL_SET": "0b00", + "D_CMUSETBIASI": "0b00", + "D_CMUSETI4CPP": "0b0000", + "D_CMUSETI4CPZ": "0b0000", + "D_CMUSETI4VCO": "0b00", + "D_CMUSETICP4P": "0b00", + "D_CMUSETICP4Z": "0b000", + "D_CMUSETINITVCT": "0b00", + "D_CMUSETISCL4VCO": "0b000", + "D_CMUSETP1GM": "0b000", + "D_CMUSETP2AGM": "0b000", + "D_CMUSETZGM": "0b000", + "D_DCO_CALIB_TIME_SEL": "0b00", + "D_HIGH_MARK": "0b0000", + "D_IB_PWDNB": "0b0", + "D_ISETLOS": "0b00000000", + "D_LOW_MARK": "0b0000", + "D_MACROPDB": "0b0", + "D_PD_ISET": "0b00", + "D_PLL_LOL_SET": "0b00", + "D_REFCK_MODE": "0b000", + "D_REQ_ISET": "0b000", + "D_RG_EN": "0b0", + "D_RG_SET": "0b00", + "D_RX_MAX_RATE": "2.5", + "D_SETICONST_AUX": "0b00", + "D_SETICONST_CH": "0b00", + "D_SETIRPOLY_AUX": "0b00", + "D_SETIRPOLY_CH": "0b00", + "D_SETPLLRC": "0b000000", + "D_SYNC_LOCAL_EN": "0b0", + "D_SYNC_ND_EN": "0b0", + "D_TXPLL_PWDNB": "0b0", + "D_TX_MAX_RATE": "2.5", + "D_TX_VCO_CK_DIV": "0b000", + "D_XGE_MODE": "0b0" + }, + "ports": { + "CH0_HDINP": { + "direction": "input", + "bits": [ 2 ] + }, + "CH1_HDINP": { + "direction": "input", + "bits": [ 3 ] + }, + "CH0_HDINN": { + "direction": "input", + "bits": [ 4 ] + }, + "CH1_HDINN": { + "direction": "input", + "bits": [ 5 ] + }, + "D_TXBIT_CLKP_FROM_ND": { + "direction": "input", + "bits": [ 6 ] + }, + "D_TXBIT_CLKN_FROM_ND": { + "direction": "input", + "bits": [ 7 ] + }, + "D_SYNC_ND": { + "direction": "input", + "bits": [ 8 ] + }, + "D_TXPLL_LOL_FROM_ND": { + "direction": "input", + "bits": [ 9 ] + }, + "CH0_RX_REFCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "CH1_RX_REFCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "CH0_FF_RXI_CLK": { + "direction": "input", + "bits": [ 12 ] + }, + "CH1_FF_RXI_CLK": { + "direction": "input", + "bits": [ 13 ] + }, + "CH0_FF_TXI_CLK": { + "direction": "input", + "bits": [ 14 ] + }, + "CH1_FF_TXI_CLK": { + "direction": "input", + "bits": [ 15 ] + }, + "CH0_FF_EBRD_CLK": { + "direction": "input", + "bits": [ 16 ] + }, + "CH1_FF_EBRD_CLK": { + "direction": "input", + "bits": [ 17 ] + }, + "CH0_FF_TX_D_0": { + "direction": "input", + "bits": [ 18 ] + }, + "CH1_FF_TX_D_0": { + "direction": "input", + "bits": [ 19 ] + }, + "CH0_FF_TX_D_1": { + "direction": "input", + "bits": [ 20 ] + }, + "CH1_FF_TX_D_1": { + "direction": "input", + "bits": [ 21 ] + }, + "CH0_FF_TX_D_2": { + "direction": "input", + "bits": [ 22 ] + }, + "CH1_FF_TX_D_2": { + "direction": "input", + "bits": [ 23 ] + }, + "CH0_FF_TX_D_3": { + "direction": "input", + "bits": [ 24 ] + }, + "CH1_FF_TX_D_3": { + "direction": "input", + "bits": [ 25 ] + }, + "CH0_FF_TX_D_4": { + "direction": "input", + "bits": [ 26 ] + }, + "CH1_FF_TX_D_4": { + "direction": "input", + "bits": [ 27 ] + }, + "CH0_FF_TX_D_5": { + "direction": "input", + "bits": [ 28 ] + }, + "CH1_FF_TX_D_5": { + "direction": "input", + "bits": [ 29 ] + }, + "CH0_FF_TX_D_6": { + "direction": "input", + "bits": [ 30 ] + }, + "CH1_FF_TX_D_6": { + "direction": "input", + "bits": [ 31 ] + }, + "CH0_FF_TX_D_7": { + "direction": "input", + "bits": [ 32 ] + }, + "CH1_FF_TX_D_7": { + "direction": "input", + "bits": [ 33 ] + }, + "CH0_FF_TX_D_8": { + "direction": "input", + "bits": [ 34 ] + }, + "CH1_FF_TX_D_8": { + "direction": "input", + "bits": [ 35 ] + }, + "CH0_FF_TX_D_9": { + "direction": "input", + "bits": [ 36 ] + }, + "CH1_FF_TX_D_9": { + "direction": "input", + "bits": [ 37 ] + }, + "CH0_FF_TX_D_10": { + "direction": "input", + "bits": [ 38 ] + }, + "CH1_FF_TX_D_10": { + "direction": "input", + "bits": [ 39 ] + }, + "CH0_FF_TX_D_11": { + "direction": "input", + "bits": [ 40 ] + }, + "CH1_FF_TX_D_11": { + "direction": "input", + "bits": [ 41 ] + }, + "CH0_FF_TX_D_12": { + "direction": "input", + "bits": [ 42 ] + }, + "CH1_FF_TX_D_12": { + "direction": "input", + "bits": [ 43 ] + }, + "CH0_FF_TX_D_13": { + "direction": "input", + "bits": [ 44 ] + }, + "CH1_FF_TX_D_13": { + "direction": "input", + "bits": [ 45 ] + }, + "CH0_FF_TX_D_14": { + "direction": "input", + "bits": [ 46 ] + }, + "CH1_FF_TX_D_14": { + "direction": "input", + "bits": [ 47 ] + }, + "CH0_FF_TX_D_15": { + "direction": "input", + "bits": [ 48 ] + }, + "CH1_FF_TX_D_15": { + "direction": "input", + "bits": [ 49 ] + }, + "CH0_FF_TX_D_16": { + "direction": "input", + "bits": [ 50 ] + }, + "CH1_FF_TX_D_16": { + "direction": "input", + "bits": [ 51 ] + }, + "CH0_FF_TX_D_17": { + "direction": "input", + "bits": [ 52 ] + }, + "CH1_FF_TX_D_17": { + "direction": "input", + "bits": [ 53 ] + }, + "CH0_FF_TX_D_18": { + "direction": "input", + "bits": [ 54 ] + }, + "CH1_FF_TX_D_18": { + "direction": "input", + "bits": [ 55 ] + }, + "CH0_FF_TX_D_19": { + "direction": "input", + "bits": [ 56 ] + }, + "CH1_FF_TX_D_19": { + "direction": "input", + "bits": [ 57 ] + }, + "CH0_FF_TX_D_20": { + "direction": "input", + "bits": [ 58 ] + }, + "CH1_FF_TX_D_20": { + "direction": "input", + "bits": [ 59 ] + }, + "CH0_FF_TX_D_21": { + "direction": "input", + "bits": [ 60 ] + }, + "CH1_FF_TX_D_21": { + "direction": "input", + "bits": [ 61 ] + }, + "CH0_FF_TX_D_22": { + "direction": "input", + "bits": [ 62 ] + }, + "CH1_FF_TX_D_22": { + "direction": "input", + "bits": [ 63 ] + }, + "CH0_FF_TX_D_23": { + "direction": "input", + "bits": [ 64 ] + }, + "CH1_FF_TX_D_23": { + "direction": "input", + "bits": [ 65 ] + }, + "CH0_FFC_EI_EN": { + "direction": "input", + "bits": [ 66 ] + }, + "CH1_FFC_EI_EN": { + "direction": "input", + "bits": [ 67 ] + }, + "CH0_FFC_PCIE_DET_EN": { + "direction": "input", + "bits": [ 68 ] + }, + "CH1_FFC_PCIE_DET_EN": { + "direction": "input", + "bits": [ 69 ] + }, + "CH0_FFC_PCIE_CT": { + "direction": "input", + "bits": [ 70 ] + }, + "CH1_FFC_PCIE_CT": { + "direction": "input", + "bits": [ 71 ] + }, + "CH0_FFC_SB_INV_RX": { + "direction": "input", + "bits": [ 72 ] + }, + "CH1_FFC_SB_INV_RX": { + "direction": "input", + "bits": [ 73 ] + }, + "CH0_FFC_ENABLE_CGALIGN": { + "direction": "input", + "bits": [ 74 ] + }, + "CH1_FFC_ENABLE_CGALIGN": { + "direction": "input", + "bits": [ 75 ] + }, + "CH0_FFC_SIGNAL_DETECT": { + "direction": "input", + "bits": [ 76 ] + }, + "CH1_FFC_SIGNAL_DETECT": { + "direction": "input", + "bits": [ 77 ] + }, + "CH0_FFC_FB_LOOPBACK": { + "direction": "input", + "bits": [ 78 ] + }, + "CH1_FFC_FB_LOOPBACK": { + "direction": "input", + "bits": [ 79 ] + }, + "CH0_FFC_SB_PFIFO_LP": { + "direction": "input", + "bits": [ 80 ] + }, + "CH1_FFC_SB_PFIFO_LP": { + "direction": "input", + "bits": [ 81 ] + }, + "CH0_FFC_PFIFO_CLR": { + "direction": "input", + "bits": [ 82 ] + }, + "CH1_FFC_PFIFO_CLR": { + "direction": "input", + "bits": [ 83 ] + }, + "CH0_FFC_RATE_MODE_RX": { + "direction": "input", + "bits": [ 84 ] + }, + "CH1_FFC_RATE_MODE_RX": { + "direction": "input", + "bits": [ 85 ] + }, + "CH0_FFC_RATE_MODE_TX": { + "direction": "input", + "bits": [ 86 ] + }, + "CH1_FFC_RATE_MODE_TX": { + "direction": "input", + "bits": [ 87 ] + }, + "CH0_FFC_DIV11_MODE_RX": { + "direction": "input", + "bits": [ 88 ] + }, + "CH1_FFC_DIV11_MODE_RX": { + "direction": "input", + "bits": [ 89 ] + }, + "CH0_FFC_RX_GEAR_MODE": { + "direction": "input", + "bits": [ 90 ] + }, + "CH1_FFC_RX_GEAR_MODE": { + "direction": "input", + "bits": [ 91 ] + }, + "CH0_FFC_TX_GEAR_MODE": { + "direction": "input", + "bits": [ 92 ] + }, + "CH1_FFC_TX_GEAR_MODE": { + "direction": "input", + "bits": [ 93 ] + }, + "CH0_FFC_DIV11_MODE_TX": { + "direction": "input", + "bits": [ 94 ] + }, + "CH1_FFC_DIV11_MODE_TX": { + "direction": "input", + "bits": [ 95 ] + }, + "CH0_FFC_LDR_CORE2TX_EN": { + "direction": "input", + "bits": [ 96 ] + }, + "CH1_FFC_LDR_CORE2TX_EN": { + "direction": "input", + "bits": [ 97 ] + }, + "CH0_FFC_LANE_TX_RST": { + "direction": "input", + "bits": [ 98 ] + }, + "CH1_FFC_LANE_TX_RST": { + "direction": "input", + "bits": [ 99 ] + }, + "CH0_FFC_LANE_RX_RST": { + "direction": "input", + "bits": [ 100 ] + }, + "CH1_FFC_LANE_RX_RST": { + "direction": "input", + "bits": [ 101 ] + }, + "CH0_FFC_RRST": { + "direction": "input", + "bits": [ 102 ] + }, + "CH1_FFC_RRST": { + "direction": "input", + "bits": [ 103 ] + }, + "CH0_FFC_TXPWDNB": { + "direction": "input", + "bits": [ 104 ] + }, + "CH1_FFC_TXPWDNB": { + "direction": "input", + "bits": [ 105 ] + }, + "CH0_FFC_RXPWDNB": { + "direction": "input", + "bits": [ 106 ] + }, + "CH1_FFC_RXPWDNB": { + "direction": "input", + "bits": [ 107 ] + }, + "CH0_LDR_CORE2TX": { + "direction": "input", + "bits": [ 108 ] + }, + "CH1_LDR_CORE2TX": { + "direction": "input", + "bits": [ 109 ] + }, + "D_SCIWDATA0": { + "direction": "input", + "bits": [ 110 ] + }, + "D_SCIWDATA1": { + "direction": "input", + "bits": [ 111 ] + }, + "D_SCIWDATA2": { + "direction": "input", + "bits": [ 112 ] + }, + "D_SCIWDATA3": { + "direction": "input", + "bits": [ 113 ] + }, + "D_SCIWDATA4": { + "direction": "input", + "bits": [ 114 ] + }, + "D_SCIWDATA5": { + "direction": "input", + "bits": [ 115 ] + }, + "D_SCIWDATA6": { + "direction": "input", + "bits": [ 116 ] + }, + "D_SCIWDATA7": { + "direction": "input", + "bits": [ 117 ] + }, + "D_SCIADDR0": { + "direction": "input", + "bits": [ 118 ] + }, + "D_SCIADDR1": { + "direction": "input", + "bits": [ 119 ] + }, + "D_SCIADDR2": { + "direction": "input", + "bits": [ 120 ] + }, + "D_SCIADDR3": { + "direction": "input", + "bits": [ 121 ] + }, + "D_SCIADDR4": { + "direction": "input", + "bits": [ 122 ] + }, + "D_SCIADDR5": { + "direction": "input", + "bits": [ 123 ] + }, + "D_SCIENAUX": { + "direction": "input", + "bits": [ 124 ] + }, + "D_SCISELAUX": { + "direction": "input", + "bits": [ 125 ] + }, + "CH0_SCIEN": { + "direction": "input", + "bits": [ 126 ] + }, + "CH1_SCIEN": { + "direction": "input", + "bits": [ 127 ] + }, + "CH0_SCISEL": { + "direction": "input", + "bits": [ 128 ] + }, + "CH1_SCISEL": { + "direction": "input", + "bits": [ 129 ] + }, + "D_SCIRD": { + "direction": "input", + "bits": [ 130 ] + }, + "D_SCIWSTN": { + "direction": "input", + "bits": [ 131 ] + }, + "D_CYAWSTN": { + "direction": "input", + "bits": [ 132 ] + }, + "D_FFC_SYNC_TOGGLE": { + "direction": "input", + "bits": [ 133 ] + }, + "D_FFC_DUAL_RST": { + "direction": "input", + "bits": [ 134 ] + }, + "D_FFC_MACRO_RST": { + "direction": "input", + "bits": [ 135 ] + }, + "D_FFC_MACROPDB": { + "direction": "input", + "bits": [ 136 ] + }, + "D_FFC_TRST": { + "direction": "input", + "bits": [ 137 ] + }, + "CH0_FFC_CDR_EN_BITSLIP": { + "direction": "input", + "bits": [ 138 ] + }, + "CH1_FFC_CDR_EN_BITSLIP": { + "direction": "input", + "bits": [ 139 ] + }, + "D_SCAN_ENABLE": { + "direction": "input", + "bits": [ 140 ] + }, + "D_SCAN_IN_0": { + "direction": "input", + "bits": [ 141 ] + }, + "D_SCAN_IN_1": { + "direction": "input", + "bits": [ 142 ] + }, + "D_SCAN_IN_2": { + "direction": "input", + "bits": [ 143 ] + }, + "D_SCAN_IN_3": { + "direction": "input", + "bits": [ 144 ] + }, + "D_SCAN_IN_4": { + "direction": "input", + "bits": [ 145 ] + }, + "D_SCAN_IN_5": { + "direction": "input", + "bits": [ 146 ] + }, + "D_SCAN_IN_6": { + "direction": "input", + "bits": [ 147 ] + }, + "D_SCAN_IN_7": { + "direction": "input", + "bits": [ 148 ] + }, + "D_SCAN_MODE": { + "direction": "input", + "bits": [ 149 ] + }, + "D_SCAN_RESET": { + "direction": "input", + "bits": [ 150 ] + }, + "D_CIN0": { + "direction": "input", + "bits": [ 151 ] + }, + "D_CIN1": { + "direction": "input", + "bits": [ 152 ] + }, + "D_CIN2": { + "direction": "input", + "bits": [ 153 ] + }, + "D_CIN3": { + "direction": "input", + "bits": [ 154 ] + }, + "D_CIN4": { + "direction": "input", + "bits": [ 155 ] + }, + "D_CIN5": { + "direction": "input", + "bits": [ 156 ] + }, + "D_CIN6": { + "direction": "input", + "bits": [ 157 ] + }, + "D_CIN7": { + "direction": "input", + "bits": [ 158 ] + }, + "D_CIN8": { + "direction": "input", + "bits": [ 159 ] + }, + "D_CIN9": { + "direction": "input", + "bits": [ 160 ] + }, + "D_CIN10": { + "direction": "input", + "bits": [ 161 ] + }, + "D_CIN11": { + "direction": "input", + "bits": [ 162 ] + }, + "CH0_HDOUTP": { + "direction": "output", + "bits": [ 163 ] + }, + "CH1_HDOUTP": { + "direction": "output", + "bits": [ 164 ] + }, + "CH0_HDOUTN": { + "direction": "output", + "bits": [ 165 ] + }, + "CH1_HDOUTN": { + "direction": "output", + "bits": [ 166 ] + }, + "D_TXBIT_CLKP_TO_ND": { + "direction": "output", + "bits": [ 167 ] + }, + "D_TXBIT_CLKN_TO_ND": { + "direction": "output", + "bits": [ 168 ] + }, + "D_SYNC_PULSE2ND": { + "direction": "output", + "bits": [ 169 ] + }, + "D_TXPLL_LOL_TO_ND": { + "direction": "output", + "bits": [ 170 ] + }, + "CH0_FF_RX_F_CLK": { + "direction": "output", + "bits": [ 171 ] + }, + "CH1_FF_RX_F_CLK": { + "direction": "output", + "bits": [ 172 ] + }, + "CH0_FF_RX_H_CLK": { + "direction": "output", + "bits": [ 173 ] + }, + "CH1_FF_RX_H_CLK": { + "direction": "output", + "bits": [ 174 ] + }, + "CH0_FF_TX_F_CLK": { + "direction": "output", + "bits": [ 175 ] + }, + "CH1_FF_TX_F_CLK": { + "direction": "output", + "bits": [ 176 ] + }, + "CH0_FF_TX_H_CLK": { + "direction": "output", + "bits": [ 177 ] + }, + "CH1_FF_TX_H_CLK": { + "direction": "output", + "bits": [ 178 ] + }, + "CH0_FF_RX_PCLK": { + "direction": "output", + "bits": [ 179 ] + }, + "CH1_FF_RX_PCLK": { + "direction": "output", + "bits": [ 180 ] + }, + "CH0_FF_TX_PCLK": { + "direction": "output", + "bits": [ 181 ] + }, + "CH1_FF_TX_PCLK": { + "direction": "output", + "bits": [ 182 ] + }, + "CH0_FF_RX_D_0": { + "direction": "output", + "bits": [ 183 ] + }, + "CH1_FF_RX_D_0": { + "direction": "output", + "bits": [ 184 ] + }, + "CH0_FF_RX_D_1": { + "direction": "output", + "bits": [ 185 ] + }, + "CH1_FF_RX_D_1": { + "direction": "output", + "bits": [ 186 ] + }, + "CH0_FF_RX_D_2": { + "direction": "output", + "bits": [ 187 ] + }, + "CH1_FF_RX_D_2": { + "direction": "output", + "bits": [ 188 ] + }, + "CH0_FF_RX_D_3": { + "direction": "output", + "bits": [ 189 ] + }, + "CH1_FF_RX_D_3": { + "direction": "output", + "bits": [ 190 ] + }, + "CH0_FF_RX_D_4": { + "direction": "output", + "bits": [ 191 ] + }, + "CH1_FF_RX_D_4": { + "direction": "output", + "bits": [ 192 ] + }, + "CH0_FF_RX_D_5": { + "direction": "output", + "bits": [ 193 ] + }, + "CH1_FF_RX_D_5": { + "direction": "output", + "bits": [ 194 ] + }, + "CH0_FF_RX_D_6": { + "direction": "output", + "bits": [ 195 ] + }, + "CH1_FF_RX_D_6": { + "direction": "output", + "bits": [ 196 ] + }, + "CH0_FF_RX_D_7": { + "direction": "output", + "bits": [ 197 ] + }, + "CH1_FF_RX_D_7": { + "direction": "output", + "bits": [ 198 ] + }, + "CH0_FF_RX_D_8": { + "direction": "output", + "bits": [ 199 ] + }, + "CH1_FF_RX_D_8": { + "direction": "output", + "bits": [ 200 ] + }, + "CH0_FF_RX_D_9": { + "direction": "output", + "bits": [ 201 ] + }, + "CH1_FF_RX_D_9": { + "direction": "output", + "bits": [ 202 ] + }, + "CH0_FF_RX_D_10": { + "direction": "output", + "bits": [ 203 ] + }, + "CH1_FF_RX_D_10": { + "direction": "output", + "bits": [ 204 ] + }, + "CH0_FF_RX_D_11": { + "direction": "output", + "bits": [ 205 ] + }, + "CH1_FF_RX_D_11": { + "direction": "output", + "bits": [ 206 ] + }, + "CH0_FF_RX_D_12": { + "direction": "output", + "bits": [ 207 ] + }, + "CH1_FF_RX_D_12": { + "direction": "output", + "bits": [ 208 ] + }, + "CH0_FF_RX_D_13": { + "direction": "output", + "bits": [ 209 ] + }, + "CH1_FF_RX_D_13": { + "direction": "output", + "bits": [ 210 ] + }, + "CH0_FF_RX_D_14": { + "direction": "output", + "bits": [ 211 ] + }, + "CH1_FF_RX_D_14": { + "direction": "output", + "bits": [ 212 ] + }, + "CH0_FF_RX_D_15": { + "direction": "output", + "bits": [ 213 ] + }, + "CH1_FF_RX_D_15": { + "direction": "output", + "bits": [ 214 ] + }, + "CH0_FF_RX_D_16": { + "direction": "output", + "bits": [ 215 ] + }, + "CH1_FF_RX_D_16": { + "direction": "output", + "bits": [ 216 ] + }, + "CH0_FF_RX_D_17": { + "direction": "output", + "bits": [ 217 ] + }, + "CH1_FF_RX_D_17": { + "direction": "output", + "bits": [ 218 ] + }, + "CH0_FF_RX_D_18": { + "direction": "output", + "bits": [ 219 ] + }, + "CH1_FF_RX_D_18": { + "direction": "output", + "bits": [ 220 ] + }, + "CH0_FF_RX_D_19": { + "direction": "output", + "bits": [ 221 ] + }, + "CH1_FF_RX_D_19": { + "direction": "output", + "bits": [ 222 ] + }, + "CH0_FF_RX_D_20": { + "direction": "output", + "bits": [ 223 ] + }, + "CH1_FF_RX_D_20": { + "direction": "output", + "bits": [ 224 ] + }, + "CH0_FF_RX_D_21": { + "direction": "output", + "bits": [ 225 ] + }, + "CH1_FF_RX_D_21": { + "direction": "output", + "bits": [ 226 ] + }, + "CH0_FF_RX_D_22": { + "direction": "output", + "bits": [ 227 ] + }, + "CH1_FF_RX_D_22": { + "direction": "output", + "bits": [ 228 ] + }, + "CH0_FF_RX_D_23": { + "direction": "output", + "bits": [ 229 ] + }, + "CH1_FF_RX_D_23": { + "direction": "output", + "bits": [ 230 ] + }, + "CH0_FFS_PCIE_DONE": { + "direction": "output", + "bits": [ 231 ] + }, + "CH1_FFS_PCIE_DONE": { + "direction": "output", + "bits": [ 232 ] + }, + "CH0_FFS_PCIE_CON": { + "direction": "output", + "bits": [ 233 ] + }, + "CH1_FFS_PCIE_CON": { + "direction": "output", + "bits": [ 234 ] + }, + "CH0_FFS_RLOS": { + "direction": "output", + "bits": [ 235 ] + }, + "CH1_FFS_RLOS": { + "direction": "output", + "bits": [ 236 ] + }, + "CH0_FFS_LS_SYNC_STATUS": { + "direction": "output", + "bits": [ 237 ] + }, + "CH1_FFS_LS_SYNC_STATUS": { + "direction": "output", + "bits": [ 238 ] + }, + "CH0_FFS_CC_UNDERRUN": { + "direction": "output", + "bits": [ 239 ] + }, + "CH1_FFS_CC_UNDERRUN": { + "direction": "output", + "bits": [ 240 ] + }, + "CH0_FFS_CC_OVERRUN": { + "direction": "output", + "bits": [ 241 ] + }, + "CH1_FFS_CC_OVERRUN": { + "direction": "output", + "bits": [ 242 ] + }, + "CH0_FFS_RXFBFIFO_ERROR": { + "direction": "output", + "bits": [ 243 ] + }, + "CH1_FFS_RXFBFIFO_ERROR": { + "direction": "output", + "bits": [ 244 ] + }, + "CH0_FFS_TXFBFIFO_ERROR": { + "direction": "output", + "bits": [ 245 ] + }, + "CH1_FFS_TXFBFIFO_ERROR": { + "direction": "output", + "bits": [ 246 ] + }, + "CH0_FFS_RLOL": { + "direction": "output", + "bits": [ 247 ] + }, + "CH1_FFS_RLOL": { + "direction": "output", + "bits": [ 248 ] + }, + "CH0_FFS_SKP_ADDED": { + "direction": "output", + "bits": [ 249 ] + }, + "CH1_FFS_SKP_ADDED": { + "direction": "output", + "bits": [ 250 ] + }, + "CH0_FFS_SKP_DELETED": { + "direction": "output", + "bits": [ 251 ] + }, + "CH1_FFS_SKP_DELETED": { + "direction": "output", + "bits": [ 252 ] + }, + "CH0_LDR_RX2CORE": { + "direction": "output", + "bits": [ 253 ] + }, + "CH1_LDR_RX2CORE": { + "direction": "output", + "bits": [ 254 ] + }, + "D_SCIRDATA0": { + "direction": "output", + "bits": [ 255 ] + }, + "D_SCIRDATA1": { + "direction": "output", + "bits": [ 256 ] + }, + "D_SCIRDATA2": { + "direction": "output", + "bits": [ 257 ] + }, + "D_SCIRDATA3": { + "direction": "output", + "bits": [ 258 ] + }, + "D_SCIRDATA4": { + "direction": "output", + "bits": [ 259 ] + }, + "D_SCIRDATA5": { + "direction": "output", + "bits": [ 260 ] + }, + "D_SCIRDATA6": { + "direction": "output", + "bits": [ 261 ] + }, + "D_SCIRDATA7": { + "direction": "output", + "bits": [ 262 ] + }, + "D_SCIINT": { + "direction": "output", + "bits": [ 263 ] + }, + "D_SCAN_OUT_0": { + "direction": "output", + "bits": [ 264 ] + }, + "D_SCAN_OUT_1": { + "direction": "output", + "bits": [ 265 ] + }, + "D_SCAN_OUT_2": { + "direction": "output", + "bits": [ 266 ] + }, + "D_SCAN_OUT_3": { + "direction": "output", + "bits": [ 267 ] + }, + "D_SCAN_OUT_4": { + "direction": "output", + "bits": [ 268 ] + }, + "D_SCAN_OUT_5": { + "direction": "output", + "bits": [ 269 ] + }, + "D_SCAN_OUT_6": { + "direction": "output", + "bits": [ 270 ] + }, + "D_SCAN_OUT_7": { + "direction": "output", + "bits": [ 271 ] + }, + "D_COUT0": { + "direction": "output", + "bits": [ 272 ] + }, + "D_COUT1": { + "direction": "output", + "bits": [ 273 ] + }, + "D_COUT2": { + "direction": "output", + "bits": [ 274 ] + }, + "D_COUT3": { + "direction": "output", + "bits": [ 275 ] + }, + "D_COUT4": { + "direction": "output", + "bits": [ 276 ] + }, + "D_COUT5": { + "direction": "output", + "bits": [ 277 ] + }, + "D_COUT6": { + "direction": "output", + "bits": [ 278 ] + }, + "D_COUT7": { + "direction": "output", + "bits": [ 279 ] + }, + "D_COUT8": { + "direction": "output", + "bits": [ 280 ] + }, + "D_COUT9": { + "direction": "output", + "bits": [ 281 ] + }, + "D_COUT10": { + "direction": "output", + "bits": [ 282 ] + }, + "D_COUT11": { + "direction": "output", + "bits": [ 283 ] + }, + "D_COUT12": { + "direction": "output", + "bits": [ 284 ] + }, + "D_COUT13": { + "direction": "output", + "bits": [ 285 ] + }, + "D_COUT14": { + "direction": "output", + "bits": [ 286 ] + }, + "D_COUT15": { + "direction": "output", + "bits": [ 287 ] + }, + "D_COUT16": { + "direction": "output", + "bits": [ 288 ] + }, + "D_COUT17": { + "direction": "output", + "bits": [ 289 ] + }, + "D_COUT18": { + "direction": "output", + "bits": [ 290 ] + }, + "D_COUT19": { + "direction": "output", + "bits": [ 291 ] + }, + "D_REFCLKI": { + "direction": "input", + "bits": [ 292 ] + }, + "D_FFS_PLOL": { + "direction": "output", + "bits": [ 293 ] + } + }, + "cells": { + }, + "netnames": { + "CH0_FFC_CDR_EN_BITSLIP": { + "hide_name": 0, + "bits": [ 138 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369.69-369.91" + } + }, + "CH0_FFC_DIV11_MODE_RX": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363.134-363.155" + } + }, + "CH0_FFC_DIV11_MODE_TX": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364.8-364.29" + } + }, + "CH0_FFC_EI_EN": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361.8-361.21" + } + }, + "CH0_FFC_ENABLE_CGALIGN": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362.8-362.30" + } + }, + "CH0_FFC_FB_LOOPBACK": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362.102-362.121" + } + }, + "CH0_FFC_LANE_RX_RST": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364.144-364.163" + } + }, + "CH0_FFC_LANE_TX_RST": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364.102-364.121" + } + }, + "CH0_FFC_LDR_CORE2TX_EN": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364.54-364.76" + } + }, + "CH0_FFC_PCIE_CT": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361.80-361.95" + } + }, + "CH0_FFC_PCIE_DET_EN": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361.38-361.57" + } + }, + "CH0_FFC_PFIFO_CLR": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363.8-363.25" + } + }, + "CH0_FFC_RATE_MODE_RX": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363.46-363.66" + } + }, + "CH0_FFC_RATE_MODE_TX": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363.90-363.110" + } + }, + "CH0_FFC_RRST": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365.8-365.20" + } + }, + "CH0_FFC_RXPWDNB": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365.70-365.85" + } + }, + "CH0_FFC_RX_GEAR_MODE": { + "hide_name": 0, + "bits": [ 90 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363.180-363.200" + } + }, + "CH0_FFC_SB_INV_RX": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361.114-361.131" + } + }, + "CH0_FFC_SB_PFIFO_LP": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362.144-362.163" + } + }, + "CH0_FFC_SIGNAL_DETECT": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362.56-362.77" + } + }, + "CH0_FFC_TXPWDNB": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365.36-365.51" + } + }, + "CH0_FFC_TX_GEAR_MODE": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363.224-363.244" + } + }, + "CH0_FFS_CC_OVERRUN": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:381.127-381.145" + } + }, + "CH0_FFS_CC_UNDERRUN": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:381.85-381.104" + } + }, + "CH0_FFS_LS_SYNC_STATUS": { + "hide_name": 0, + "bits": [ 237 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:381.37-381.59" + } + }, + "CH0_FFS_PCIE_CON": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:380.111-380.127" + } + }, + "CH0_FFS_PCIE_DONE": { + "hide_name": 0, + "bits": [ 231 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:380.73-380.90" + } + }, + "CH0_FFS_RLOL": { + "hide_name": 0, + "bits": [ 247 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:382.105-382.117" + } + }, + "CH0_FFS_RLOS": { + "hide_name": 0, + "bits": [ 235 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:381.9-381.21" + } + }, + "CH0_FFS_RXFBFIFO_ERROR": { + "hide_name": 0, + "bits": [ 243 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:382.9-382.31" + } + }, + "CH0_FFS_SKP_ADDED": { + "hide_name": 0, + "bits": [ 249 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:382.133-382.150" + } + }, + "CH0_FFS_SKP_DELETED": { + "hide_name": 0, + "bits": [ 251 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:383.9-383.28" + } + }, + "CH0_FFS_TXFBFIFO_ERROR": { + "hide_name": 0, + "bits": [ 245 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:382.57-382.79" + } + }, + "CH0_FF_EBRD_CLK": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354.102-354.117" + } + }, + "CH0_FF_RXI_CLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354.38-354.52" + } + }, + "CH0_FF_RX_D_0": { + "hide_name": 0, + "bits": [ 183 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374.73-374.86" + } + }, + "CH0_FF_RX_D_1": { + "hide_name": 0, + "bits": [ 185 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374.103-374.116" + } + }, + "CH0_FF_RX_D_10": { + "hide_name": 0, + "bits": [ 203 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377.9-377.23" + } + }, + "CH0_FF_RX_D_11": { + "hide_name": 0, + "bits": [ 205 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377.41-377.55" + } + }, + "CH0_FF_RX_D_12": { + "hide_name": 0, + "bits": [ 207 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377.73-377.87" + } + }, + "CH0_FF_RX_D_13": { + "hide_name": 0, + "bits": [ 209 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377.105-377.119" + } + }, + "CH0_FF_RX_D_14": { + "hide_name": 0, + "bits": [ 211 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378.9-378.23" + } + }, + "CH0_FF_RX_D_15": { + "hide_name": 0, + "bits": [ 213 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378.41-378.55" + } + }, + "CH0_FF_RX_D_16": { + "hide_name": 0, + "bits": [ 215 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378.73-378.87" + } + }, + "CH0_FF_RX_D_17": { + "hide_name": 0, + "bits": [ 217 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378.105-378.119" + } + }, + "CH0_FF_RX_D_18": { + "hide_name": 0, + "bits": [ 219 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:379.9-379.23" + } + }, + "CH0_FF_RX_D_19": { + "hide_name": 0, + "bits": [ 221 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:379.41-379.55" + } + }, + "CH0_FF_RX_D_2": { + "hide_name": 0, + "bits": [ 187 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375.9-375.22" + } + }, + "CH0_FF_RX_D_20": { + "hide_name": 0, + "bits": [ 223 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:379.73-379.87" + } + }, + "CH0_FF_RX_D_21": { + "hide_name": 0, + "bits": [ 225 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:379.105-379.119" + } + }, + "CH0_FF_RX_D_22": { + "hide_name": 0, + "bits": [ 227 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:380.9-380.23" + } + }, + "CH0_FF_RX_D_23": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:380.41-380.55" + } + }, + "CH0_FF_RX_D_3": { + "hide_name": 0, + "bits": [ 189 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375.39-375.52" + } + }, + "CH0_FF_RX_D_4": { + "hide_name": 0, + "bits": [ 191 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375.69-375.82" + } + }, + "CH0_FF_RX_D_5": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375.99-375.112" + } + }, + "CH0_FF_RX_D_6": { + "hide_name": 0, + "bits": [ 195 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376.9-376.22" + } + }, + "CH0_FF_RX_D_7": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376.39-376.52" + } + }, + "CH0_FF_RX_D_8": { + "hide_name": 0, + "bits": [ 199 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376.69-376.82" + } + }, + "CH0_FF_RX_D_9": { + "hide_name": 0, + "bits": [ 201 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376.99-376.112" + } + }, + "CH0_FF_RX_F_CLK": { + "hide_name": 0, + "bits": [ 171 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373.9-373.24" + } + }, + "CH0_FF_RX_H_CLK": { + "hide_name": 0, + "bits": [ 173 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373.43-373.58" + } + }, + "CH0_FF_RX_PCLK": { + "hide_name": 0, + "bits": [ 179 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374.9-374.23" + } + }, + "CH0_FF_TXI_CLK": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354.70-354.84" + } + }, + "CH0_FF_TX_D_0": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355.8-355.21" + } + }, + "CH0_FF_TX_D_1": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355.38-355.51" + } + }, + "CH0_FF_TX_D_10": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357.68-357.82" + } + }, + "CH0_FF_TX_D_11": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357.100-357.114" + } + }, + "CH0_FF_TX_D_12": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358.8-358.22" + } + }, + "CH0_FF_TX_D_13": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358.40-358.54" + } + }, + "CH0_FF_TX_D_14": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358.72-358.86" + } + }, + "CH0_FF_TX_D_15": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358.104-358.118" + } + }, + "CH0_FF_TX_D_16": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359.8-359.22" + } + }, + "CH0_FF_TX_D_17": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359.40-359.54" + } + }, + "CH0_FF_TX_D_18": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359.72-359.86" + } + }, + "CH0_FF_TX_D_19": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359.104-359.118" + } + }, + "CH0_FF_TX_D_2": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355.68-355.81" + } + }, + "CH0_FF_TX_D_20": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360.8-360.22" + } + }, + "CH0_FF_TX_D_21": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360.40-360.54" + } + }, + "CH0_FF_TX_D_22": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360.72-360.86" + } + }, + "CH0_FF_TX_D_23": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360.104-360.118" + } + }, + "CH0_FF_TX_D_3": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355.98-355.111" + } + }, + "CH0_FF_TX_D_4": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356.8-356.21" + } + }, + "CH0_FF_TX_D_5": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356.38-356.51" + } + }, + "CH0_FF_TX_D_6": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356.68-356.81" + } + }, + "CH0_FF_TX_D_7": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356.98-356.111" + } + }, + "CH0_FF_TX_D_8": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357.8-357.21" + } + }, + "CH0_FF_TX_D_9": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357.38-357.51" + } + }, + "CH0_FF_TX_F_CLK": { + "hide_name": 0, + "bits": [ 175 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373.77-373.92" + } + }, + "CH0_FF_TX_H_CLK": { + "hide_name": 0, + "bits": [ 177 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373.111-373.126" + } + }, + "CH0_FF_TX_PCLK": { + "hide_name": 0, + "bits": [ 181 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374.41-374.55" + } + }, + "CH0_HDINN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:352.30-352.39" + } + }, + "CH0_HDINP": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:352.8-352.17" + } + }, + "CH0_HDOUTN": { + "hide_name": 0, + "bits": [ 165 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372.33-372.43" + } + }, + "CH0_HDOUTP": { + "hide_name": 0, + "bits": [ 163 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372.9-372.19" + } + }, + "CH0_LDR_CORE2TX": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365.104-365.119" + } + }, + "CH0_LDR_RX2CORE": { + "hide_name": 0, + "bits": [ 253 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:383.51-383.66" + } + }, + "CH0_RX_REFCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354.8-354.21" + } + }, + "CH0_SCIEN": { + "hide_name": 0, + "bits": [ 126 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368.8-368.17" + } + }, + "CH0_SCISEL": { + "hide_name": 0, + "bits": [ 128 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368.30-368.40" + } + }, + "CH1_FFC_CDR_EN_BITSLIP": { + "hide_name": 0, + "bits": [ 139 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369.93-369.115" + } + }, + "CH1_FFC_DIV11_MODE_RX": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363.157-363.178" + } + }, + "CH1_FFC_DIV11_MODE_TX": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364.31-364.52" + } + }, + "CH1_FFC_EI_EN": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361.23-361.36" + } + }, + "CH1_FFC_ENABLE_CGALIGN": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362.32-362.54" + } + }, + "CH1_FFC_FB_LOOPBACK": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362.123-362.142" + } + }, + "CH1_FFC_LANE_RX_RST": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364.165-364.184" + } + }, + "CH1_FFC_LANE_TX_RST": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364.123-364.142" + } + }, + "CH1_FFC_LDR_CORE2TX_EN": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364.78-364.100" + } + }, + "CH1_FFC_PCIE_CT": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361.97-361.112" + } + }, + "CH1_FFC_PCIE_DET_EN": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361.59-361.78" + } + }, + "CH1_FFC_PFIFO_CLR": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363.27-363.44" + } + }, + "CH1_FFC_RATE_MODE_RX": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363.68-363.88" + } + }, + "CH1_FFC_RATE_MODE_TX": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363.112-363.132" + } + }, + "CH1_FFC_RRST": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365.22-365.34" + } + }, + "CH1_FFC_RXPWDNB": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365.87-365.102" + } + }, + "CH1_FFC_RX_GEAR_MODE": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363.202-363.222" + } + }, + "CH1_FFC_SB_INV_RX": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361.133-361.150" + } + }, + "CH1_FFC_SB_PFIFO_LP": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362.165-362.184" + } + }, + "CH1_FFC_SIGNAL_DETECT": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362.79-362.100" + } + }, + "CH1_FFC_TXPWDNB": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365.53-365.68" + } + }, + "CH1_FFC_TX_GEAR_MODE": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363.246-363.266" + } + }, + "CH1_FFS_CC_OVERRUN": { + "hide_name": 0, + "bits": [ 242 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:381.147-381.165" + } + }, + "CH1_FFS_CC_UNDERRUN": { + "hide_name": 0, + "bits": [ 240 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:381.106-381.125" + } + }, + "CH1_FFS_LS_SYNC_STATUS": { + "hide_name": 0, + "bits": [ 238 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:381.61-381.83" + } + }, + "CH1_FFS_PCIE_CON": { + "hide_name": 0, + "bits": [ 234 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:380.129-380.145" + } + }, + "CH1_FFS_PCIE_DONE": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:380.92-380.109" + } + }, + "CH1_FFS_RLOL": { + "hide_name": 0, + "bits": [ 248 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:382.119-382.131" + } + }, + "CH1_FFS_RLOS": { + "hide_name": 0, + "bits": [ 236 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:381.23-381.35" + } + }, + "CH1_FFS_RXFBFIFO_ERROR": { + "hide_name": 0, + "bits": [ 244 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:382.33-382.55" + } + }, + "CH1_FFS_SKP_ADDED": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:382.152-382.169" + } + }, + "CH1_FFS_SKP_DELETED": { + "hide_name": 0, + "bits": [ 252 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:383.30-383.49" + } + }, + "CH1_FFS_TXFBFIFO_ERROR": { + "hide_name": 0, + "bits": [ 246 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:382.81-382.103" + } + }, + "CH1_FF_EBRD_CLK": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354.119-354.134" + } + }, + "CH1_FF_RXI_CLK": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354.54-354.68" + } + }, + "CH1_FF_RX_D_0": { + "hide_name": 0, + "bits": [ 184 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374.88-374.101" + } + }, + "CH1_FF_RX_D_1": { + "hide_name": 0, + "bits": [ 186 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374.118-374.131" + } + }, + "CH1_FF_RX_D_10": { + "hide_name": 0, + "bits": [ 204 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377.25-377.39" + } + }, + "CH1_FF_RX_D_11": { + "hide_name": 0, + "bits": [ 206 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377.57-377.71" + } + }, + "CH1_FF_RX_D_12": { + "hide_name": 0, + "bits": [ 208 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377.89-377.103" + } + }, + "CH1_FF_RX_D_13": { + "hide_name": 0, + "bits": [ 210 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377.121-377.135" + } + }, + "CH1_FF_RX_D_14": { + "hide_name": 0, + "bits": [ 212 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378.25-378.39" + } + }, + "CH1_FF_RX_D_15": { + "hide_name": 0, + "bits": [ 214 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378.57-378.71" + } + }, + "CH1_FF_RX_D_16": { + "hide_name": 0, + "bits": [ 216 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378.89-378.103" + } + }, + "CH1_FF_RX_D_17": { + "hide_name": 0, + "bits": [ 218 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378.121-378.135" + } + }, + "CH1_FF_RX_D_18": { + "hide_name": 0, + "bits": [ 220 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:379.25-379.39" + } + }, + "CH1_FF_RX_D_19": { + "hide_name": 0, + "bits": [ 222 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:379.57-379.71" + } + }, + "CH1_FF_RX_D_2": { + "hide_name": 0, + "bits": [ 188 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375.24-375.37" + } + }, + "CH1_FF_RX_D_20": { + "hide_name": 0, + "bits": [ 224 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:379.89-379.103" + } + }, + "CH1_FF_RX_D_21": { + "hide_name": 0, + "bits": [ 226 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:379.121-379.135" + } + }, + "CH1_FF_RX_D_22": { + "hide_name": 0, + "bits": [ 228 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:380.25-380.39" + } + }, + "CH1_FF_RX_D_23": { + "hide_name": 0, + "bits": [ 230 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:380.57-380.71" + } + }, + "CH1_FF_RX_D_3": { + "hide_name": 0, + "bits": [ 190 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375.54-375.67" + } + }, + "CH1_FF_RX_D_4": { + "hide_name": 0, + "bits": [ 192 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375.84-375.97" + } + }, + "CH1_FF_RX_D_5": { + "hide_name": 0, + "bits": [ 194 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375.114-375.127" + } + }, + "CH1_FF_RX_D_6": { + "hide_name": 0, + "bits": [ 196 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376.24-376.37" + } + }, + "CH1_FF_RX_D_7": { + "hide_name": 0, + "bits": [ 198 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376.54-376.67" + } + }, + "CH1_FF_RX_D_8": { + "hide_name": 0, + "bits": [ 200 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376.84-376.97" + } + }, + "CH1_FF_RX_D_9": { + "hide_name": 0, + "bits": [ 202 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376.114-376.127" + } + }, + "CH1_FF_RX_F_CLK": { + "hide_name": 0, + "bits": [ 172 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373.26-373.41" + } + }, + "CH1_FF_RX_H_CLK": { + "hide_name": 0, + "bits": [ 174 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373.60-373.75" + } + }, + "CH1_FF_RX_PCLK": { + "hide_name": 0, + "bits": [ 180 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374.25-374.39" + } + }, + "CH1_FF_TXI_CLK": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354.86-354.100" + } + }, + "CH1_FF_TX_D_0": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355.23-355.36" + } + }, + "CH1_FF_TX_D_1": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355.53-355.66" + } + }, + "CH1_FF_TX_D_10": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357.84-357.98" + } + }, + "CH1_FF_TX_D_11": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357.116-357.130" + } + }, + "CH1_FF_TX_D_12": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358.24-358.38" + } + }, + "CH1_FF_TX_D_13": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358.56-358.70" + } + }, + "CH1_FF_TX_D_14": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358.88-358.102" + } + }, + "CH1_FF_TX_D_15": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358.120-358.134" + } + }, + "CH1_FF_TX_D_16": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359.24-359.38" + } + }, + "CH1_FF_TX_D_17": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359.56-359.70" + } + }, + "CH1_FF_TX_D_18": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359.88-359.102" + } + }, + "CH1_FF_TX_D_19": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359.120-359.134" + } + }, + "CH1_FF_TX_D_2": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355.83-355.96" + } + }, + "CH1_FF_TX_D_20": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360.24-360.38" + } + }, + "CH1_FF_TX_D_21": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360.56-360.70" + } + }, + "CH1_FF_TX_D_22": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360.88-360.102" + } + }, + "CH1_FF_TX_D_23": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360.120-360.134" + } + }, + "CH1_FF_TX_D_3": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355.113-355.126" + } + }, + "CH1_FF_TX_D_4": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356.23-356.36" + } + }, + "CH1_FF_TX_D_5": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356.53-356.66" + } + }, + "CH1_FF_TX_D_6": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356.83-356.96" + } + }, + "CH1_FF_TX_D_7": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356.113-356.126" + } + }, + "CH1_FF_TX_D_8": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357.23-357.36" + } + }, + "CH1_FF_TX_D_9": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357.53-357.66" + } + }, + "CH1_FF_TX_F_CLK": { + "hide_name": 0, + "bits": [ 176 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373.94-373.109" + } + }, + "CH1_FF_TX_H_CLK": { + "hide_name": 0, + "bits": [ 178 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373.128-373.143" + } + }, + "CH1_FF_TX_PCLK": { + "hide_name": 0, + "bits": [ 182 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374.57-374.71" + } + }, + "CH1_HDINN": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:352.41-352.50" + } + }, + "CH1_HDINP": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:352.19-352.28" + } + }, + "CH1_HDOUTN": { + "hide_name": 0, + "bits": [ 166 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372.45-372.55" + } + }, + "CH1_HDOUTP": { + "hide_name": 0, + "bits": [ 164 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372.21-372.31" + } + }, + "CH1_LDR_CORE2TX": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365.121-365.136" + } + }, + "CH1_LDR_RX2CORE": { + "hide_name": 0, + "bits": [ 254 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:383.68-383.83" + } + }, + "CH1_RX_REFCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354.23-354.36" + } + }, + "CH1_SCIEN": { + "hide_name": 0, + "bits": [ 127 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368.19-368.28" + } + }, + "CH1_SCISEL": { + "hide_name": 0, + "bits": [ 129 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368.42-368.52" + } + }, + "D_CIN0": { + "hide_name": 0, + "bits": [ 151 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371.22-371.28" + } + }, + "D_CIN1": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371.30-371.36" + } + }, + "D_CIN10": { + "hide_name": 0, + "bits": [ 161 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371.101-371.108" + } + }, + "D_CIN11": { + "hide_name": 0, + "bits": [ 162 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371.110-371.117" + } + }, + "D_CIN2": { + "hide_name": 0, + "bits": [ 153 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371.38-371.44" + } + }, + "D_CIN3": { + "hide_name": 0, + "bits": [ 154 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371.46-371.52" + } + }, + "D_CIN4": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371.54-371.60" + } + }, + "D_CIN5": { + "hide_name": 0, + "bits": [ 156 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371.62-371.68" + } + }, + "D_CIN6": { + "hide_name": 0, + "bits": [ 157 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371.70-371.76" + } + }, + "D_CIN7": { + "hide_name": 0, + "bits": [ 158 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371.77-371.83" + } + }, + "D_CIN8": { + "hide_name": 0, + "bits": [ 159 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371.85-371.91" + } + }, + "D_CIN9": { + "hide_name": 0, + "bits": [ 160 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371.93-371.99" + } + }, + "D_COUT0": { + "hide_name": 0, + "bits": [ 272 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.9-385.16" + } + }, + "D_COUT1": { + "hide_name": 0, + "bits": [ 273 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.18-385.25" + } + }, + "D_COUT10": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.99-385.107" + } + }, + "D_COUT11": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.109-385.117" + } + }, + "D_COUT12": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.119-385.127" + } + }, + "D_COUT13": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.129-385.137" + } + }, + "D_COUT14": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.139-385.147" + } + }, + "D_COUT15": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.149-385.157" + } + }, + "D_COUT16": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.159-385.167" + } + }, + "D_COUT17": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.169-385.177" + } + }, + "D_COUT18": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.179-385.187" + } + }, + "D_COUT19": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.189-385.197" + } + }, + "D_COUT2": { + "hide_name": 0, + "bits": [ 274 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.27-385.34" + } + }, + "D_COUT3": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.36-385.43" + } + }, + "D_COUT4": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.45-385.52" + } + }, + "D_COUT5": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.54-385.61" + } + }, + "D_COUT6": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.63-385.70" + } + }, + "D_COUT7": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.72-385.79" + } + }, + "D_COUT8": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.81-385.88" + } + }, + "D_COUT9": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:385.90-385.97" + } + }, + "D_CYAWSTN": { + "hide_name": 0, + "bits": [ 132 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368.74-368.83" + } + }, + "D_FFC_DUAL_RST": { + "hide_name": 0, + "bits": [ 134 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369.8-369.22" + } + }, + "D_FFC_MACROPDB": { + "hide_name": 0, + "bits": [ 136 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369.41-369.55" + } + }, + "D_FFC_MACRO_RST": { + "hide_name": 0, + "bits": [ 135 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369.24-369.39" + } + }, + "D_FFC_SYNC_TOGGLE": { + "hide_name": 0, + "bits": [ 133 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368.85-368.102" + } + }, + "D_FFC_TRST": { + "hide_name": 0, + "bits": [ 137 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369.57-369.67" + } + }, + "D_FFS_PLOL": { + "hide_name": 0, + "bits": [ 293 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:388.9-388.19" + } + }, + "D_REFCLKI": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:387.9-387.18" + } + }, + "D_SCAN_ENABLE": { + "hide_name": 0, + "bits": [ 140 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369.117-369.130" + } + }, + "D_SCAN_IN_0": { + "hide_name": 0, + "bits": [ 141 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369.132-369.143" + } + }, + "D_SCAN_IN_1": { + "hide_name": 0, + "bits": [ 142 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370.8-370.19" + } + }, + "D_SCAN_IN_2": { + "hide_name": 0, + "bits": [ 143 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370.21-370.32" + } + }, + "D_SCAN_IN_3": { + "hide_name": 0, + "bits": [ 144 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370.34-370.45" + } + }, + "D_SCAN_IN_4": { + "hide_name": 0, + "bits": [ 145 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370.47-370.58" + } + }, + "D_SCAN_IN_5": { + "hide_name": 0, + "bits": [ 146 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370.60-370.71" + } + }, + "D_SCAN_IN_6": { + "hide_name": 0, + "bits": [ 147 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370.73-370.84" + } + }, + "D_SCAN_IN_7": { + "hide_name": 0, + "bits": [ 148 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370.86-370.97" + } + }, + "D_SCAN_MODE": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370.99-370.110" + } + }, + "D_SCAN_OUT_0": { + "hide_name": 0, + "bits": [ 264 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:384.71-384.83" + } + }, + "D_SCAN_OUT_1": { + "hide_name": 0, + "bits": [ 265 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:384.85-384.97" + } + }, + "D_SCAN_OUT_2": { + "hide_name": 0, + "bits": [ 266 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:384.99-384.111" + } + }, + "D_SCAN_OUT_3": { + "hide_name": 0, + "bits": [ 267 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:384.113-384.125" + } + }, + "D_SCAN_OUT_4": { + "hide_name": 0, + "bits": [ 268 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:384.127-384.139" + } + }, + "D_SCAN_OUT_5": { + "hide_name": 0, + "bits": [ 269 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:384.141-384.153" + } + }, + "D_SCAN_OUT_6": { + "hide_name": 0, + "bits": [ 270 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:384.155-384.167" + } + }, + "D_SCAN_OUT_7": { + "hide_name": 0, + "bits": [ 271 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:384.169-384.181" + } + }, + "D_SCAN_RESET": { + "hide_name": 0, + "bits": [ 150 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371.8-371.20" + } + }, + "D_SCIADDR0": { + "hide_name": 0, + "bits": [ 118 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367.8-367.18" + } + }, + "D_SCIADDR1": { + "hide_name": 0, + "bits": [ 119 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367.20-367.30" + } + }, + "D_SCIADDR2": { + "hide_name": 0, + "bits": [ 120 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367.32-367.42" + } + }, + "D_SCIADDR3": { + "hide_name": 0, + "bits": [ 121 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367.44-367.54" + } + }, + "D_SCIADDR4": { + "hide_name": 0, + "bits": [ 122 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367.56-367.66" + } + }, + "D_SCIADDR5": { + "hide_name": 0, + "bits": [ 123 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367.68-367.78" + } + }, + "D_SCIENAUX": { + "hide_name": 0, + "bits": [ 124 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367.80-367.90" + } + }, + "D_SCIINT": { + "hide_name": 0, + "bits": [ 263 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:384.61-384.69" + } + }, + "D_SCIRD": { + "hide_name": 0, + "bits": [ 130 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368.54-368.61" + } + }, + "D_SCIRDATA0": { + "hide_name": 0, + "bits": [ 255 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:383.85-383.96" + } + }, + "D_SCIRDATA1": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:383.98-383.109" + } + }, + "D_SCIRDATA2": { + "hide_name": 0, + "bits": [ 257 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:383.111-383.122" + } + }, + "D_SCIRDATA3": { + "hide_name": 0, + "bits": [ 258 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:383.124-383.135" + } + }, + "D_SCIRDATA4": { + "hide_name": 0, + "bits": [ 259 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:384.9-384.20" + } + }, + "D_SCIRDATA5": { + "hide_name": 0, + "bits": [ 260 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:384.22-384.33" + } + }, + "D_SCIRDATA6": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:384.35-384.46" + } + }, + "D_SCIRDATA7": { + "hide_name": 0, + "bits": [ 262 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:384.48-384.59" + } + }, + "D_SCISELAUX": { + "hide_name": 0, + "bits": [ 125 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367.92-367.103" + } + }, + "D_SCIWDATA0": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366.8-366.19" + } + }, + "D_SCIWDATA1": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366.21-366.32" + } + }, + "D_SCIWDATA2": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366.34-366.45" + } + }, + "D_SCIWDATA3": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366.47-366.58" + } + }, + "D_SCIWDATA4": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366.60-366.71" + } + }, + "D_SCIWDATA5": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366.73-366.84" + } + }, + "D_SCIWDATA6": { + "hide_name": 0, + "bits": [ 116 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366.86-366.97" + } + }, + "D_SCIWDATA7": { + "hide_name": 0, + "bits": [ 117 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366.99-366.110" + } + }, + "D_SCIWSTN": { + "hide_name": 0, + "bits": [ 131 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368.63-368.72" + } + }, + "D_SYNC_ND": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:353.52-353.61" + } + }, + "D_SYNC_PULSE2ND": { + "hide_name": 0, + "bits": [ 169 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372.97-372.112" + } + }, + "D_TXBIT_CLKN_FROM_ND": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:353.30-353.50" + } + }, + "D_TXBIT_CLKN_TO_ND": { + "hide_name": 0, + "bits": [ 168 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372.77-372.95" + } + }, + "D_TXBIT_CLKP_FROM_ND": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:353.8-353.28" + } + }, + "D_TXBIT_CLKP_TO_ND": { + "hide_name": 0, + "bits": [ 167 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372.57-372.75" + } + }, + "D_TXPLL_LOL_FROM_ND": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:353.63-353.82" + } + }, + "D_TXPLL_LOL_TO_ND": { + "hide_name": 0, + "bits": [ 170 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372.114-372.131" + } + } + } + }, + "DDRDLLA": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:312.1-318.10" + }, + "parameter_default_values": { + "FORCE_MAX_DELAY": "NO", + "GSR": "ENABLED" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "RST": { + "direction": "input", + "bits": [ 3 ] + }, + "UDDCNTLN": { + "direction": "input", + "bits": [ 4 ] + }, + "FREEZE": { + "direction": "input", + "bits": [ 5 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 6 ] + }, + "DDRDEL": { + "direction": "output", + "bits": [ 7 ] + }, + "DCNTL7": { + "direction": "output", + "bits": [ 8 ] + }, + "DCNTL6": { + "direction": "output", + "bits": [ 9 ] + }, + "DCNTL5": { + "direction": "output", + "bits": [ 10 ] + }, + "DCNTL4": { + "direction": "output", + "bits": [ 11 ] + }, + "DCNTL3": { + "direction": "output", + "bits": [ 12 ] + }, + "DCNTL2": { + "direction": "output", + "bits": [ 13 ] + }, + "DCNTL1": { + "direction": "output", + "bits": [ 14 ] + }, + "DCNTL0": { + "direction": "output", + "bits": [ 15 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:313.8-313.11" + } + }, + "DCNTL0": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314.79-314.85" + } + }, + "DCNTL1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314.71-314.77" + } + }, + "DCNTL2": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314.63-314.69" + } + }, + "DCNTL3": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314.55-314.61" + } + }, + "DCNTL4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314.47-314.53" + } + }, + "DCNTL5": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314.39-314.45" + } + }, + "DCNTL6": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314.31-314.37" + } + }, + "DCNTL7": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314.23-314.29" + } + }, + "DDRDEL": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314.15-314.21" + } + }, + "FREEZE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:313.28-313.34" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314.9-314.13" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:313.13-313.16" + } + }, + "UDDCNTLN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:313.18-313.26" + } + } + } + }, + "DELAYF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:177.1-183.10" + }, + "parameter_default_values": { + "DEL_MODE": "USER_DEFINED", + "DEL_VALUE": "00000000000000000000000000000000" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2 ] + }, + "LOADN": { + "direction": "input", + "bits": [ 3 ] + }, + "MOVE": { + "direction": "input", + "bits": [ 4 ] + }, + "DIRECTION": { + "direction": "input", + "bits": [ 5 ] + }, + "Z": { + "direction": "output", + "bits": [ 6 ] + }, + "CFLAG": { + "direction": "output", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:178.8-178.9" + } + }, + "CFLAG": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:179.12-179.17" + } + }, + "DIRECTION": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:178.24-178.33" + } + }, + "LOADN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:178.11-178.16" + } + }, + "MOVE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:178.18-178.22" + } + }, + "Z": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:179.9-179.10" + } + } + } + }, + "DELAYG": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:186.1-192.10" + }, + "parameter_default_values": { + "DEL_MODE": "USER_DEFINED", + "DEL_VALUE": "00000000000000000000000000000000" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2 ] + }, + "Z": { + "direction": "output", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:187.8-187.9" + } + }, + "Z": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:188.9-188.10" + } + } + } + }, + "DP16KD": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:602.1-815.10" + }, + "parameter_default_values": { + "ADA0MUX": "ADA0", + "ADA10MUX": "ADA10", + "ADA11MUX": "ADA11", + "ADA12MUX": "ADA12", + "ADA13MUX": "ADA13", + "ADA1MUX": "ADA1", + "ADA2MUX": "ADA2", + "ADA3MUX": "ADA3", + "ADA4MUX": "ADA4", + "ADA5MUX": "ADA5", + "ADA6MUX": "ADA6", + "ADA7MUX": "ADA7", + "ADA8MUX": "ADA8", + "ADA9MUX": "ADA9", + "ADB0MUX": "ADB0", + "ADB10MUX": "ADB10", + "ADB11MUX": "ADB11", + "ADB12MUX": "ADB12", + "ADB13MUX": "ADB13", + "ADB1MUX": "ADB1", + "ADB2MUX": "ADB2", + "ADB3MUX": "ADB3", + "ADB4MUX": "ADB4", + "ADB5MUX": "ADB5", + "ADB6MUX": "ADB6", + "ADB7MUX": "ADB7", + "ADB8MUX": "ADB8", + "ADB9MUX": "ADB9", + "ASYNC_RESET_RELEASE": "SYNC", + "CEAMUX": "CEA", + "CEBMUX": "CEB", + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "CSA0MUX": "CSA0", + "CSA1MUX": "CSA1", + "CSA2MUX": "CSA2", + "CSB0MUX": "CSB0", + "CSB1MUX": "CSB1", + "CSB2MUX": "CSB2", + "CSDECODE_A": "0b000", + "CSDECODE_B": "0b000", + "DATA_WIDTH_A": "00000000000000000000000000010010", + "DATA_WIDTH_B": "00000000000000000000000000010010", + "DIA0MUX": "DIA0", + "DIA10MUX": "DIA10", + "DIA11MUX": "DIA11", + "DIA12MUX": "DIA12", + "DIA13MUX": "DIA13", + "DIA14MUX": "DIA14", + "DIA15MUX": "DIA15", + "DIA16MUX": "DIA16", + "DIA17MUX": "DIA17", + "DIA1MUX": "DIA1", + "DIA2MUX": "DIA2", + "DIA3MUX": "DIA3", + "DIA4MUX": "DIA4", + "DIA5MUX": "DIA5", + "DIA6MUX": "DIA6", + "DIA7MUX": "DIA7", + "DIA8MUX": "DIA8", + "DIA9MUX": "DIA9", + "DIB0MUX": "DIB0", + "DIB10MUX": "DIB10", + "DIB11MUX": "DIB11", + "DIB12MUX": "DIB12", + "DIB13MUX": "DIB13", + "DIB14MUX": "DIB14", + "DIB15MUX": "DIB15", + "DIB16MUX": "DIB16", + "DIB17MUX": "DIB17", + "DIB1MUX": "DIB1", + "DIB2MUX": "DIB2", + "DIB3MUX": "DIB3", + "DIB4MUX": "DIB4", + "DIB5MUX": "DIB5", + "DIB6MUX": "DIB6", + "DIB7MUX": "DIB7", + "DIB8MUX": "DIB8", + "DIB9MUX": "DIB9", + "DOA0MUX": "DOA0", + "DOA10MUX": "DOA10", + "DOA11MUX": "DOA11", + "DOA12MUX": "DOA12", + "DOA13MUX": "DOA13", + "DOA14MUX": "DOA14", + "DOA15MUX": "DOA15", + "DOA16MUX": "DOA16", + "DOA17MUX": "DOA17", + "DOA1MUX": "DOA1", + "DOA2MUX": "DOA2", + "DOA3MUX": "DOA3", + "DOA4MUX": "DOA4", + "DOA5MUX": "DOA5", + "DOA6MUX": "DOA6", + "DOA7MUX": "DOA7", + "DOA8MUX": "DOA8", + "DOA9MUX": "DOA9", + "DOB0MUX": "DOB0", + "DOB10MUX": "DOB10", + "DOB11MUX": "DOB11", + "DOB12MUX": "DOB12", + "DOB13MUX": "DOB13", + "DOB14MUX": "DOB14", + "DOB15MUX": "DOB15", + "DOB16MUX": "DOB16", + "DOB17MUX": "DOB17", + "DOB1MUX": "DOB1", + "DOB2MUX": "DOB2", + "DOB3MUX": "DOB3", + "DOB4MUX": "DOB4", + "DOB5MUX": "DOB5", + "DOB6MUX": "DOB6", + "DOB7MUX": "DOB7", + "DOB8MUX": "DOB8", + "DOB9MUX": "DOB9", + "GSR": "ENABLED", + "INITVAL_00": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_01": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_02": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_03": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_04": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_05": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_06": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_07": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_08": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_09": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_0A": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_0B": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_0C": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_0D": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_0E": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_0F": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_10": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_11": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_12": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_13": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_14": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_15": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_16": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_17": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_18": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_19": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_1A": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_1B": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_1C": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_1D": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_1E": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_1F": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_20": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_21": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_22": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_23": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_24": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_25": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_26": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_27": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_28": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_29": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_2A": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_2B": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_2C": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_2D": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_2E": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_2F": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_30": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_31": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_32": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_33": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_34": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_35": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_36": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_37": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_38": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_39": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_3A": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_3B": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_3C": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_3D": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_3E": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_3F": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "OCEAMUX": "OCEA", + "OCEBMUX": "OCEB", + "REGMODE_A": "NOREG", + "REGMODE_B": "NOREG", + "RESETMODE": "SYNC", + "RSTAMUX": "RSTA", + "RSTBMUX": "RSTB", + "WEAMUX": "WEA", + "WEBMUX": "WEB", + "WID": "00000000000000000000000000000000", + "WRITEMODE_A": "NORMAL", + "WRITEMODE_B": "NORMAL" + }, + "ports": { + "DIA17": { + "direction": "input", + "bits": [ 2 ] + }, + "DIA16": { + "direction": "input", + "bits": [ 3 ] + }, + "DIA15": { + "direction": "input", + "bits": [ 4 ] + }, + "DIA14": { + "direction": "input", + "bits": [ 5 ] + }, + "DIA13": { + "direction": "input", + "bits": [ 6 ] + }, + "DIA12": { + "direction": "input", + "bits": [ 7 ] + }, + "DIA11": { + "direction": "input", + "bits": [ 8 ] + }, + "DIA10": { + "direction": "input", + "bits": [ 9 ] + }, + "DIA9": { + "direction": "input", + "bits": [ 10 ] + }, + "DIA8": { + "direction": "input", + "bits": [ 11 ] + }, + "DIA7": { + "direction": "input", + "bits": [ 12 ] + }, + "DIA6": { + "direction": "input", + "bits": [ 13 ] + }, + "DIA5": { + "direction": "input", + "bits": [ 14 ] + }, + "DIA4": { + "direction": "input", + "bits": [ 15 ] + }, + "DIA3": { + "direction": "input", + "bits": [ 16 ] + }, + "DIA2": { + "direction": "input", + "bits": [ 17 ] + }, + "DIA1": { + "direction": "input", + "bits": [ 18 ] + }, + "DIA0": { + "direction": "input", + "bits": [ 19 ] + }, + "ADA13": { + "direction": "input", + "bits": [ 20 ] + }, + "ADA12": { + "direction": "input", + "bits": [ 21 ] + }, + "ADA11": { + "direction": "input", + "bits": [ 22 ] + }, + "ADA10": { + "direction": "input", + "bits": [ 23 ] + }, + "ADA9": { + "direction": "input", + "bits": [ 24 ] + }, + "ADA8": { + "direction": "input", + "bits": [ 25 ] + }, + "ADA7": { + "direction": "input", + "bits": [ 26 ] + }, + "ADA6": { + "direction": "input", + "bits": [ 27 ] + }, + "ADA5": { + "direction": "input", + "bits": [ 28 ] + }, + "ADA4": { + "direction": "input", + "bits": [ 29 ] + }, + "ADA3": { + "direction": "input", + "bits": [ 30 ] + }, + "ADA2": { + "direction": "input", + "bits": [ 31 ] + }, + "ADA1": { + "direction": "input", + "bits": [ 32 ] + }, + "ADA0": { + "direction": "input", + "bits": [ 33 ] + }, + "CEA": { + "direction": "input", + "bits": [ 34 ] + }, + "OCEA": { + "direction": "input", + "bits": [ 35 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 36 ] + }, + "WEA": { + "direction": "input", + "bits": [ 37 ] + }, + "RSTA": { + "direction": "input", + "bits": [ 38 ] + }, + "CSA2": { + "direction": "input", + "bits": [ 39 ] + }, + "CSA1": { + "direction": "input", + "bits": [ 40 ] + }, + "CSA0": { + "direction": "input", + "bits": [ 41 ] + }, + "DOA17": { + "direction": "output", + "bits": [ 42 ] + }, + "DOA16": { + "direction": "output", + "bits": [ 43 ] + }, + "DOA15": { + "direction": "output", + "bits": [ 44 ] + }, + "DOA14": { + "direction": "output", + "bits": [ 45 ] + }, + "DOA13": { + "direction": "output", + "bits": [ 46 ] + }, + "DOA12": { + "direction": "output", + "bits": [ 47 ] + }, + "DOA11": { + "direction": "output", + "bits": [ 48 ] + }, + "DOA10": { + "direction": "output", + "bits": [ 49 ] + }, + "DOA9": { + "direction": "output", + "bits": [ 50 ] + }, + "DOA8": { + "direction": "output", + "bits": [ 51 ] + }, + "DOA7": { + "direction": "output", + "bits": [ 52 ] + }, + "DOA6": { + "direction": "output", + "bits": [ 53 ] + }, + "DOA5": { + "direction": "output", + "bits": [ 54 ] + }, + "DOA4": { + "direction": "output", + "bits": [ 55 ] + }, + "DOA3": { + "direction": "output", + "bits": [ 56 ] + }, + "DOA2": { + "direction": "output", + "bits": [ 57 ] + }, + "DOA1": { + "direction": "output", + "bits": [ 58 ] + }, + "DOA0": { + "direction": "output", + "bits": [ 59 ] + }, + "DIB17": { + "direction": "input", + "bits": [ 60 ] + }, + "DIB16": { + "direction": "input", + "bits": [ 61 ] + }, + "DIB15": { + "direction": "input", + "bits": [ 62 ] + }, + "DIB14": { + "direction": "input", + "bits": [ 63 ] + }, + "DIB13": { + "direction": "input", + "bits": [ 64 ] + }, + "DIB12": { + "direction": "input", + "bits": [ 65 ] + }, + "DIB11": { + "direction": "input", + "bits": [ 66 ] + }, + "DIB10": { + "direction": "input", + "bits": [ 67 ] + }, + "DIB9": { + "direction": "input", + "bits": [ 68 ] + }, + "DIB8": { + "direction": "input", + "bits": [ 69 ] + }, + "DIB7": { + "direction": "input", + "bits": [ 70 ] + }, + "DIB6": { + "direction": "input", + "bits": [ 71 ] + }, + "DIB5": { + "direction": "input", + "bits": [ 72 ] + }, + "DIB4": { + "direction": "input", + "bits": [ 73 ] + }, + "DIB3": { + "direction": "input", + "bits": [ 74 ] + }, + "DIB2": { + "direction": "input", + "bits": [ 75 ] + }, + "DIB1": { + "direction": "input", + "bits": [ 76 ] + }, + "DIB0": { + "direction": "input", + "bits": [ 77 ] + }, + "ADB13": { + "direction": "input", + "bits": [ 78 ] + }, + "ADB12": { + "direction": "input", + "bits": [ 79 ] + }, + "ADB11": { + "direction": "input", + "bits": [ 80 ] + }, + "ADB10": { + "direction": "input", + "bits": [ 81 ] + }, + "ADB9": { + "direction": "input", + "bits": [ 82 ] + }, + "ADB8": { + "direction": "input", + "bits": [ 83 ] + }, + "ADB7": { + "direction": "input", + "bits": [ 84 ] + }, + "ADB6": { + "direction": "input", + "bits": [ 85 ] + }, + "ADB5": { + "direction": "input", + "bits": [ 86 ] + }, + "ADB4": { + "direction": "input", + "bits": [ 87 ] + }, + "ADB3": { + "direction": "input", + "bits": [ 88 ] + }, + "ADB2": { + "direction": "input", + "bits": [ 89 ] + }, + "ADB1": { + "direction": "input", + "bits": [ 90 ] + }, + "ADB0": { + "direction": "input", + "bits": [ 91 ] + }, + "CEB": { + "direction": "input", + "bits": [ 92 ] + }, + "OCEB": { + "direction": "input", + "bits": [ 93 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 94 ] + }, + "WEB": { + "direction": "input", + "bits": [ 95 ] + }, + "RSTB": { + "direction": "input", + "bits": [ 96 ] + }, + "CSB2": { + "direction": "input", + "bits": [ 97 ] + }, + "CSB1": { + "direction": "input", + "bits": [ 98 ] + }, + "CSB0": { + "direction": "input", + "bits": [ 99 ] + }, + "DOB17": { + "direction": "output", + "bits": [ 100 ] + }, + "DOB16": { + "direction": "output", + "bits": [ 101 ] + }, + "DOB15": { + "direction": "output", + "bits": [ 102 ] + }, + "DOB14": { + "direction": "output", + "bits": [ 103 ] + }, + "DOB13": { + "direction": "output", + "bits": [ 104 ] + }, + "DOB12": { + "direction": "output", + "bits": [ 105 ] + }, + "DOB11": { + "direction": "output", + "bits": [ 106 ] + }, + "DOB10": { + "direction": "output", + "bits": [ 107 ] + }, + "DOB9": { + "direction": "output", + "bits": [ 108 ] + }, + "DOB8": { + "direction": "output", + "bits": [ 109 ] + }, + "DOB7": { + "direction": "output", + "bits": [ 110 ] + }, + "DOB6": { + "direction": "output", + "bits": [ 111 ] + }, + "DOB5": { + "direction": "output", + "bits": [ 112 ] + }, + "DOB4": { + "direction": "output", + "bits": [ 113 ] + }, + "DOB3": { + "direction": "output", + "bits": [ 114 ] + }, + "DOB2": { + "direction": "output", + "bits": [ 115 ] + }, + "DOB1": { + "direction": "output", + "bits": [ 116 ] + }, + "DOB0": { + "direction": "output", + "bits": [ 117 ] + } + }, + "cells": { + }, + "netnames": { + "ADA0": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:604.91-604.95" + } + }, + "ADA1": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:604.85-604.89" + } + }, + "ADA10": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:604.30-604.35" + } + }, + "ADA11": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:604.23-604.28" + } + }, + "ADA12": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:604.16-604.21" + } + }, + "ADA13": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:604.9-604.14" + } + }, + "ADA2": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:604.79-604.83" + } + }, + "ADA3": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:604.73-604.77" + } + }, + "ADA4": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:604.67-604.71" + } + }, + "ADA5": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:604.61-604.65" + } + }, + "ADA6": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:604.55-604.59" + } + }, + "ADA7": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:604.49-604.53" + } + }, + "ADA8": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:604.43-604.47" + } + }, + "ADA9": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:604.37-604.41" + } + }, + "ADB0": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:610.91-610.95" + } + }, + "ADB1": { + "hide_name": 0, + "bits": [ 90 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:610.85-610.89" + } + }, + "ADB10": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:610.30-610.35" + } + }, + "ADB11": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:610.23-610.28" + } + }, + "ADB12": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:610.16-610.21" + } + }, + "ADB13": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:610.9-610.14" + } + }, + "ADB2": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:610.79-610.83" + } + }, + "ADB3": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:610.73-610.77" + } + }, + "ADB4": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:610.67-610.71" + } + }, + "ADB5": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:610.61-610.65" + } + }, + "ADB6": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:610.55-610.59" + } + }, + "ADB7": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:610.49-610.53" + } + }, + "ADB8": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:610.43-610.47" + } + }, + "ADB9": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:610.37-610.41" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:605.9-605.12" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:611.9-611.12" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:605.20-605.24" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:611.20-611.24" + } + }, + "CSA0": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:606.21-606.25" + } + }, + "CSA1": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:606.15-606.19" + } + }, + "CSA2": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:606.9-606.13" + } + }, + "CSB0": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:612.21-612.25" + } + }, + "CSB1": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:612.15-612.19" + } + }, + "CSB2": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:612.9-612.13" + } + }, + "DIA0": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.119-603.123" + } + }, + "DIA1": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.113-603.117" + } + }, + "DIA10": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.58-603.63" + } + }, + "DIA11": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.51-603.56" + } + }, + "DIA12": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.44-603.49" + } + }, + "DIA13": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.37-603.42" + } + }, + "DIA14": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.30-603.35" + } + }, + "DIA15": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.23-603.28" + } + }, + "DIA16": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.16-603.21" + } + }, + "DIA17": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.9-603.14" + } + }, + "DIA2": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.107-603.111" + } + }, + "DIA3": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.101-603.105" + } + }, + "DIA4": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.95-603.99" + } + }, + "DIA5": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.89-603.93" + } + }, + "DIA6": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.83-603.87" + } + }, + "DIA7": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.77-603.81" + } + }, + "DIA8": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.71-603.75" + } + }, + "DIA9": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:603.65-603.69" + } + }, + "DIB0": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.119-609.123" + } + }, + "DIB1": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.113-609.117" + } + }, + "DIB10": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.58-609.63" + } + }, + "DIB11": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.51-609.56" + } + }, + "DIB12": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.44-609.49" + } + }, + "DIB13": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.37-609.42" + } + }, + "DIB14": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.30-609.35" + } + }, + "DIB15": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.23-609.28" + } + }, + "DIB16": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.16-609.21" + } + }, + "DIB17": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.9-609.14" + } + }, + "DIB2": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.107-609.111" + } + }, + "DIB3": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.101-609.105" + } + }, + "DIB4": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.95-609.99" + } + }, + "DIB5": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.89-609.93" + } + }, + "DIB6": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.83-609.87" + } + }, + "DIB7": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.77-609.81" + } + }, + "DIB8": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.71-609.75" + } + }, + "DIB9": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:609.65-609.69" + } + }, + "DOA0": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.120-607.124" + } + }, + "DOA1": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.114-607.118" + } + }, + "DOA10": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.59-607.64" + } + }, + "DOA11": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.52-607.57" + } + }, + "DOA12": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.45-607.50" + } + }, + "DOA13": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.38-607.43" + } + }, + "DOA14": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.31-607.36" + } + }, + "DOA15": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.24-607.29" + } + }, + "DOA16": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.17-607.22" + } + }, + "DOA17": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.10-607.15" + } + }, + "DOA2": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.108-607.112" + } + }, + "DOA3": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.102-607.106" + } + }, + "DOA4": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.96-607.100" + } + }, + "DOA5": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.90-607.94" + } + }, + "DOA6": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.84-607.88" + } + }, + "DOA7": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.78-607.82" + } + }, + "DOA8": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.72-607.76" + } + }, + "DOA9": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:607.66-607.70" + } + }, + "DOB0": { + "hide_name": 0, + "bits": [ 117 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.120-613.124" + } + }, + "DOB1": { + "hide_name": 0, + "bits": [ 116 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.114-613.118" + } + }, + "DOB10": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.59-613.64" + } + }, + "DOB11": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.52-613.57" + } + }, + "DOB12": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.45-613.50" + } + }, + "DOB13": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.38-613.43" + } + }, + "DOB14": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.31-613.36" + } + }, + "DOB15": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.24-613.29" + } + }, + "DOB16": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.17-613.22" + } + }, + "DOB17": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.10-613.15" + } + }, + "DOB2": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.108-613.112" + } + }, + "DOB3": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.102-613.106" + } + }, + "DOB4": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.96-613.100" + } + }, + "DOB5": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.90-613.94" + } + }, + "DOB6": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.84-613.88" + } + }, + "DOB7": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.78-613.82" + } + }, + "DOB8": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.72-613.76" + } + }, + "DOB9": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:613.66-613.70" + } + }, + "OCEA": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:605.14-605.18" + } + }, + "OCEB": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:611.14-611.18" + } + }, + "RSTA": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:605.31-605.35" + } + }, + "RSTB": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:611.31-611.35" + } + }, + "WEA": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:605.26-605.29" + } + }, + "WEB": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:611.26-611.29" + } + } + } + }, + "DPR16X4C": { + "attributes": { + "whitebox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:236.1-295.10" + }, + "parameter_default_values": { + "INITVAL": "0x0000000000000000 " + }, + "ports": { + "DI": { + "direction": "input", + "bits": [ 2, 3, 4, 5 ] + }, + "WCK": { + "direction": "input", + "bits": [ 6 ] + }, + "WRE": { + "direction": "input", + "bits": [ 7 ] + }, + "RAD": { + "direction": "input", + "bits": [ 8, 9, 10, 11 ] + }, + "WAD": { + "direction": "input", + "bits": [ 12, 13, 14, 15 ] + }, + "DO": { + "direction": "output", + "bits": [ 16, 17, 18, 19 ] + } + }, + "cells": { + "$meminit$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1475": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111000011", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1476": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111000100", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1477": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111000101", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1478": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111000110", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1479": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111000111", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1480": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111001000", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "1", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1481": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111001001", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1482": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111001010", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "1", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1483": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111001011", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1484": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111001100", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "1", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1485": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111001101", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "1", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1486": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111001110", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "1", "1", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1487": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111001111", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "0", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1488": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111010000", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "1", "0", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1489": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111010001", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "1", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$memrd$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:289$1474": { + "hide_name": 1, + "type": "$memrd", + "parameters": { + "ABITS": "00000000000000000000000000000100", + "CLK_ENABLE": "00000000000000000000000000000000", + "CLK_POLARITY": "00000000000000000000000000000000", + "MEMID": "\\ram", + "TRANSPARENT": "00000000000000000000000000000000", + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:289.14-289.17" + }, + "port_directions": { + "ADDR": "input", + "CLK": "input", + "DATA": "output", + "EN": "input" + }, + "connections": { + "ADDR": [ 8, 9, 10, 11 ], + "CLK": [ "x" ], + "DATA": [ 16, 17, 18, 19 ], + "EN": [ "x" ] + } + }, + "$memwr$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1490": { + "hide_name": 1, + "type": "$memwr", + "parameters": { + "ABITS": "00000000000000000000000000000100", + "CLK_ENABLE": "00000000000000000000000000000000", + "CLK_POLARITY": "00000000000000000000000000000000", + "MEMID": "\\ram", + "PRIORITY": "00000000000000000000010111010010", + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "CLK": "input", + "DATA": "input", + "EN": "input" + }, + "connections": { + "ADDR": [ 20, 21, 22, 23 ], + "CLK": [ "x" ], + "DATA": [ 24, 25, 26, 27 ], + "EN": [ 28, 29, 30, 31 ] + } + }, + "$procdff$3813": { + "hide_name": 1, + "type": "$dff", + "parameters": { + "CLK_POLARITY": "1", + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:285.2-287.19" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 6 ], + "D": [ 32, 33, 34, 35 ], + "Q": [ 20, 21, 22, 23 ] + } + }, + "$procdff$3814": { + "hide_name": 1, + "type": "$dff", + "parameters": { + "CLK_POLARITY": "1", + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:285.2-287.19" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 6 ], + "D": [ 36, 37, 38, 39 ], + "Q": [ 24, 25, 26, 27 ] + } + }, + "$procdff$3815": { + "hide_name": 1, + "type": "$dff", + "parameters": { + "CLK_POLARITY": "1", + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:285.2-287.19" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 6 ], + "D": [ 40, 41, 42, 43 ], + "Q": [ 28, 29, 30, 31 ] + } + }, + "$procmux$1504": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:286.7-286.10|/usr/local/bin/../share/yosys/ecp5/cells_sim.v:286.3-287.19" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ "0", "0", "0", "0" ], + "B": [ "1", "1", "1", "1" ], + "S": [ 7 ], + "Y": [ 40, 41, 42, 43 ] + } + }, + "$procmux$1506": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:286.7-286.10|/usr/local/bin/../share/yosys/ecp5/cells_sim.v:286.3-287.19" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ "x", "x", "x", "x" ], + "B": [ 2, 3, 4, 5 ], + "S": [ 7 ], + "Y": [ 36, 37, 38, 39 ] + } + }, + "$procmux$1508": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:286.7-286.10|/usr/local/bin/../share/yosys/ecp5/cells_sim.v:286.3-287.19" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ "x", "x", "x", "x" ], + "B": [ 12, 13, 14, 15 ], + "S": [ 7 ], + "Y": [ 32, 33, 34, 35 ] + } + }, + "$specify$1320": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000100", + "FULL": "1", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "T_FALL_MAX": "00000000000000000000000000000000", + "T_FALL_MIN": "00000000000000000000000000000000", + "T_FALL_TYP": "00000000000000000000000000000000", + "T_RISE_MAX": "00000000000000000000000000000000", + "T_RISE_MIN": "00000000000000000000000000000000", + "T_RISE_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:293.3-293.19" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 16, 17, 18, 19 ], + "EN": [ "1" ], + "SRC": [ 8, 9, 10, 11 ] + } + } + }, + "netnames": { + "$0$memwr$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:287$1469_ADDR[3:0]$1471": { + "hide_name": 1, + "bits": [ 32, 33, 34, 35 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:285.2-287.19" + } + }, + "$0$memwr$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:287$1469_DATA[3:0]$1472": { + "hide_name": 1, + "bits": [ 36, 37, 38, 39 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:285.2-287.19" + } + }, + "$0$memwr$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:287$1469_EN[3:0]$1473": { + "hide_name": 1, + "bits": [ 40, 41, 42, 43 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:285.2-287.19" + } + }, + "$0\\i[31:0]": { + "hide_name": 1, + "bits": [ "1", "1", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + } + }, + "$memrd$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:289$1474_DATA": { + "hide_name": 1, + "bits": [ 16, 17, 18, 19 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:289.14-289.17" + } + }, + "$memwr$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:287$1469_ADDR": { + "hide_name": 1, + "bits": [ 20, 21, 22, 23 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + } + }, + "$memwr$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:287$1469_DATA": { + "hide_name": 1, + "bits": [ 24, 25, 26, 27 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + } + }, + "$memwr$\\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:287$1469_EN": { + "hide_name": 1, + "bits": [ 28, 29, 30, 31 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + } + }, + "$procmux$1504_Y": { + "hide_name": 1, + "bits": [ 40, 41, 42, 43 ], + "attributes": { + } + }, + "$procmux$1505_CMP": { + "hide_name": 1, + "bits": [ 7 ], + "attributes": { + } + }, + "$procmux$1506_Y": { + "hide_name": 1, + "bits": [ 36, 37, 38, 39 ], + "attributes": { + } + }, + "$procmux$1507_CMP": { + "hide_name": 1, + "bits": [ 7 ], + "attributes": { + } + }, + "$procmux$1508_Y": { + "hide_name": 1, + "bits": [ 32, 33, 34, 35 ], + "attributes": { + } + }, + "$procmux$1509_CMP": { + "hide_name": 1, + "bits": [ 7 ], + "attributes": { + } + }, + "DI": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:237.15-237.17" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:241.16-241.18" + } + }, + "RAD": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:239.15-239.18" + } + }, + "WAD": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:240.15-240.18" + } + }, + "WCK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:238.9-238.12" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:238.14-238.17" + } + }, + "i": { + "hide_name": 0, + "bits": [ "1", "1", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "signed": 1, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:278.10-278.11" + } + } + } + }, + "DQSBUFM": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:294.1-309.10" + }, + "parameter_default_values": { + "DQS_LI_DEL_ADJ": "FACTORYONLY", + "DQS_LI_DEL_VAL": "00000000000000000000000000000000", + "DQS_LO_DEL_ADJ": "FACTORYONLY", + "DQS_LO_DEL_VAL": "00000000000000000000000000000000", + "GSR": "ENABLED" + }, + "ports": { + "DQSI": { + "direction": "input", + "bits": [ 2 ] + }, + "READ1": { + "direction": "input", + "bits": [ 3 ] + }, + "READ0": { + "direction": "input", + "bits": [ 4 ] + }, + "READCLKSEL2": { + "direction": "input", + "bits": [ 5 ] + }, + "READCLKSEL1": { + "direction": "input", + "bits": [ 6 ] + }, + "READCLKSEL0": { + "direction": "input", + "bits": [ 7 ] + }, + "DDRDEL": { + "direction": "input", + "bits": [ 8 ] + }, + "ECLK": { + "direction": "input", + "bits": [ 9 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "DYNDELAY7": { + "direction": "input", + "bits": [ 11 ] + }, + "DYNDELAY6": { + "direction": "input", + "bits": [ 12 ] + }, + "DYNDELAY5": { + "direction": "input", + "bits": [ 13 ] + }, + "DYNDELAY4": { + "direction": "input", + "bits": [ 14 ] + }, + "DYNDELAY3": { + "direction": "input", + "bits": [ 15 ] + }, + "DYNDELAY2": { + "direction": "input", + "bits": [ 16 ] + }, + "DYNDELAY1": { + "direction": "input", + "bits": [ 17 ] + }, + "DYNDELAY0": { + "direction": "input", + "bits": [ 18 ] + }, + "RST": { + "direction": "input", + "bits": [ 19 ] + }, + "RDLOADN": { + "direction": "input", + "bits": [ 20 ] + }, + "RDMOVE": { + "direction": "input", + "bits": [ 21 ] + }, + "RDDIRECTION": { + "direction": "input", + "bits": [ 22 ] + }, + "WRLOADN": { + "direction": "input", + "bits": [ 23 ] + }, + "WRMOVE": { + "direction": "input", + "bits": [ 24 ] + }, + "WRDIRECTION": { + "direction": "input", + "bits": [ 25 ] + }, + "PAUSE": { + "direction": "input", + "bits": [ 26 ] + }, + "DQSR90": { + "direction": "output", + "bits": [ 27 ] + }, + "DQSW": { + "direction": "output", + "bits": [ 28 ] + }, + "DQSW270": { + "direction": "output", + "bits": [ 29 ] + }, + "RDPNTR2": { + "direction": "output", + "bits": [ 30 ] + }, + "RDPNTR1": { + "direction": "output", + "bits": [ 31 ] + }, + "RDPNTR0": { + "direction": "output", + "bits": [ 32 ] + }, + "WRPNTR2": { + "direction": "output", + "bits": [ 33 ] + }, + "WRPNTR1": { + "direction": "output", + "bits": [ 34 ] + }, + "WRPNTR0": { + "direction": "output", + "bits": [ 35 ] + }, + "DATAVALID": { + "direction": "output", + "bits": [ 36 ] + }, + "BURSTDET": { + "direction": "output", + "bits": [ 37 ] + }, + "RDCFLAG": { + "direction": "output", + "bits": [ 38 ] + }, + "WRCFLAG": { + "direction": "output", + "bits": [ 39 ] + } + }, + "cells": { + }, + "netnames": { + "BURSTDET": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:302.20-302.28" + } + }, + "DATAVALID": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:302.9-302.18" + } + }, + "DDRDEL": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:295.67-295.73" + } + }, + "DQSI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:295.8-295.12" + } + }, + "DQSR90": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:300.9-300.15" + } + }, + "DQSW": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:300.17-300.21" + } + }, + "DQSW270": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:300.23-300.30" + } + }, + "DYNDELAY0": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:298.41-298.50" + } + }, + "DYNDELAY1": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:298.30-298.39" + } + }, + "DYNDELAY2": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:298.19-298.28" + } + }, + "DYNDELAY3": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:298.8-298.17" + } + }, + "DYNDELAY4": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:297.41-297.50" + } + }, + "DYNDELAY5": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:297.30-297.39" + } + }, + "DYNDELAY6": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:297.19-297.28" + } + }, + "DYNDELAY7": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:297.8-297.17" + } + }, + "ECLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:296.8-296.12" + } + }, + "PAUSE": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299.73-299.78" + } + }, + "RDCFLAG": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:302.30-302.37" + } + }, + "RDDIRECTION": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299.30-299.41" + } + }, + "RDLOADN": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299.13-299.20" + } + }, + "RDMOVE": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299.22-299.28" + } + }, + "RDPNTR0": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:301.27-301.34" + } + }, + "RDPNTR1": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:301.18-301.25" + } + }, + "RDPNTR2": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:301.9-301.16" + } + }, + "READ0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:295.21-295.26" + } + }, + "READ1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:295.14-295.19" + } + }, + "READCLKSEL0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:295.54-295.65" + } + }, + "READCLKSEL1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:295.41-295.52" + } + }, + "READCLKSEL2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:295.28-295.39" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299.8-299.11" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:296.14-296.18" + } + }, + "WRCFLAG": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:302.39-302.46" + } + }, + "WRDIRECTION": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299.60-299.71" + } + }, + "WRLOADN": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299.43-299.50" + } + }, + "WRMOVE": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299.52-299.58" + } + }, + "WRPNTR0": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:301.54-301.61" + } + }, + "WRPNTR1": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:301.45-301.52" + } + }, + "WRPNTR2": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:301.36-301.43" + } + } + } + }, + "DTR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:146.1-150.10" + }, + "ports": { + "STARTPULSE": { + "direction": "input", + "bits": [ 2 ] + }, + "DTROUT7": { + "direction": "output", + "bits": [ 3 ] + }, + "DTROUT6": { + "direction": "output", + "bits": [ 4 ] + }, + "DTROUT5": { + "direction": "output", + "bits": [ 5 ] + }, + "DTROUT4": { + "direction": "output", + "bits": [ 6 ] + }, + "DTROUT3": { + "direction": "output", + "bits": [ 7 ] + }, + "DTROUT2": { + "direction": "output", + "bits": [ 8 ] + }, + "DTROUT1": { + "direction": "output", + "bits": [ 9 ] + }, + "DTROUT0": { + "direction": "output", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "DTROUT0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148.72-148.79" + } + }, + "DTROUT1": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148.63-148.70" + } + }, + "DTROUT2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148.54-148.61" + } + }, + "DTROUT3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148.45-148.52" + } + }, + "DTROUT4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148.36-148.43" + } + }, + "DTROUT5": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148.27-148.34" + } + }, + "DTROUT6": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148.18-148.25" + } + }, + "DTROUT7": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148.9-148.16" + } + }, + "STARTPULSE": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:147.8-147.18" + } + } + } + }, + "ECLKBRIDGECS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:337.1-341.10" + }, + "ports": { + "CLK0": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK1": { + "direction": "input", + "bits": [ 3 ] + }, + "SEL": { + "direction": "input", + "bits": [ 4 ] + }, + "ECSOUT": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:338.8-338.12" + } + }, + "CLK1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:338.14-338.18" + } + }, + "ECSOUT": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:339.9-339.15" + } + }, + "SEL": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:338.20-338.23" + } + } + } + }, + "ECLKSYNCB": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:330.1-334.10" + }, + "ports": { + "ECLKI": { + "direction": "input", + "bits": [ 2 ] + }, + "STOP": { + "direction": "input", + "bits": [ 3 ] + }, + "ECLKO": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "ECLKI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:331.8-331.13" + } + }, + "ECLKO": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:332.9-332.14" + } + }, + "STOP": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:331.15-331.19" + } + } + } + }, + "EHXPLLL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:98.1-143.10" + }, + "parameter_default_values": { + "CLKFB_DIV": "00000000000000000000000000000001", + "CLKI_DIV": "00000000000000000000000000000001", + "CLKOP_CPHASE": "00000000000000000000000000000000", + "CLKOP_DIV": "00000000000000000000000000001000", + "CLKOP_ENABLE": "ENABLED", + "CLKOP_FPHASE": "00000000000000000000000000000000", + "CLKOP_TRIM_DELAY": "00000000000000000000000000000000", + "CLKOP_TRIM_POL": "RISING", + "CLKOS2_CPHASE": "00000000000000000000000000000000", + "CLKOS2_DIV": "00000000000000000000000000001000", + "CLKOS2_ENABLE": "DISABLED", + "CLKOS2_FPHASE": "00000000000000000000000000000000", + "CLKOS3_CPHASE": "00000000000000000000000000000000", + "CLKOS3_DIV": "00000000000000000000000000001000", + "CLKOS3_ENABLE": "DISABLED", + "CLKOS3_FPHASE": "00000000000000000000000000000000", + "CLKOS_CPHASE": "00000000000000000000000000000000", + "CLKOS_DIV": "00000000000000000000000000001000", + "CLKOS_ENABLE": "DISABLED", + "CLKOS_FPHASE": "00000000000000000000000000000000", + "CLKOS_TRIM_DELAY": "00000000000000000000000000000000", + "CLKOS_TRIM_POL": "RISING", + "DPHASE_SOURCE": "DISABLED", + "FEEDBK_PATH": "CLKOP", + "INTFB_WAKE": "DISABLED", + "INT_LOCK_STICKY": "ENABLED", + "OUTDIVIDER_MUXA": "DIVA", + "OUTDIVIDER_MUXB": "DIVB", + "OUTDIVIDER_MUXC": "DIVC", + "OUTDIVIDER_MUXD": "DIVD", + "PLLRST_ENA": "DISABLED", + "PLL_LOCK_DELAY": "00000000000000000000000011001000", + "PLL_LOCK_MODE": "00000000000000000000000000000000", + "REFIN_RESET": "DISABLED", + "STDBY_ENABLE": "DISABLED", + "SYNC_ENABLE": "DISABLED" + }, + "ports": { + "CLKI": { + "direction": "input", + "bits": [ 2 ] + }, + "CLKFB": { + "direction": "input", + "bits": [ 3 ] + }, + "PHASESEL1": { + "direction": "input", + "bits": [ 4 ] + }, + "PHASESEL0": { + "direction": "input", + "bits": [ 5 ] + }, + "PHASEDIR": { + "direction": "input", + "bits": [ 6 ] + }, + "PHASESTEP": { + "direction": "input", + "bits": [ 7 ] + }, + "PHASELOADREG": { + "direction": "input", + "bits": [ 8 ] + }, + "STDBY": { + "direction": "input", + "bits": [ 9 ] + }, + "PLLWAKESYNC": { + "direction": "input", + "bits": [ 10 ] + }, + "RST": { + "direction": "input", + "bits": [ 11 ] + }, + "ENCLKOP": { + "direction": "input", + "bits": [ 12 ] + }, + "ENCLKOS": { + "direction": "input", + "bits": [ 13 ] + }, + "ENCLKOS2": { + "direction": "input", + "bits": [ 14 ] + }, + "ENCLKOS3": { + "direction": "input", + "bits": [ 15 ] + }, + "CLKOP": { + "direction": "output", + "bits": [ 16 ] + }, + "CLKOS": { + "direction": "output", + "bits": [ 17 ] + }, + "CLKOS2": { + "direction": "output", + "bits": [ 18 ] + }, + "CLKOS3": { + "direction": "output", + "bits": [ 19 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 20 ] + }, + "INTLOCK": { + "direction": "output", + "bits": [ 21 ] + }, + "REFCLK": { + "direction": "output", + "bits": [ 22 ] + }, + "CLKINTFB": { + "direction": "output", + "bits": [ 23 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:99.14-99.19" + } + }, + "CLKI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:99.8-99.12" + } + }, + "CLKINTFB": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:105.17-105.25" + } + }, + "CLKOP": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:103.9-103.14" + } + }, + "CLKOS": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:103.16-103.21" + } + }, + "CLKOS2": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:103.23-103.29" + } + }, + "CLKOS3": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:103.31-103.37" + } + }, + "ENCLKOP": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:102.13-102.20" + } + }, + "ENCLKOS": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:102.22-102.29" + } + }, + "ENCLKOS2": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:102.31-102.39" + } + }, + "ENCLKOS3": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:102.41-102.49" + } + }, + "INTLOCK": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:104.15-104.22" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:104.9-104.13" + } + }, + "PHASEDIR": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:100.30-100.38" + } + }, + "PHASELOADREG": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:100.51-100.63" + } + }, + "PHASESEL0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:100.19-100.28" + } + }, + "PHASESEL1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:100.8-100.17" + } + }, + "PHASESTEP": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:100.40-100.49" + } + }, + "PLLWAKESYNC": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:101.15-101.26" + } + }, + "REFCLK": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:105.9-105.15" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:102.8-102.11" + } + }, + "STDBY": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:101.8-101.13" + } + } + } + }, + "EXTREFB": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:662.1-669.10" + }, + "parameter_default_values": { + "REFCK_DCBIAS_EN": "0b0", + "REFCK_PWDNB": "0b0", + "REFCK_RTERM": "0b0" + }, + "ports": { + "REFCLKP": { + "direction": "input", + "bits": [ 2 ] + }, + "REFCLKN": { + "direction": "input", + "bits": [ 3 ] + }, + "REFCLKO": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "REFCLKN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:663.18-663.25" + } + }, + "REFCLKO": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:664.9-664.16" + } + }, + "REFCLKP": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:663.9-663.16" + } + } + } + }, + "FD1P3AX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:2.1-2.261" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "SP": { + "direction": "input", + "bits": [ 3 ] + }, + "CK": { + "direction": "input", + "bits": [ 4 ] + }, + "Q": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:2.33-2.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:2.26-2.27" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:2.44-2.45" + } + }, + "SP": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:2.29-2.31" + } + } + } + }, + "FD1P3AY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:3.1-3.261" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "SP": { + "direction": "input", + "bits": [ 3 ] + }, + "CK": { + "direction": "input", + "bits": [ 4 ] + }, + "Q": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:3.33-3.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:3.26-3.27" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:3.44-3.45" + } + }, + "SP": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:3.29-3.31" + } + } + } + }, + "FD1P3BX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:4.1-4.261" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "PD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "SP": { + "direction": "input", + "bits": [ 4 ] + }, + "CK": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:4.33-4.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:4.26-4.27" + } + }, + "PD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:4.22-4.24" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:4.44-4.45" + } + }, + "SP": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:4.29-4.31" + } + } + } + }, + "FD1P3DX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:5.1-5.261" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "CD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "SP": { + "direction": "input", + "bits": [ 4 ] + }, + "CK": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:5.22-5.24" + } + }, + "CK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:5.33-5.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:5.26-5.27" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:5.44-5.45" + } + }, + "SP": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:5.29-5.31" + } + } + } + }, + "FD1P3IX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:6.1-6.261" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "CD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "SP": { + "direction": "input", + "bits": [ 4 ] + }, + "CK": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:6.22-6.24" + } + }, + "CK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:6.33-6.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:6.26-6.27" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:6.44-6.45" + } + }, + "SP": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:6.29-6.31" + } + } + } + }, + "FD1P3JX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:7.1-7.261" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "PD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "SP": { + "direction": "input", + "bits": [ 4 ] + }, + "CK": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:7.33-7.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:7.26-7.27" + } + }, + "PD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:7.22-7.24" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:7.44-7.45" + } + }, + "SP": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:7.29-7.31" + } + } + } + }, + "FD1S3AX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:8.1-8.261" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "CK": { + "direction": "input", + "bits": [ 3 ] + }, + "Q": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:8.33-8.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:8.26-8.27" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:8.44-8.45" + } + } + } + }, + "FD1S3AY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:9.1-9.261" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "CK": { + "direction": "input", + "bits": [ 3 ] + }, + "Q": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:9.33-9.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:9.26-9.27" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:9.44-9.45" + } + } + } + }, + "FD1S3BX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:10.1-10.261" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "PD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CK": { + "direction": "input", + "bits": [ 4 ] + }, + "Q": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:10.33-10.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:10.26-10.27" + } + }, + "PD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:10.22-10.24" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:10.44-10.45" + } + } + } + }, + "FD1S3DX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:11.1-11.261" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "CD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CK": { + "direction": "input", + "bits": [ 4 ] + }, + "Q": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:11.22-11.24" + } + }, + "CK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:11.33-11.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:11.26-11.27" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:11.44-11.45" + } + } + } + }, + "FD1S3IX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:12.1-12.261" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "CD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CK": { + "direction": "input", + "bits": [ 4 ] + }, + "Q": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:12.22-12.24" + } + }, + "CK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:12.33-12.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:12.26-12.27" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:12.44-12.45" + } + } + } + }, + "FD1S3JX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:13.1-13.261" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "PD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CK": { + "direction": "input", + "bits": [ 4 ] + }, + "Q": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:13.33-13.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:13.26-13.27" + } + }, + "PD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:13.22-13.24" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:13.44-13.45" + } + } + } + }, + "GSR": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:688.1-691.10" + }, + "ports": { + "GSR": { + "direction": "input", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "GSR": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:689.8-689.11" + } + } + } + }, + "IB": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:2.1-2.132" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:2.20-2.21" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:2.34-2.35" + } + } + } + }, + "IBPD": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:4.1-4.132" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:4.20-4.21" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:4.34-4.35" + } + } + } + }, + "IBPU": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:3.1-3.132" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:3.20-3.21" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:3.34-3.35" + } + } + } + }, + "IDDR71B": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:211.1-216.10" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 3 ] + }, + "ECLK": { + "direction": "input", + "bits": [ 4 ] + }, + "RST": { + "direction": "input", + "bits": [ 5 ] + }, + "ALIGNWD": { + "direction": "input", + "bits": [ 6 ] + }, + "Q0": { + "direction": "output", + "bits": [ 7 ] + }, + "Q1": { + "direction": "output", + "bits": [ 8 ] + }, + "Q2": { + "direction": "output", + "bits": [ 9 ] + }, + "Q3": { + "direction": "output", + "bits": [ 10 ] + }, + "Q4": { + "direction": "output", + "bits": [ 11 ] + }, + "Q5": { + "direction": "output", + "bits": [ 12 ] + }, + "Q6": { + "direction": "output", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "ALIGNWD": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212.28-212.35" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212.8-212.9" + } + }, + "ECLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212.17-212.21" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213.9-213.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213.13-213.15" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213.17-213.19" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213.21-213.23" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213.25-213.27" + } + }, + "Q5": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213.29-213.31" + } + }, + "Q6": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213.33-213.35" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212.23-212.26" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212.11-212.15" + } + } + } + }, + "IDDRX1F": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:195.1-200.10" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 3 ] + }, + "RST": { + "direction": "input", + "bits": [ 4 ] + }, + "Q0": { + "direction": "output", + "bits": [ 5 ] + }, + "Q1": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:196.8-196.9" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:197.9-197.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:197.13-197.15" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:196.17-196.20" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:196.11-196.15" + } + } + } + }, + "IDDRX2DQA": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:219.1-225.10" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "DQSR90": { + "direction": "input", + "bits": [ 3 ] + }, + "ECLK": { + "direction": "input", + "bits": [ 4 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "RST": { + "direction": "input", + "bits": [ 6 ] + }, + "RDPNTR2": { + "direction": "input", + "bits": [ 7 ] + }, + "RDPNTR1": { + "direction": "input", + "bits": [ 8 ] + }, + "RDPNTR0": { + "direction": "input", + "bits": [ 9 ] + }, + "WRPNTR2": { + "direction": "input", + "bits": [ 10 ] + }, + "WRPNTR1": { + "direction": "input", + "bits": [ 11 ] + }, + "WRPNTR0": { + "direction": "input", + "bits": [ 12 ] + }, + "Q0": { + "direction": "output", + "bits": [ 13 ] + }, + "Q1": { + "direction": "output", + "bits": [ 14 ] + }, + "Q2": { + "direction": "output", + "bits": [ 15 ] + }, + "Q3": { + "direction": "output", + "bits": [ 16 ] + }, + "QWL": { + "direction": "output", + "bits": [ 17 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220.8-220.9" + } + }, + "DQSR90": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220.11-220.17" + } + }, + "ECLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220.19-220.23" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222.9-222.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222.13-222.15" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222.17-222.19" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222.21-222.23" + } + }, + "QWL": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222.25-222.28" + } + }, + "RDPNTR0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221.26-221.33" + } + }, + "RDPNTR1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221.17-221.24" + } + }, + "RDPNTR2": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221.8-221.15" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220.31-220.34" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220.25-220.29" + } + }, + "WRPNTR0": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221.53-221.60" + } + }, + "WRPNTR1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221.44-221.51" + } + }, + "WRPNTR2": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221.35-221.42" + } + } + } + }, + "IDDRX2F": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:203.1-208.10" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 3 ] + }, + "ECLK": { + "direction": "input", + "bits": [ 4 ] + }, + "RST": { + "direction": "input", + "bits": [ 5 ] + }, + "Q0": { + "direction": "output", + "bits": [ 6 ] + }, + "Q1": { + "direction": "output", + "bits": [ 7 ] + }, + "Q2": { + "direction": "output", + "bits": [ 8 ] + }, + "Q3": { + "direction": "output", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204.8-204.9" + } + }, + "ECLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204.17-204.21" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205.9-205.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205.13-205.15" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205.17-205.19" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205.21-205.23" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204.23-204.26" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204.11-204.15" + } + } + } + }, + "IFS1P3BX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:26.1-26.301" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "PD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "SP": { + "direction": "input", + "bits": [ 4 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:26.27-26.28" + } + }, + "PD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:26.23-26.25" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:26.47-26.48" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:26.34-26.38" + } + }, + "SP": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:26.30-26.32" + } + } + } + }, + "IFS1P3DX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:27.1-27.301" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "CD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "SP": { + "direction": "input", + "bits": [ 4 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:27.23-27.25" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:27.27-27.28" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:27.47-27.48" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:27.34-27.38" + } + }, + "SP": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:27.30-27.32" + } + } + } + }, + "IFS1P3IX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:28.1-28.301" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "CD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "SP": { + "direction": "input", + "bits": [ 4 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:28.23-28.25" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:28.27-28.28" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:28.47-28.48" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:28.34-28.38" + } + }, + "SP": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:28.30-28.32" + } + } + } + }, + "IFS1P3JX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:29.1-29.301" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "PD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "SP": { + "direction": "input", + "bits": [ 4 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:29.27-29.28" + } + }, + "PD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:29.23-29.25" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:29.47-29.48" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:29.34-29.38" + } + }, + "SP": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:29.30-29.32" + } + } + } + }, + "ILVDS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:13.1-13.114" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2 ] + }, + "AN": { + "direction": "input", + "bits": [ 3 ] + }, + "Z": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:13.20-13.21" + } + }, + "AN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:13.23-13.25" + } + }, + "Z": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:13.34-13.35" + } + } + } + }, + "INV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:422.1-424.10" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2 ] + }, + "Z": { + "direction": "output", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:422.18-422.19" + } + }, + "Z": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:422.28-422.29" + } + } + } + }, + "JTAGG": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:167.1-174.10" + }, + "parameter_default_values": { + "ER1": "ENABLED", + "ER2": "ENABLED" + }, + "ports": { + "TCK": { + "direction": "input", + "bits": [ 2 ] + }, + "TMS": { + "direction": "input", + "bits": [ 3 ] + }, + "TDI": { + "direction": "input", + "bits": [ 4 ] + }, + "JTDO2": { + "direction": "input", + "bits": [ 5 ] + }, + "JTDO1": { + "direction": "input", + "bits": [ 6 ] + }, + "TDO": { + "direction": "output", + "bits": [ 7 ] + }, + "JTDI": { + "direction": "output", + "bits": [ 8 ] + }, + "JTCK": { + "direction": "output", + "bits": [ 9 ] + }, + "JRTI2": { + "direction": "output", + "bits": [ 10 ] + }, + "JRTI1": { + "direction": "output", + "bits": [ 11 ] + }, + "JSHIFT": { + "direction": "output", + "bits": [ 12 ] + }, + "JUPDATE": { + "direction": "output", + "bits": [ 13 ] + }, + "JRSTN": { + "direction": "output", + "bits": [ 14 ] + }, + "JCE2": { + "direction": "output", + "bits": [ 15 ] + }, + "JCE1": { + "direction": "output", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "JCE1": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:170.39-170.43" + } + }, + "JCE2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:170.33-170.37" + } + }, + "JRSTN": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:170.26-170.31" + } + }, + "JRTI1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:169.33-169.38" + } + }, + "JRTI2": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:169.26-169.31" + } + }, + "JSHIFT": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:170.9-170.15" + } + }, + "JTCK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:169.20-169.24" + } + }, + "JTDI": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:169.14-169.18" + } + }, + "JTDO1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:168.30-168.35" + } + }, + "JTDO2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:168.23-168.28" + } + }, + "JUPDATE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:170.17-170.24" + } + }, + "TCK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:168.8-168.11" + } + }, + "TDI": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:168.18-168.21" + } + }, + "TDO": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:169.9-169.12" + } + }, + "TMS": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:168.13-168.16" + } + } + } + }, + "L6MUX21": { + "attributes": { + "abc9_box": "00000000000000000000000000000001", + "whitebox": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:65.1-72.10" + }, + "ports": { + "D0": { + "direction": "input", + "bits": [ 2 ] + }, + "D1": { + "direction": "input", + "bits": [ 3 ] + }, + "SD": { + "direction": "input", + "bits": [ 4 ] + }, + "Z": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$1290": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010001100", + "T_FALL_MIN": "00000000000000000000000010001100", + "T_FALL_TYP": "00000000000000000000000010001100", + "T_RISE_MAX": "00000000000000000000000010001100", + "T_RISE_MIN": "00000000000000000000000010001100", + "T_RISE_TYP": "00000000000000000000000010001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:68.3-68.19" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 5 ], + "EN": [ "1" ], + "SRC": [ 2 ] + } + }, + "$specify$1291": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010001101", + "T_FALL_MIN": "00000000000000000000000010001101", + "T_FALL_TYP": "00000000000000000000000010001101", + "T_RISE_MAX": "00000000000000000000000010001101", + "T_RISE_MIN": "00000000000000000000000010001101", + "T_RISE_TYP": "00000000000000000000000010001101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:69.3-69.19" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 5 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$1292": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010010100", + "T_FALL_MIN": "00000000000000000000000010010100", + "T_FALL_TYP": "00000000000000000000000010010100", + "T_RISE_MAX": "00000000000000000000000010010100", + "T_RISE_MIN": "00000000000000000000000010010100", + "T_RISE_TYP": "00000000000000000000000010010100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:70.3-70.19" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 5 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:66$1335": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:66.13-66.25" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ 2 ], + "B": [ 3 ], + "S": [ 4 ], + "Y": [ 5 ] + } + } + }, + "netnames": { + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:66$1335_Y": { + "hide_name": 1, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:66.13-66.25" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:65.23-65.25" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:65.27-65.29" + } + }, + "SD": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:65.31-65.33" + } + }, + "Z": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:65.42-65.43" + } + } + } + }, + "LUT2": { + "attributes": { + "whitebox": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:300.1-304.10" + }, + "parameter_default_values": { + "INIT": "0000" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2 ] + }, + "B": { + "direction": "input", + "bits": [ 3 ] + }, + "Z": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:302$1492": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000000010" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:302.21-302.55" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ "0", "0" ], + "B": [ "0", "0" ], + "S": [ 3 ], + "Y": [ 5, 6 ] + } + }, + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:303$1493": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:303.21-303.55" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ 5 ], + "B": [ 6 ], + "S": [ 2 ], + "Y": [ 4 ] + } + } + }, + "netnames": { + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:302$1492_Y": { + "hide_name": 1, + "bits": [ 5, 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:302.21-302.55" + } + }, + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:303$1493_Y": { + "hide_name": 1, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:303.21-303.55" + } + }, + "A": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:300.19-300.20" + } + }, + "B": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:300.22-300.23" + } + }, + "Z": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:300.32-300.33" + } + }, + "s1": { + "hide_name": 0, + "bits": [ 5, 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:302.16-302.18" + } + } + } + }, + "LUT4": { + "attributes": { + "abc9_lut": "00000000000000000000000000000001", + "whitebox": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:4.1-16.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2 ] + }, + "B": { + "direction": "input", + "bits": [ 3 ] + }, + "C": { + "direction": "input", + "bits": [ 4 ] + }, + "D": { + "direction": "input", + "bits": [ 5 ] + }, + "Z": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + "$specify$1268": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010001101", + "T_FALL_MIN": "00000000000000000000000010001101", + "T_FALL_TYP": "00000000000000000000000010001101", + "T_RISE_MAX": "00000000000000000000000010001101", + "T_RISE_MIN": "00000000000000000000000010001101", + "T_RISE_TYP": "00000000000000000000000010001101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:11.9-11.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 6 ], + "EN": [ "1" ], + "SRC": [ 2 ] + } + }, + "$specify$1269": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000100010011", + "T_FALL_MIN": "00000000000000000000000100010011", + "T_FALL_TYP": "00000000000000000000000100010011", + "T_RISE_MAX": "00000000000000000000000100010011", + "T_RISE_MIN": "00000000000000000000000100010011", + "T_RISE_TYP": "00000000000000000000000100010011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:12.9-12.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 6 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$1270": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000101111011", + "T_FALL_MIN": "00000000000000000000000101111011", + "T_FALL_TYP": "00000000000000000000000101111011", + "T_RISE_MAX": "00000000000000000000000101111011", + "T_RISE_MIN": "00000000000000000000000101111011", + "T_RISE_TYP": "00000000000000000000000101111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:13.9-13.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 6 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$1271": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000101111011", + "T_FALL_MIN": "00000000000000000000000101111011", + "T_FALL_TYP": "00000000000000000000000101111011", + "T_RISE_MAX": "00000000000000000000000101111011", + "T_RISE_MIN": "00000000000000000000000101111011", + "T_RISE_TYP": "00000000000000000000000101111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:14.9-14.24" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 6 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:6$1331": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000001000" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:6.21-6.55" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ "0", "0", "0", "0", "0", "0", "0", "0" ], + "B": [ "0", "0", "0", "0", "0", "0", "0", "0" ], + "S": [ 5 ], + "Y": [ 7, 8, 9, 10, 11, 12, 13, 14 ] + } + }, + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:7$1332": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:7.21-7.55" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ 7, 8, 9, 10 ], + "B": [ 11, 12, 13, 14 ], + "S": [ 4 ], + "Y": [ 15, 16, 17, 18 ] + } + }, + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:8$1333": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000000010" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:8.21-8.55" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ 15, 16 ], + "B": [ 17, 18 ], + "S": [ 3 ], + "Y": [ 19, 20 ] + } + }, + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:9$1334": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:9.21-9.55" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ 19 ], + "B": [ 20 ], + "S": [ 2 ], + "Y": [ 6 ] + } + } + }, + "netnames": { + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:6$1331_Y": { + "hide_name": 1, + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:6.21-6.55" + } + }, + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:7$1332_Y": { + "hide_name": 1, + "bits": [ 15, 16, 17, 18 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:7.21-7.55" + } + }, + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:8$1333_Y": { + "hide_name": 1, + "bits": [ 19, 20 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:8.21-8.55" + } + }, + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:9$1334_Y": { + "hide_name": 1, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:9.21-9.55" + } + }, + "A": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:4.19-4.20" + } + }, + "B": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:4.22-4.23" + } + }, + "C": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:4.25-4.26" + } + }, + "D": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:4.28-4.29" + } + }, + "Z": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:4.38-4.39" + } + }, + "s1": { + "hide_name": 0, + "bits": [ 19, 20 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:8.16-8.18" + } + }, + "s2": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:7.16-7.18" + } + }, + "s3": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:6.16-6.18" + } + } + } + }, + "MULT18X18D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:5.1-41.10" + }, + "parameter_default_values": { + "CLK0_DIV": "00000000000000000000000000000000000000000000000000000000000000000000000001000101010011100100000101000010010011000100010101000100", + "CLK1_DIV": "00000000000000000000000000000000000000000000000000000000000000000000000001000101010011100100000101000010010011000100010101000100", + "CLK2_DIV": "00000000000000000000000000000000000000000000000000000000000000000000000001000101010011100100000101000010010011000100010101000100", + "CLK3_DIV": "00000000000000000000000000000000000000000000000000000000000000000000000001000101010011100100000101000010010011000100010101000100", + "GSR": "00000000000000000000000000000000000000000000000000000000000000000000000001000101010011100100000101000010010011000100010101000100", + "REG_INPUTA_CE": "CE0", + "REG_INPUTA_CLK": "NONE", + "REG_INPUTA_RST": "RST0", + "REG_INPUTB_CE": "CE0", + "REG_INPUTB_CLK": "NONE", + "REG_INPUTB_RST": "RST0", + "REG_INPUTC_CLK": "NONE", + "REG_OUTPUT_CLK": "NONE", + "REG_PIPELINE_CE": "CE0", + "REG_PIPELINE_CLK": "NONE", + "REG_PIPELINE_RST": "RST0", + "RESETMODE": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011010110010100111001000011", + "SOURCEB_MODE": "00000000000000000000000000000000000000000000000000000000000000000000000001000010010111110101001101001000010010010100011001010100" + }, + "ports": { + "A0": { + "direction": "input", + "bits": [ 2 ] + }, + "A1": { + "direction": "input", + "bits": [ 3 ] + }, + "A2": { + "direction": "input", + "bits": [ 4 ] + }, + "A3": { + "direction": "input", + "bits": [ 5 ] + }, + "A4": { + "direction": "input", + "bits": [ 6 ] + }, + "A5": { + "direction": "input", + "bits": [ 7 ] + }, + "A6": { + "direction": "input", + "bits": [ 8 ] + }, + "A7": { + "direction": "input", + "bits": [ 9 ] + }, + "A8": { + "direction": "input", + "bits": [ 10 ] + }, + "A9": { + "direction": "input", + "bits": [ 11 ] + }, + "A10": { + "direction": "input", + "bits": [ 12 ] + }, + "A11": { + "direction": "input", + "bits": [ 13 ] + }, + "A12": { + "direction": "input", + "bits": [ 14 ] + }, + "A13": { + "direction": "input", + "bits": [ 15 ] + }, + "A14": { + "direction": "input", + "bits": [ 16 ] + }, + "A15": { + "direction": "input", + "bits": [ 17 ] + }, + "A16": { + "direction": "input", + "bits": [ 18 ] + }, + "A17": { + "direction": "input", + "bits": [ 19 ] + }, + "B0": { + "direction": "input", + "bits": [ 20 ] + }, + "B1": { + "direction": "input", + "bits": [ 21 ] + }, + "B2": { + "direction": "input", + "bits": [ 22 ] + }, + "B3": { + "direction": "input", + "bits": [ 23 ] + }, + "B4": { + "direction": "input", + "bits": [ 24 ] + }, + "B5": { + "direction": "input", + "bits": [ 25 ] + }, + "B6": { + "direction": "input", + "bits": [ 26 ] + }, + "B7": { + "direction": "input", + "bits": [ 27 ] + }, + "B8": { + "direction": "input", + "bits": [ 28 ] + }, + "B9": { + "direction": "input", + "bits": [ 29 ] + }, + "B10": { + "direction": "input", + "bits": [ 30 ] + }, + "B11": { + "direction": "input", + "bits": [ 31 ] + }, + "B12": { + "direction": "input", + "bits": [ 32 ] + }, + "B13": { + "direction": "input", + "bits": [ 33 ] + }, + "B14": { + "direction": "input", + "bits": [ 34 ] + }, + "B15": { + "direction": "input", + "bits": [ 35 ] + }, + "B16": { + "direction": "input", + "bits": [ 36 ] + }, + "B17": { + "direction": "input", + "bits": [ 37 ] + }, + "C0": { + "direction": "input", + "bits": [ 38 ] + }, + "C1": { + "direction": "input", + "bits": [ 39 ] + }, + "C2": { + "direction": "input", + "bits": [ 40 ] + }, + "C3": { + "direction": "input", + "bits": [ 41 ] + }, + "C4": { + "direction": "input", + "bits": [ 42 ] + }, + "C5": { + "direction": "input", + "bits": [ 43 ] + }, + "C6": { + "direction": "input", + "bits": [ 44 ] + }, + "C7": { + "direction": "input", + "bits": [ 45 ] + }, + "C8": { + "direction": "input", + "bits": [ 46 ] + }, + "C9": { + "direction": "input", + "bits": [ 47 ] + }, + "C10": { + "direction": "input", + "bits": [ 48 ] + }, + "C11": { + "direction": "input", + "bits": [ 49 ] + }, + "C12": { + "direction": "input", + "bits": [ 50 ] + }, + "C13": { + "direction": "input", + "bits": [ 51 ] + }, + "C14": { + "direction": "input", + "bits": [ 52 ] + }, + "C15": { + "direction": "input", + "bits": [ 53 ] + }, + "C16": { + "direction": "input", + "bits": [ 54 ] + }, + "C17": { + "direction": "input", + "bits": [ 55 ] + }, + "SIGNEDA": { + "direction": "input", + "bits": [ 56 ] + }, + "SIGNEDB": { + "direction": "input", + "bits": [ 57 ] + }, + "SOURCEA": { + "direction": "input", + "bits": [ 58 ] + }, + "SOURCEB": { + "direction": "input", + "bits": [ 59 ] + }, + "CLK0": { + "direction": "input", + "bits": [ 60 ] + }, + "CLK1": { + "direction": "input", + "bits": [ 61 ] + }, + "CLK2": { + "direction": "input", + "bits": [ 62 ] + }, + "CLK3": { + "direction": "input", + "bits": [ 63 ] + }, + "CE0": { + "direction": "input", + "bits": [ 64 ] + }, + "CE1": { + "direction": "input", + "bits": [ 65 ] + }, + "CE2": { + "direction": "input", + "bits": [ 66 ] + }, + "CE3": { + "direction": "input", + "bits": [ 67 ] + }, + "RST0": { + "direction": "input", + "bits": [ 68 ] + }, + "RST1": { + "direction": "input", + "bits": [ 69 ] + }, + "RST2": { + "direction": "input", + "bits": [ 70 ] + }, + "RST3": { + "direction": "input", + "bits": [ 71 ] + }, + "SRIA0": { + "direction": "input", + "bits": [ 72 ] + }, + "SRIA1": { + "direction": "input", + "bits": [ 73 ] + }, + "SRIA2": { + "direction": "input", + "bits": [ 74 ] + }, + "SRIA3": { + "direction": "input", + "bits": [ 75 ] + }, + "SRIA4": { + "direction": "input", + "bits": [ 76 ] + }, + "SRIA5": { + "direction": "input", + "bits": [ 77 ] + }, + "SRIA6": { + "direction": "input", + "bits": [ 78 ] + }, + "SRIA7": { + "direction": "input", + "bits": [ 79 ] + }, + "SRIA8": { + "direction": "input", + "bits": [ 80 ] + }, + "SRIA9": { + "direction": "input", + "bits": [ 81 ] + }, + "SRIA10": { + "direction": "input", + "bits": [ 82 ] + }, + "SRIA11": { + "direction": "input", + "bits": [ 83 ] + }, + "SRIA12": { + "direction": "input", + "bits": [ 84 ] + }, + "SRIA13": { + "direction": "input", + "bits": [ 85 ] + }, + "SRIA14": { + "direction": "input", + "bits": [ 86 ] + }, + "SRIA15": { + "direction": "input", + "bits": [ 87 ] + }, + "SRIA16": { + "direction": "input", + "bits": [ 88 ] + }, + "SRIA17": { + "direction": "input", + "bits": [ 89 ] + }, + "SRIB0": { + "direction": "input", + "bits": [ 90 ] + }, + "SRIB1": { + "direction": "input", + "bits": [ 91 ] + }, + "SRIB2": { + "direction": "input", + "bits": [ 92 ] + }, + "SRIB3": { + "direction": "input", + "bits": [ 93 ] + }, + "SRIB4": { + "direction": "input", + "bits": [ 94 ] + }, + "SRIB5": { + "direction": "input", + "bits": [ 95 ] + }, + "SRIB6": { + "direction": "input", + "bits": [ 96 ] + }, + "SRIB7": { + "direction": "input", + "bits": [ 97 ] + }, + "SRIB8": { + "direction": "input", + "bits": [ 98 ] + }, + "SRIB9": { + "direction": "input", + "bits": [ 99 ] + }, + "SRIB10": { + "direction": "input", + "bits": [ 100 ] + }, + "SRIB11": { + "direction": "input", + "bits": [ 101 ] + }, + "SRIB12": { + "direction": "input", + "bits": [ 102 ] + }, + "SRIB13": { + "direction": "input", + "bits": [ 103 ] + }, + "SRIB14": { + "direction": "input", + "bits": [ 104 ] + }, + "SRIB15": { + "direction": "input", + "bits": [ 105 ] + }, + "SRIB16": { + "direction": "input", + "bits": [ 106 ] + }, + "SRIB17": { + "direction": "input", + "bits": [ 107 ] + }, + "SROA0": { + "direction": "output", + "bits": [ 108 ] + }, + "SROA1": { + "direction": "output", + "bits": [ 109 ] + }, + "SROA2": { + "direction": "output", + "bits": [ 110 ] + }, + "SROA3": { + "direction": "output", + "bits": [ 111 ] + }, + "SROA4": { + "direction": "output", + "bits": [ 112 ] + }, + "SROA5": { + "direction": "output", + "bits": [ 113 ] + }, + "SROA6": { + "direction": "output", + "bits": [ 114 ] + }, + "SROA7": { + "direction": "output", + "bits": [ 115 ] + }, + "SROA8": { + "direction": "output", + "bits": [ 116 ] + }, + "SROA9": { + "direction": "output", + "bits": [ 117 ] + }, + "SROA10": { + "direction": "output", + "bits": [ 118 ] + }, + "SROA11": { + "direction": "output", + "bits": [ 119 ] + }, + "SROA12": { + "direction": "output", + "bits": [ 120 ] + }, + "SROA13": { + "direction": "output", + "bits": [ 121 ] + }, + "SROA14": { + "direction": "output", + "bits": [ 122 ] + }, + "SROA15": { + "direction": "output", + "bits": [ 123 ] + }, + "SROA16": { + "direction": "output", + "bits": [ 124 ] + }, + "SROA17": { + "direction": "output", + "bits": [ 125 ] + }, + "SROB0": { + "direction": "output", + "bits": [ 126 ] + }, + "SROB1": { + "direction": "output", + "bits": [ 127 ] + }, + "SROB2": { + "direction": "output", + "bits": [ 128 ] + }, + "SROB3": { + "direction": "output", + "bits": [ 129 ] + }, + "SROB4": { + "direction": "output", + "bits": [ 130 ] + }, + "SROB5": { + "direction": "output", + "bits": [ 131 ] + }, + "SROB6": { + "direction": "output", + "bits": [ 132 ] + }, + "SROB7": { + "direction": "output", + "bits": [ 133 ] + }, + "SROB8": { + "direction": "output", + "bits": [ 134 ] + }, + "SROB9": { + "direction": "output", + "bits": [ 135 ] + }, + "SROB10": { + "direction": "output", + "bits": [ 136 ] + }, + "SROB11": { + "direction": "output", + "bits": [ 137 ] + }, + "SROB12": { + "direction": "output", + "bits": [ 138 ] + }, + "SROB13": { + "direction": "output", + "bits": [ 139 ] + }, + "SROB14": { + "direction": "output", + "bits": [ 140 ] + }, + "SROB15": { + "direction": "output", + "bits": [ 141 ] + }, + "SROB16": { + "direction": "output", + "bits": [ 142 ] + }, + "SROB17": { + "direction": "output", + "bits": [ 143 ] + }, + "ROA0": { + "direction": "output", + "bits": [ 144 ] + }, + "ROA1": { + "direction": "output", + "bits": [ 145 ] + }, + "ROA2": { + "direction": "output", + "bits": [ 146 ] + }, + "ROA3": { + "direction": "output", + "bits": [ 147 ] + }, + "ROA4": { + "direction": "output", + "bits": [ 148 ] + }, + "ROA5": { + "direction": "output", + "bits": [ 149 ] + }, + "ROA6": { + "direction": "output", + "bits": [ 150 ] + }, + "ROA7": { + "direction": "output", + "bits": [ 151 ] + }, + "ROA8": { + "direction": "output", + "bits": [ 152 ] + }, + "ROA9": { + "direction": "output", + "bits": [ 153 ] + }, + "ROA10": { + "direction": "output", + "bits": [ 154 ] + }, + "ROA11": { + "direction": "output", + "bits": [ 155 ] + }, + "ROA12": { + "direction": "output", + "bits": [ 156 ] + }, + "ROA13": { + "direction": "output", + "bits": [ 157 ] + }, + "ROA14": { + "direction": "output", + "bits": [ 158 ] + }, + "ROA15": { + "direction": "output", + "bits": [ 159 ] + }, + "ROA16": { + "direction": "output", + "bits": [ 160 ] + }, + "ROA17": { + "direction": "output", + "bits": [ 161 ] + }, + "ROB0": { + "direction": "output", + "bits": [ 162 ] + }, + "ROB1": { + "direction": "output", + "bits": [ 163 ] + }, + "ROB2": { + "direction": "output", + "bits": [ 164 ] + }, + "ROB3": { + "direction": "output", + "bits": [ 165 ] + }, + "ROB4": { + "direction": "output", + "bits": [ 166 ] + }, + "ROB5": { + "direction": "output", + "bits": [ 167 ] + }, + "ROB6": { + "direction": "output", + "bits": [ 168 ] + }, + "ROB7": { + "direction": "output", + "bits": [ 169 ] + }, + "ROB8": { + "direction": "output", + "bits": [ 170 ] + }, + "ROB9": { + "direction": "output", + "bits": [ 171 ] + }, + "ROB10": { + "direction": "output", + "bits": [ 172 ] + }, + "ROB11": { + "direction": "output", + "bits": [ 173 ] + }, + "ROB12": { + "direction": "output", + "bits": [ 174 ] + }, + "ROB13": { + "direction": "output", + "bits": [ 175 ] + }, + "ROB14": { + "direction": "output", + "bits": [ 176 ] + }, + "ROB15": { + "direction": "output", + "bits": [ 177 ] + }, + "ROB16": { + "direction": "output", + "bits": [ 178 ] + }, + "ROB17": { + "direction": "output", + "bits": [ 179 ] + }, + "ROC0": { + "direction": "output", + "bits": [ 180 ] + }, + "ROC1": { + "direction": "output", + "bits": [ 181 ] + }, + "ROC2": { + "direction": "output", + "bits": [ 182 ] + }, + "ROC3": { + "direction": "output", + "bits": [ 183 ] + }, + "ROC4": { + "direction": "output", + "bits": [ 184 ] + }, + "ROC5": { + "direction": "output", + "bits": [ 185 ] + }, + "ROC6": { + "direction": "output", + "bits": [ 186 ] + }, + "ROC7": { + "direction": "output", + "bits": [ 187 ] + }, + "ROC8": { + "direction": "output", + "bits": [ 188 ] + }, + "ROC9": { + "direction": "output", + "bits": [ 189 ] + }, + "ROC10": { + "direction": "output", + "bits": [ 190 ] + }, + "ROC11": { + "direction": "output", + "bits": [ 191 ] + }, + "ROC12": { + "direction": "output", + "bits": [ 192 ] + }, + "ROC13": { + "direction": "output", + "bits": [ 193 ] + }, + "ROC14": { + "direction": "output", + "bits": [ 194 ] + }, + "ROC15": { + "direction": "output", + "bits": [ 195 ] + }, + "ROC16": { + "direction": "output", + "bits": [ 196 ] + }, + "ROC17": { + "direction": "output", + "bits": [ 197 ] + }, + "P0": { + "direction": "output", + "bits": [ 198 ] + }, + "P1": { + "direction": "output", + "bits": [ 199 ] + }, + "P2": { + "direction": "output", + "bits": [ 200 ] + }, + "P3": { + "direction": "output", + "bits": [ 201 ] + }, + "P4": { + "direction": "output", + "bits": [ 202 ] + }, + "P5": { + "direction": "output", + "bits": [ 203 ] + }, + "P6": { + "direction": "output", + "bits": [ 204 ] + }, + "P7": { + "direction": "output", + "bits": [ 205 ] + }, + "P8": { + "direction": "output", + "bits": [ 206 ] + }, + "P9": { + "direction": "output", + "bits": [ 207 ] + }, + "P10": { + "direction": "output", + "bits": [ 208 ] + }, + "P11": { + "direction": "output", + "bits": [ 209 ] + }, + "P12": { + "direction": "output", + "bits": [ 210 ] + }, + "P13": { + "direction": "output", + "bits": [ 211 ] + }, + "P14": { + "direction": "output", + "bits": [ 212 ] + }, + "P15": { + "direction": "output", + "bits": [ 213 ] + }, + "P16": { + "direction": "output", + "bits": [ 214 ] + }, + "P17": { + "direction": "output", + "bits": [ 215 ] + }, + "P18": { + "direction": "output", + "bits": [ 216 ] + }, + "P19": { + "direction": "output", + "bits": [ 217 ] + }, + "P20": { + "direction": "output", + "bits": [ 218 ] + }, + "P21": { + "direction": "output", + "bits": [ 219 ] + }, + "P22": { + "direction": "output", + "bits": [ 220 ] + }, + "P23": { + "direction": "output", + "bits": [ 221 ] + }, + "P24": { + "direction": "output", + "bits": [ 222 ] + }, + "P25": { + "direction": "output", + "bits": [ 223 ] + }, + "P26": { + "direction": "output", + "bits": [ 224 ] + }, + "P27": { + "direction": "output", + "bits": [ 225 ] + }, + "P28": { + "direction": "output", + "bits": [ 226 ] + }, + "P29": { + "direction": "output", + "bits": [ 227 ] + }, + "P30": { + "direction": "output", + "bits": [ 228 ] + }, + "P31": { + "direction": "output", + "bits": [ 229 ] + }, + "P32": { + "direction": "output", + "bits": [ 230 ] + }, + "P33": { + "direction": "output", + "bits": [ 231 ] + }, + "P34": { + "direction": "output", + "bits": [ 232 ] + }, + "P35": { + "direction": "output", + "bits": [ 233 ] + }, + "SIGNEDP": { + "direction": "output", + "bits": [ 234 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.8-6.10" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.12-6.14" + } + }, + "A10": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.48-6.51" + } + }, + "A11": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.53-6.56" + } + }, + "A12": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.58-6.61" + } + }, + "A13": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.63-6.66" + } + }, + "A14": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.68-6.71" + } + }, + "A15": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.73-6.76" + } + }, + "A16": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.78-6.81" + } + }, + "A17": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.83-6.86" + } + }, + "A2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.16-6.18" + } + }, + "A3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.20-6.22" + } + }, + "A4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.24-6.26" + } + }, + "A5": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.28-6.30" + } + }, + "A6": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.32-6.34" + } + }, + "A7": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.36-6.38" + } + }, + "A8": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.40-6.42" + } + }, + "A9": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6.44-6.46" + } + }, + "B0": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.8-7.10" + } + }, + "B1": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.12-7.14" + } + }, + "B10": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.48-7.51" + } + }, + "B11": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.53-7.56" + } + }, + "B12": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.58-7.61" + } + }, + "B13": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.63-7.66" + } + }, + "B14": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.68-7.71" + } + }, + "B15": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.73-7.76" + } + }, + "B16": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.78-7.81" + } + }, + "B17": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.83-7.86" + } + }, + "B2": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.16-7.18" + } + }, + "B3": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.20-7.22" + } + }, + "B4": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.24-7.26" + } + }, + "B5": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.28-7.30" + } + }, + "B6": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.32-7.34" + } + }, + "B7": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.36-7.38" + } + }, + "B8": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.40-7.42" + } + }, + "B9": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7.44-7.46" + } + }, + "C0": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.8-8.10" + } + }, + "C1": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.12-8.14" + } + }, + "C10": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.48-8.51" + } + }, + "C11": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.53-8.56" + } + }, + "C12": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.58-8.61" + } + }, + "C13": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.63-8.66" + } + }, + "C14": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.68-8.71" + } + }, + "C15": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.73-8.76" + } + }, + "C16": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.78-8.81" + } + }, + "C17": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.83-8.86" + } + }, + "C2": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.16-8.18" + } + }, + "C3": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.20-8.22" + } + }, + "C4": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.24-8.26" + } + }, + "C5": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.28-8.30" + } + }, + "C6": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.32-8.34" + } + }, + "C7": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.36-8.38" + } + }, + "C8": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.40-8.42" + } + }, + "C9": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8.44-8.46" + } + }, + "CE0": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:11.8-11.11" + } + }, + "CE1": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:11.13-11.16" + } + }, + "CE2": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:11.18-11.21" + } + }, + "CE3": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:11.23-11.26" + } + }, + "CLK0": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:10.8-10.12" + } + }, + "CLK1": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:10.14-10.18" + } + }, + "CLK2": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:10.20-10.24" + } + }, + "CLK3": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:10.26-10.30" + } + }, + "P0": { + "hide_name": 0, + "bits": [ 198 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.9-20.11" + } + }, + "P1": { + "hide_name": 0, + "bits": [ 199 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.13-20.15" + } + }, + "P10": { + "hide_name": 0, + "bits": [ 208 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.49-20.52" + } + }, + "P11": { + "hide_name": 0, + "bits": [ 209 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.54-20.57" + } + }, + "P12": { + "hide_name": 0, + "bits": [ 210 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.59-20.62" + } + }, + "P13": { + "hide_name": 0, + "bits": [ 211 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.64-20.67" + } + }, + "P14": { + "hide_name": 0, + "bits": [ 212 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.69-20.72" + } + }, + "P15": { + "hide_name": 0, + "bits": [ 213 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.74-20.77" + } + }, + "P16": { + "hide_name": 0, + "bits": [ 214 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.79-20.82" + } + }, + "P17": { + "hide_name": 0, + "bits": [ 215 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.84-20.87" + } + }, + "P18": { + "hide_name": 0, + "bits": [ 216 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.89-20.92" + } + }, + "P19": { + "hide_name": 0, + "bits": [ 217 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.94-20.97" + } + }, + "P2": { + "hide_name": 0, + "bits": [ 200 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.17-20.19" + } + }, + "P20": { + "hide_name": 0, + "bits": [ 218 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.99-20.102" + } + }, + "P21": { + "hide_name": 0, + "bits": [ 219 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.104-20.107" + } + }, + "P22": { + "hide_name": 0, + "bits": [ 220 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.109-20.112" + } + }, + "P23": { + "hide_name": 0, + "bits": [ 221 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.114-20.117" + } + }, + "P24": { + "hide_name": 0, + "bits": [ 222 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.119-20.122" + } + }, + "P25": { + "hide_name": 0, + "bits": [ 223 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.124-20.127" + } + }, + "P26": { + "hide_name": 0, + "bits": [ 224 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.129-20.132" + } + }, + "P27": { + "hide_name": 0, + "bits": [ 225 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.134-20.137" + } + }, + "P28": { + "hide_name": 0, + "bits": [ 226 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.139-20.142" + } + }, + "P29": { + "hide_name": 0, + "bits": [ 227 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.144-20.147" + } + }, + "P3": { + "hide_name": 0, + "bits": [ 201 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.21-20.23" + } + }, + "P30": { + "hide_name": 0, + "bits": [ 228 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.149-20.152" + } + }, + "P31": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.154-20.157" + } + }, + "P32": { + "hide_name": 0, + "bits": [ 230 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.159-20.162" + } + }, + "P33": { + "hide_name": 0, + "bits": [ 231 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.164-20.167" + } + }, + "P34": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.169-20.172" + } + }, + "P35": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.174-20.177" + } + }, + "P4": { + "hide_name": 0, + "bits": [ 202 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.25-20.27" + } + }, + "P5": { + "hide_name": 0, + "bits": [ 203 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.29-20.31" + } + }, + "P6": { + "hide_name": 0, + "bits": [ 204 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.33-20.35" + } + }, + "P7": { + "hide_name": 0, + "bits": [ 205 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.37-20.39" + } + }, + "P8": { + "hide_name": 0, + "bits": [ 206 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.41-20.43" + } + }, + "P9": { + "hide_name": 0, + "bits": [ 207 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20.45-20.47" + } + }, + "ROA0": { + "hide_name": 0, + "bits": [ 144 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.9-17.13" + } + }, + "ROA1": { + "hide_name": 0, + "bits": [ 145 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.15-17.19" + } + }, + "ROA10": { + "hide_name": 0, + "bits": [ 154 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.69-17.74" + } + }, + "ROA11": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.76-17.81" + } + }, + "ROA12": { + "hide_name": 0, + "bits": [ 156 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.83-17.88" + } + }, + "ROA13": { + "hide_name": 0, + "bits": [ 157 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.90-17.95" + } + }, + "ROA14": { + "hide_name": 0, + "bits": [ 158 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.97-17.102" + } + }, + "ROA15": { + "hide_name": 0, + "bits": [ 159 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.104-17.109" + } + }, + "ROA16": { + "hide_name": 0, + "bits": [ 160 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.111-17.116" + } + }, + "ROA17": { + "hide_name": 0, + "bits": [ 161 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.118-17.123" + } + }, + "ROA2": { + "hide_name": 0, + "bits": [ 146 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.21-17.25" + } + }, + "ROA3": { + "hide_name": 0, + "bits": [ 147 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.27-17.31" + } + }, + "ROA4": { + "hide_name": 0, + "bits": [ 148 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.33-17.37" + } + }, + "ROA5": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.39-17.43" + } + }, + "ROA6": { + "hide_name": 0, + "bits": [ 150 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.45-17.49" + } + }, + "ROA7": { + "hide_name": 0, + "bits": [ 151 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.51-17.55" + } + }, + "ROA8": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.57-17.61" + } + }, + "ROA9": { + "hide_name": 0, + "bits": [ 153 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17.63-17.67" + } + }, + "ROB0": { + "hide_name": 0, + "bits": [ 162 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.9-18.13" + } + }, + "ROB1": { + "hide_name": 0, + "bits": [ 163 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.15-18.19" + } + }, + "ROB10": { + "hide_name": 0, + "bits": [ 172 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.69-18.74" + } + }, + "ROB11": { + "hide_name": 0, + "bits": [ 173 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.76-18.81" + } + }, + "ROB12": { + "hide_name": 0, + "bits": [ 174 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.83-18.88" + } + }, + "ROB13": { + "hide_name": 0, + "bits": [ 175 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.90-18.95" + } + }, + "ROB14": { + "hide_name": 0, + "bits": [ 176 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.97-18.102" + } + }, + "ROB15": { + "hide_name": 0, + "bits": [ 177 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.104-18.109" + } + }, + "ROB16": { + "hide_name": 0, + "bits": [ 178 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.111-18.116" + } + }, + "ROB17": { + "hide_name": 0, + "bits": [ 179 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.118-18.123" + } + }, + "ROB2": { + "hide_name": 0, + "bits": [ 164 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.21-18.25" + } + }, + "ROB3": { + "hide_name": 0, + "bits": [ 165 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.27-18.31" + } + }, + "ROB4": { + "hide_name": 0, + "bits": [ 166 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.33-18.37" + } + }, + "ROB5": { + "hide_name": 0, + "bits": [ 167 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.39-18.43" + } + }, + "ROB6": { + "hide_name": 0, + "bits": [ 168 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.45-18.49" + } + }, + "ROB7": { + "hide_name": 0, + "bits": [ 169 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.51-18.55" + } + }, + "ROB8": { + "hide_name": 0, + "bits": [ 170 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.57-18.61" + } + }, + "ROB9": { + "hide_name": 0, + "bits": [ 171 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18.63-18.67" + } + }, + "ROC0": { + "hide_name": 0, + "bits": [ 180 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.9-19.13" + } + }, + "ROC1": { + "hide_name": 0, + "bits": [ 181 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.15-19.19" + } + }, + "ROC10": { + "hide_name": 0, + "bits": [ 190 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.69-19.74" + } + }, + "ROC11": { + "hide_name": 0, + "bits": [ 191 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.76-19.81" + } + }, + "ROC12": { + "hide_name": 0, + "bits": [ 192 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.83-19.88" + } + }, + "ROC13": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.90-19.95" + } + }, + "ROC14": { + "hide_name": 0, + "bits": [ 194 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.97-19.102" + } + }, + "ROC15": { + "hide_name": 0, + "bits": [ 195 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.104-19.109" + } + }, + "ROC16": { + "hide_name": 0, + "bits": [ 196 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.111-19.116" + } + }, + "ROC17": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.118-19.123" + } + }, + "ROC2": { + "hide_name": 0, + "bits": [ 182 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.21-19.25" + } + }, + "ROC3": { + "hide_name": 0, + "bits": [ 183 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.27-19.31" + } + }, + "ROC4": { + "hide_name": 0, + "bits": [ 184 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.33-19.37" + } + }, + "ROC5": { + "hide_name": 0, + "bits": [ 185 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.39-19.43" + } + }, + "ROC6": { + "hide_name": 0, + "bits": [ 186 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.45-19.49" + } + }, + "ROC7": { + "hide_name": 0, + "bits": [ 187 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.51-19.55" + } + }, + "ROC8": { + "hide_name": 0, + "bits": [ 188 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.57-19.61" + } + }, + "ROC9": { + "hide_name": 0, + "bits": [ 189 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19.63-19.67" + } + }, + "RST0": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:12.8-12.12" + } + }, + "RST1": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:12.14-12.18" + } + }, + "RST2": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:12.20-12.24" + } + }, + "RST3": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:12.26-12.30" + } + }, + "SIGNEDA": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:9.8-9.15" + } + }, + "SIGNEDB": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:9.17-9.24" + } + }, + "SIGNEDP": { + "hide_name": 0, + "bits": [ 234 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:21.9-21.16" + } + }, + "SOURCEA": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:9.26-9.33" + } + }, + "SOURCEB": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:9.35-9.42" + } + }, + "SRIA0": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.8-13.13" + } + }, + "SRIA1": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.15-13.20" + } + }, + "SRIA10": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.78-13.84" + } + }, + "SRIA11": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.86-13.92" + } + }, + "SRIA12": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.94-13.100" + } + }, + "SRIA13": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.102-13.108" + } + }, + "SRIA14": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.110-13.116" + } + }, + "SRIA15": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.118-13.124" + } + }, + "SRIA16": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.126-13.132" + } + }, + "SRIA17": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.134-13.140" + } + }, + "SRIA2": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.22-13.27" + } + }, + "SRIA3": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.29-13.34" + } + }, + "SRIA4": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.36-13.41" + } + }, + "SRIA5": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.43-13.48" + } + }, + "SRIA6": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.50-13.55" + } + }, + "SRIA7": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.57-13.62" + } + }, + "SRIA8": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.64-13.69" + } + }, + "SRIA9": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13.71-13.76" + } + }, + "SRIB0": { + "hide_name": 0, + "bits": [ 90 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.8-14.13" + } + }, + "SRIB1": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.15-14.20" + } + }, + "SRIB10": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.78-14.84" + } + }, + "SRIB11": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.86-14.92" + } + }, + "SRIB12": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.94-14.100" + } + }, + "SRIB13": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.102-14.108" + } + }, + "SRIB14": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.110-14.116" + } + }, + "SRIB15": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.118-14.124" + } + }, + "SRIB16": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.126-14.132" + } + }, + "SRIB17": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.134-14.140" + } + }, + "SRIB2": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.22-14.27" + } + }, + "SRIB3": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.29-14.34" + } + }, + "SRIB4": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.36-14.41" + } + }, + "SRIB5": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.43-14.48" + } + }, + "SRIB6": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.50-14.55" + } + }, + "SRIB7": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.57-14.62" + } + }, + "SRIB8": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.64-14.69" + } + }, + "SRIB9": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14.71-14.76" + } + }, + "SROA0": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.9-15.14" + } + }, + "SROA1": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.16-15.21" + } + }, + "SROA10": { + "hide_name": 0, + "bits": [ 118 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.79-15.85" + } + }, + "SROA11": { + "hide_name": 0, + "bits": [ 119 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.87-15.93" + } + }, + "SROA12": { + "hide_name": 0, + "bits": [ 120 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.95-15.101" + } + }, + "SROA13": { + "hide_name": 0, + "bits": [ 121 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.103-15.109" + } + }, + "SROA14": { + "hide_name": 0, + "bits": [ 122 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.111-15.117" + } + }, + "SROA15": { + "hide_name": 0, + "bits": [ 123 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.119-15.125" + } + }, + "SROA16": { + "hide_name": 0, + "bits": [ 124 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.127-15.133" + } + }, + "SROA17": { + "hide_name": 0, + "bits": [ 125 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.135-15.141" + } + }, + "SROA2": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.23-15.28" + } + }, + "SROA3": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.30-15.35" + } + }, + "SROA4": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.37-15.42" + } + }, + "SROA5": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.44-15.49" + } + }, + "SROA6": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.51-15.56" + } + }, + "SROA7": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.58-15.63" + } + }, + "SROA8": { + "hide_name": 0, + "bits": [ 116 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.65-15.70" + } + }, + "SROA9": { + "hide_name": 0, + "bits": [ 117 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15.72-15.77" + } + }, + "SROB0": { + "hide_name": 0, + "bits": [ 126 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.9-16.14" + } + }, + "SROB1": { + "hide_name": 0, + "bits": [ 127 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.16-16.21" + } + }, + "SROB10": { + "hide_name": 0, + "bits": [ 136 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.79-16.85" + } + }, + "SROB11": { + "hide_name": 0, + "bits": [ 137 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.87-16.93" + } + }, + "SROB12": { + "hide_name": 0, + "bits": [ 138 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.95-16.101" + } + }, + "SROB13": { + "hide_name": 0, + "bits": [ 139 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.103-16.109" + } + }, + "SROB14": { + "hide_name": 0, + "bits": [ 140 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.111-16.117" + } + }, + "SROB15": { + "hide_name": 0, + "bits": [ 141 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.119-16.125" + } + }, + "SROB16": { + "hide_name": 0, + "bits": [ 142 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.127-16.133" + } + }, + "SROB17": { + "hide_name": 0, + "bits": [ 143 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.135-16.141" + } + }, + "SROB2": { + "hide_name": 0, + "bits": [ 128 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.23-16.28" + } + }, + "SROB3": { + "hide_name": 0, + "bits": [ 129 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.30-16.35" + } + }, + "SROB4": { + "hide_name": 0, + "bits": [ 130 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.37-16.42" + } + }, + "SROB5": { + "hide_name": 0, + "bits": [ 131 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.44-16.49" + } + }, + "SROB6": { + "hide_name": 0, + "bits": [ 132 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.51-16.56" + } + }, + "SROB7": { + "hide_name": 0, + "bits": [ 133 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.58-16.63" + } + }, + "SROB8": { + "hide_name": 0, + "bits": [ 134 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.65-16.70" + } + }, + "SROB9": { + "hide_name": 0, + "bits": [ 135 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16.72-16.77" + } + } + } + }, + "OB": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:5.1-5.132" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:5.20-5.21" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:5.34-5.35" + } + } + } + }, + "OBCO": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:9.1-9.90" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "OT": { + "direction": "output", + "bits": [ 3 ] + }, + "OC": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:9.20-9.21" + } + }, + "OC": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:9.38-9.40" + } + }, + "OT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:9.34-9.36" + } + } + } + }, + "OBZ": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:6.1-6.139" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "T": { + "direction": "input", + "bits": [ 3 ] + }, + "O": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:6.20-6.21" + } + }, + "O": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:6.34-6.35" + } + }, + "T": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:6.23-6.24" + } + } + } + }, + "OBZPD": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:8.1-8.139" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "T": { + "direction": "input", + "bits": [ 3 ] + }, + "O": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:8.20-8.21" + } + }, + "O": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:8.34-8.35" + } + }, + "T": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:8.23-8.24" + } + } + } + }, + "OBZPU": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:7.1-7.139" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "T": { + "direction": "input", + "bits": [ 3 ] + }, + "O": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:7.20-7.21" + } + }, + "O": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:7.34-7.35" + } + }, + "T": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:7.23-7.24" + } + } + } + }, + "ODDR71B": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:244.1-249.10" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "SCLK": { + "direction": "input", + "bits": [ 2 ] + }, + "ECLK": { + "direction": "input", + "bits": [ 3 ] + }, + "RST": { + "direction": "input", + "bits": [ 4 ] + }, + "D0": { + "direction": "input", + "bits": [ 5 ] + }, + "D1": { + "direction": "input", + "bits": [ 6 ] + }, + "D2": { + "direction": "input", + "bits": [ 7 ] + }, + "D3": { + "direction": "input", + "bits": [ 8 ] + }, + "D4": { + "direction": "input", + "bits": [ 9 ] + }, + "D5": { + "direction": "input", + "bits": [ 10 ] + }, + "D6": { + "direction": "input", + "bits": [ 11 ] + }, + "Q": { + "direction": "output", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245.25-245.27" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245.29-245.31" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245.33-245.35" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245.37-245.39" + } + }, + "D4": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245.41-245.43" + } + }, + "D5": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245.45-245.47" + } + }, + "D6": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245.49-245.51" + } + }, + "ECLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245.14-245.18" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:246.9-246.10" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245.20-245.23" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245.8-245.12" + } + } + } + }, + "ODDRX1F": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:228.1-233.10" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "SCLK": { + "direction": "input", + "bits": [ 2 ] + }, + "RST": { + "direction": "input", + "bits": [ 3 ] + }, + "D0": { + "direction": "input", + "bits": [ 4 ] + }, + "D1": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:229.19-229.21" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:229.23-229.25" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:230.9-230.10" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:229.14-229.17" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:229.8-229.12" + } + } + } + }, + "ODDRX2DQA": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:260.1-265.10" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "D0": { + "direction": "input", + "bits": [ 2 ] + }, + "D1": { + "direction": "input", + "bits": [ 3 ] + }, + "D2": { + "direction": "input", + "bits": [ 4 ] + }, + "D3": { + "direction": "input", + "bits": [ 5 ] + }, + "RST": { + "direction": "input", + "bits": [ 6 ] + }, + "ECLK": { + "direction": "input", + "bits": [ 7 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 8 ] + }, + "DQSW270": { + "direction": "input", + "bits": [ 9 ] + }, + "Q": { + "direction": "output", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261.8-261.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261.12-261.14" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261.16-261.18" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261.20-261.22" + } + }, + "DQSW270": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261.41-261.48" + } + }, + "ECLK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261.29-261.33" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:262.9-262.10" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261.24-261.27" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261.35-261.39" + } + } + } + }, + "ODDRX2DQSB": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:268.1-273.10" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "D0": { + "direction": "input", + "bits": [ 2 ] + }, + "D1": { + "direction": "input", + "bits": [ 3 ] + }, + "D2": { + "direction": "input", + "bits": [ 4 ] + }, + "D3": { + "direction": "input", + "bits": [ 5 ] + }, + "RST": { + "direction": "input", + "bits": [ 6 ] + }, + "ECLK": { + "direction": "input", + "bits": [ 7 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 8 ] + }, + "DQSW": { + "direction": "input", + "bits": [ 9 ] + }, + "Q": { + "direction": "output", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269.8-269.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269.12-269.14" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269.16-269.18" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269.20-269.22" + } + }, + "DQSW": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269.41-269.45" + } + }, + "ECLK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269.29-269.33" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:270.9-270.10" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269.24-269.27" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269.35-269.39" + } + } + } + }, + "ODDRX2F": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:236.1-241.10" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "SCLK": { + "direction": "input", + "bits": [ 2 ] + }, + "ECLK": { + "direction": "input", + "bits": [ 3 ] + }, + "RST": { + "direction": "input", + "bits": [ 4 ] + }, + "D0": { + "direction": "input", + "bits": [ 5 ] + }, + "D1": { + "direction": "input", + "bits": [ 6 ] + }, + "D2": { + "direction": "input", + "bits": [ 7 ] + }, + "D3": { + "direction": "input", + "bits": [ 8 ] + }, + "Q": { + "direction": "output", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:237.25-237.27" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:237.29-237.31" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:237.33-237.35" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:237.37-237.39" + } + }, + "ECLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:237.14-237.18" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:238.9-238.10" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:237.20-237.23" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:237.8-237.12" + } + } + } + }, + "OFS1P3BX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:31.1-31.302" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "PD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "SP": { + "direction": "input", + "bits": [ 4 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:31.27-31.28" + } + }, + "PD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:31.23-31.25" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:31.47-31.48" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:31.34-31.38" + } + }, + "SP": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:31.30-31.32" + } + } + } + }, + "OFS1P3DX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:32.1-32.302" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "CD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "SP": { + "direction": "input", + "bits": [ 4 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:32.23-32.25" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:32.27-32.28" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:32.47-32.48" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:32.34-32.38" + } + }, + "SP": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:32.30-32.32" + } + } + } + }, + "OFS1P3IX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:33.1-33.302" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "CD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "SP": { + "direction": "input", + "bits": [ 4 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:33.23-33.25" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:33.27-33.28" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:33.47-33.48" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:33.34-33.38" + } + }, + "SP": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:33.30-33.32" + } + } + } + }, + "OFS1P3JX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:34.1-34.302" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "PD": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "SP": { + "direction": "input", + "bits": [ 4 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:34.27-34.28" + } + }, + "PD": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:34.23-34.25" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:34.47-34.48" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:34.34-34.38" + } + }, + "SP": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_ff.vh:34.30-34.32" + } + } + } + }, + "OLVDS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:14.1-14.114" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2 ] + }, + "Z": { + "direction": "output", + "bits": [ 3 ] + }, + "ZN": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:14.20-14.21" + } + }, + "Z": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:14.34-14.35" + } + }, + "ZN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_io.vh:14.37-14.39" + } + } + } + }, + "OSCG": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:153.1-157.10" + }, + "parameter_default_values": { + "DIV": "00000000000000000000000010000000" + }, + "ports": { + "OSC": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "OSC": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:154.9-154.12" + } + } + } + }, + "OSHX2A": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:252.1-257.10" + }, + "parameter_default_values": { + "GSR": "ENABLED" + }, + "ports": { + "D0": { + "direction": "input", + "bits": [ 2 ] + }, + "D1": { + "direction": "input", + "bits": [ 3 ] + }, + "RST": { + "direction": "input", + "bits": [ 4 ] + }, + "ECLK": { + "direction": "input", + "bits": [ 5 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 6 ] + }, + "Q": { + "direction": "output", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:253.8-253.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:253.12-253.14" + } + }, + "ECLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:253.21-253.25" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:254.9-254.10" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:253.16-253.19" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:253.27-253.31" + } + } + } + }, + "PCSCLKDIV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:672.1-677.10" + }, + "parameter_default_values": { + "GSR": "DISABLED" + }, + "ports": { + "CLKI": { + "direction": "input", + "bits": [ 2 ] + }, + "RST": { + "direction": "input", + "bits": [ 3 ] + }, + "SEL2": { + "direction": "input", + "bits": [ 4 ] + }, + "SEL1": { + "direction": "input", + "bits": [ 5 ] + }, + "SEL0": { + "direction": "input", + "bits": [ 6 ] + }, + "CDIV1": { + "direction": "output", + "bits": [ 7 ] + }, + "CDIVX": { + "direction": "output", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "CDIV1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:674.9-674.14" + } + }, + "CDIVX": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:674.16-674.21" + } + }, + "CLKI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:673.8-673.12" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:673.14-673.17" + } + }, + "SEL0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:673.31-673.35" + } + }, + "SEL1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:673.25-673.29" + } + }, + "SEL2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:673.19-673.23" + } + } + } + }, + "PDPW16KD": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:701.1-791.10" + }, + "parameter_default_values": { + "ASYNC_RESET_RELEASE": "SYNC", + "CLKRMUX": "CLKR", + "CLKWMUX": "CLKW", + "CSDECODE_R": "0b000", + "CSDECODE_W": "0b000", + "DATA_WIDTH_R": "00000000000000000000000000100100", + "DATA_WIDTH_W": "00000000000000000000000000100100", + "GSR": "ENABLED", + "INITVAL_00": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_01": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_02": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_03": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_04": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_05": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_06": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_07": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_08": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_09": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_0A": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_0B": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_0C": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_0D": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_0E": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_0F": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_10": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_11": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_12": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_13": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_14": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_15": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_16": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_17": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_18": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_19": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_1A": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_1B": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_1C": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_1D": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_1E": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_1F": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_20": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_21": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_22": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_23": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_24": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_25": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_26": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_27": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_28": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_29": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_2A": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_2B": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_2C": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_2D": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_2E": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_2F": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_30": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_31": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_32": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_33": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_34": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_35": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_36": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_37": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_38": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_39": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_3A": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_3B": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_3C": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_3D": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_3E": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INITVAL_3F": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_DATA": "STATIC", + "REGMODE": "NOREG", + "RESETMODE": "SYNC" + }, + "ports": { + "DI35": { + "direction": "input", + "bits": [ 2 ] + }, + "DI34": { + "direction": "input", + "bits": [ 3 ] + }, + "DI33": { + "direction": "input", + "bits": [ 4 ] + }, + "DI32": { + "direction": "input", + "bits": [ 5 ] + }, + "DI31": { + "direction": "input", + "bits": [ 6 ] + }, + "DI30": { + "direction": "input", + "bits": [ 7 ] + }, + "DI29": { + "direction": "input", + "bits": [ 8 ] + }, + "DI28": { + "direction": "input", + "bits": [ 9 ] + }, + "DI27": { + "direction": "input", + "bits": [ 10 ] + }, + "DI26": { + "direction": "input", + "bits": [ 11 ] + }, + "DI25": { + "direction": "input", + "bits": [ 12 ] + }, + "DI24": { + "direction": "input", + "bits": [ 13 ] + }, + "DI23": { + "direction": "input", + "bits": [ 14 ] + }, + "DI22": { + "direction": "input", + "bits": [ 15 ] + }, + "DI21": { + "direction": "input", + "bits": [ 16 ] + }, + "DI20": { + "direction": "input", + "bits": [ 17 ] + }, + "DI19": { + "direction": "input", + "bits": [ 18 ] + }, + "DI18": { + "direction": "input", + "bits": [ 19 ] + }, + "DI17": { + "direction": "input", + "bits": [ 20 ] + }, + "DI16": { + "direction": "input", + "bits": [ 21 ] + }, + "DI15": { + "direction": "input", + "bits": [ 22 ] + }, + "DI14": { + "direction": "input", + "bits": [ 23 ] + }, + "DI13": { + "direction": "input", + "bits": [ 24 ] + }, + "DI12": { + "direction": "input", + "bits": [ 25 ] + }, + "DI11": { + "direction": "input", + "bits": [ 26 ] + }, + "DI10": { + "direction": "input", + "bits": [ 27 ] + }, + "DI9": { + "direction": "input", + "bits": [ 28 ] + }, + "DI8": { + "direction": "input", + "bits": [ 29 ] + }, + "DI7": { + "direction": "input", + "bits": [ 30 ] + }, + "DI6": { + "direction": "input", + "bits": [ 31 ] + }, + "DI5": { + "direction": "input", + "bits": [ 32 ] + }, + "DI4": { + "direction": "input", + "bits": [ 33 ] + }, + "DI3": { + "direction": "input", + "bits": [ 34 ] + }, + "DI2": { + "direction": "input", + "bits": [ 35 ] + }, + "DI1": { + "direction": "input", + "bits": [ 36 ] + }, + "DI0": { + "direction": "input", + "bits": [ 37 ] + }, + "ADW8": { + "direction": "input", + "bits": [ 38 ] + }, + "ADW7": { + "direction": "input", + "bits": [ 39 ] + }, + "ADW6": { + "direction": "input", + "bits": [ 40 ] + }, + "ADW5": { + "direction": "input", + "bits": [ 41 ] + }, + "ADW4": { + "direction": "input", + "bits": [ 42 ] + }, + "ADW3": { + "direction": "input", + "bits": [ 43 ] + }, + "ADW2": { + "direction": "input", + "bits": [ 44 ] + }, + "ADW1": { + "direction": "input", + "bits": [ 45 ] + }, + "ADW0": { + "direction": "input", + "bits": [ 46 ] + }, + "BE3": { + "direction": "input", + "bits": [ 47 ] + }, + "BE2": { + "direction": "input", + "bits": [ 48 ] + }, + "BE1": { + "direction": "input", + "bits": [ 49 ] + }, + "BE0": { + "direction": "input", + "bits": [ 50 ] + }, + "CEW": { + "direction": "input", + "bits": [ 51 ] + }, + "CLKW": { + "direction": "input", + "bits": [ 52 ] + }, + "CSW2": { + "direction": "input", + "bits": [ 53 ] + }, + "CSW1": { + "direction": "input", + "bits": [ 54 ] + }, + "CSW0": { + "direction": "input", + "bits": [ 55 ] + }, + "ADR13": { + "direction": "input", + "bits": [ 56 ] + }, + "ADR12": { + "direction": "input", + "bits": [ 57 ] + }, + "ADR11": { + "direction": "input", + "bits": [ 58 ] + }, + "ADR10": { + "direction": "input", + "bits": [ 59 ] + }, + "ADR9": { + "direction": "input", + "bits": [ 60 ] + }, + "ADR8": { + "direction": "input", + "bits": [ 61 ] + }, + "ADR7": { + "direction": "input", + "bits": [ 62 ] + }, + "ADR6": { + "direction": "input", + "bits": [ 63 ] + }, + "ADR5": { + "direction": "input", + "bits": [ 64 ] + }, + "ADR4": { + "direction": "input", + "bits": [ 65 ] + }, + "ADR3": { + "direction": "input", + "bits": [ 66 ] + }, + "ADR2": { + "direction": "input", + "bits": [ 67 ] + }, + "ADR1": { + "direction": "input", + "bits": [ 68 ] + }, + "ADR0": { + "direction": "input", + "bits": [ 69 ] + }, + "CER": { + "direction": "input", + "bits": [ 70 ] + }, + "OCER": { + "direction": "input", + "bits": [ 71 ] + }, + "CLKR": { + "direction": "input", + "bits": [ 72 ] + }, + "CSR2": { + "direction": "input", + "bits": [ 73 ] + }, + "CSR1": { + "direction": "input", + "bits": [ 74 ] + }, + "CSR0": { + "direction": "input", + "bits": [ 75 ] + }, + "RST": { + "direction": "input", + "bits": [ 76 ] + }, + "DO35": { + "direction": "output", + "bits": [ 77 ] + }, + "DO34": { + "direction": "output", + "bits": [ 78 ] + }, + "DO33": { + "direction": "output", + "bits": [ 79 ] + }, + "DO32": { + "direction": "output", + "bits": [ 80 ] + }, + "DO31": { + "direction": "output", + "bits": [ 81 ] + }, + "DO30": { + "direction": "output", + "bits": [ 82 ] + }, + "DO29": { + "direction": "output", + "bits": [ 83 ] + }, + "DO28": { + "direction": "output", + "bits": [ 84 ] + }, + "DO27": { + "direction": "output", + "bits": [ 85 ] + }, + "DO26": { + "direction": "output", + "bits": [ 86 ] + }, + "DO25": { + "direction": "output", + "bits": [ 87 ] + }, + "DO24": { + "direction": "output", + "bits": [ 88 ] + }, + "DO23": { + "direction": "output", + "bits": [ 89 ] + }, + "DO22": { + "direction": "output", + "bits": [ 90 ] + }, + "DO21": { + "direction": "output", + "bits": [ 91 ] + }, + "DO20": { + "direction": "output", + "bits": [ 92 ] + }, + "DO19": { + "direction": "output", + "bits": [ 93 ] + }, + "DO18": { + "direction": "output", + "bits": [ 94 ] + }, + "DO17": { + "direction": "output", + "bits": [ 95 ] + }, + "DO16": { + "direction": "output", + "bits": [ 96 ] + }, + "DO15": { + "direction": "output", + "bits": [ 97 ] + }, + "DO14": { + "direction": "output", + "bits": [ 98 ] + }, + "DO13": { + "direction": "output", + "bits": [ 99 ] + }, + "DO12": { + "direction": "output", + "bits": [ 100 ] + }, + "DO11": { + "direction": "output", + "bits": [ 101 ] + }, + "DO10": { + "direction": "output", + "bits": [ 102 ] + }, + "DO9": { + "direction": "output", + "bits": [ 103 ] + }, + "DO8": { + "direction": "output", + "bits": [ 104 ] + }, + "DO7": { + "direction": "output", + "bits": [ 105 ] + }, + "DO6": { + "direction": "output", + "bits": [ 106 ] + }, + "DO5": { + "direction": "output", + "bits": [ 107 ] + }, + "DO4": { + "direction": "output", + "bits": [ 108 ] + }, + "DO3": { + "direction": "output", + "bits": [ 109 ] + }, + "DO2": { + "direction": "output", + "bits": [ 110 ] + }, + "DO1": { + "direction": "output", + "bits": [ 111 ] + }, + "DO0": { + "direction": "output", + "bits": [ 112 ] + } + }, + "cells": { + }, + "netnames": { + "ADR0": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:706.90-706.94" + } + }, + "ADR1": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:706.84-706.88" + } + }, + "ADR10": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:706.29-706.34" + } + }, + "ADR11": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:706.22-706.27" + } + }, + "ADR12": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:706.15-706.20" + } + }, + "ADR13": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:706.8-706.13" + } + }, + "ADR2": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:706.78-706.82" + } + }, + "ADR3": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:706.72-706.76" + } + }, + "ADR4": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:706.66-706.70" + } + }, + "ADR5": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:706.60-706.64" + } + }, + "ADR6": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:706.54-706.58" + } + }, + "ADR7": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:706.48-706.52" + } + }, + "ADR8": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:706.42-706.46" + } + }, + "ADR9": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:706.36-706.40" + } + }, + "ADW0": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:704.56-704.60" + } + }, + "ADW1": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:704.50-704.54" + } + }, + "ADW2": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:704.44-704.48" + } + }, + "ADW3": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:704.38-704.42" + } + }, + "ADW4": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:704.32-704.36" + } + }, + "ADW5": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:704.26-704.30" + } + }, + "ADW6": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:704.20-704.24" + } + }, + "ADW7": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:704.14-704.18" + } + }, + "ADW8": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:704.8-704.12" + } + }, + "BE0": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:705.25-705.28" + } + }, + "BE1": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:705.20-705.23" + } + }, + "BE2": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:705.14-705.17" + } + }, + "BE3": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:705.8-705.11" + } + }, + "CER": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:707.8-707.11" + } + }, + "CEW": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:705.30-705.33" + } + }, + "CLKR": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:707.19-707.23" + } + }, + "CLKW": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:705.35-705.39" + } + }, + "CSR0": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:707.37-707.41" + } + }, + "CSR1": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:707.31-707.35" + } + }, + "CSR2": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:707.25-707.29" + } + }, + "CSW0": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:705.53-705.57" + } + }, + "CSW1": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:705.47-705.51" + } + }, + "CSW2": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:705.41-705.45" + } + }, + "DI0": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.101-703.104" + } + }, + "DI1": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.96-703.99" + } + }, + "DI10": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.50-703.54" + } + }, + "DI11": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.44-703.48" + } + }, + "DI12": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.38-703.42" + } + }, + "DI13": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.32-703.36" + } + }, + "DI14": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.26-703.30" + } + }, + "DI15": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.20-703.24" + } + }, + "DI16": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.14-703.18" + } + }, + "DI17": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.8-703.12" + } + }, + "DI18": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.110-702.114" + } + }, + "DI19": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.104-702.108" + } + }, + "DI2": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.91-703.94" + } + }, + "DI20": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.98-702.102" + } + }, + "DI21": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.92-702.96" + } + }, + "DI22": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.86-702.90" + } + }, + "DI23": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.80-702.84" + } + }, + "DI24": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.74-702.78" + } + }, + "DI25": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.68-702.72" + } + }, + "DI26": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.62-702.66" + } + }, + "DI27": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.56-702.60" + } + }, + "DI28": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.50-702.54" + } + }, + "DI29": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.44-702.48" + } + }, + "DI3": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.86-703.89" + } + }, + "DI30": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.38-702.42" + } + }, + "DI31": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.32-702.36" + } + }, + "DI32": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.26-702.30" + } + }, + "DI33": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.20-702.24" + } + }, + "DI34": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.14-702.18" + } + }, + "DI35": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:702.8-702.12" + } + }, + "DI4": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.81-703.84" + } + }, + "DI5": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.76-703.79" + } + }, + "DI6": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.71-703.74" + } + }, + "DI7": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.66-703.69" + } + }, + "DI8": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.61-703.64" + } + }, + "DI9": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:703.56-703.59" + } + }, + "DO0": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.102-709.105" + } + }, + "DO1": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.97-709.100" + } + }, + "DO10": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.51-709.55" + } + }, + "DO11": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.45-709.49" + } + }, + "DO12": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.39-709.43" + } + }, + "DO13": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.33-709.37" + } + }, + "DO14": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.27-709.31" + } + }, + "DO15": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.21-709.25" + } + }, + "DO16": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.15-709.19" + } + }, + "DO17": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.9-709.13" + } + }, + "DO18": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.111-708.115" + } + }, + "DO19": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.105-708.109" + } + }, + "DO2": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.92-709.95" + } + }, + "DO20": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.99-708.103" + } + }, + "DO21": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.93-708.97" + } + }, + "DO22": { + "hide_name": 0, + "bits": [ 90 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.87-708.91" + } + }, + "DO23": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.81-708.85" + } + }, + "DO24": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.75-708.79" + } + }, + "DO25": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.69-708.73" + } + }, + "DO26": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.63-708.67" + } + }, + "DO27": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.57-708.61" + } + }, + "DO28": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.51-708.55" + } + }, + "DO29": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.45-708.49" + } + }, + "DO3": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.87-709.90" + } + }, + "DO30": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.39-708.43" + } + }, + "DO31": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.33-708.37" + } + }, + "DO32": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.27-708.31" + } + }, + "DO33": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.21-708.25" + } + }, + "DO34": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.15-708.19" + } + }, + "DO35": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:708.9-708.13" + } + }, + "DO4": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.82-709.85" + } + }, + "DO5": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.77-709.80" + } + }, + "DO6": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.72-709.75" + } + }, + "DO7": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.67-709.70" + } + }, + "DO8": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.62-709.65" + } + }, + "DO9": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:709.57-709.60" + } + }, + "OCER": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:707.13-707.17" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:707.43-707.46" + } + } + } + }, + "PFUMX": { + "attributes": { + "abc9_box": "00000000000000000000000000000001", + "whitebox": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:179.1-186.10" + }, + "ports": { + "ALUT": { + "direction": "input", + "bits": [ 2 ] + }, + "BLUT": { + "direction": "input", + "bits": [ 3 ] + }, + "C0": { + "direction": "input", + "bits": [ 4 ] + }, + "Z": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$1316": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000001100010", + "T_FALL_MIN": "00000000000000000000000001100010", + "T_FALL_TYP": "00000000000000000000000001100010", + "T_RISE_MAX": "00000000000000000000000001100010", + "T_RISE_MIN": "00000000000000000000000001100010", + "T_RISE_TYP": "00000000000000000000000001100010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:182.3-182.20" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 5 ], + "EN": [ "1" ], + "SRC": [ 2 ] + } + }, + "$specify$1317": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000001100010", + "T_FALL_MIN": "00000000000000000000000001100010", + "T_FALL_TYP": "00000000000000000000000001100010", + "T_RISE_MAX": "00000000000000000000000001100010", + "T_RISE_MIN": "00000000000000000000000001100010", + "T_RISE_TYP": "00000000000000000000000001100010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:183.3-183.20" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 5 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$1318": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010010111", + "T_FALL_MIN": "00000000000000000000000010010111", + "T_FALL_TYP": "00000000000000000000000010010111", + "T_RISE_MAX": "00000000000000000000000010010111", + "T_RISE_MIN": "00000000000000000000000010010111", + "T_RISE_TYP": "00000000000000000000000010010111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:184.3-184.19" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 5 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:180$1379": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:180.13-180.29" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ 3 ], + "B": [ 2 ], + "S": [ 4 ], + "Y": [ 5 ] + } + } + }, + "netnames": { + "$ternary$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:180$1379_Y": { + "hide_name": 1, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:180.13-180.29" + } + }, + "ALUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:179.21-179.25" + } + }, + "BLUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:179.27-179.31" + } + }, + "C0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:179.33-179.35" + } + }, + "Z": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:179.44-179.45" + } + } + } + }, + "PQVexRiscvUlx3s": { + "attributes": { + "top": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7508.1-8225.10" + }, + "ports": { + "io_asyncReset": { + "direction": "input", + "bits": [ 2 ] + }, + "io_mainClock": { + "direction": "input", + "bits": [ 3 ] + }, + "io_uart_txd": { + "direction": "output", + "bits": [ 4 ] + }, + "io_uart_rxd": { + "direction": "input", + "bits": [ 5 ] + }, + "io_jtag_tms": { + "direction": "input", + "bits": [ 6 ] + }, + "io_jtag_tdi": { + "direction": "input", + "bits": [ 7 ] + }, + "io_jtag_tdo": { + "direction": "output", + "bits": [ 8 ] + }, + "io_jtag_tck": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + "_zz_11__L6MUX21_SD": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 10 ], + "D1": [ 11 ], + "SD": [ 12 ], + "Z": [ 13 ] + } + }, + "_zz_11__L6MUX21_SD_1": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 14 ], + "D1": [ 15 ], + "SD": [ 12 ], + "Z": [ 16 ] + } + }, + "_zz_11__L6MUX21_SD_1_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 17 ], + "BLUT": [ 18 ], + "C0": [ 19 ], + "Z": [ 14 ] + } + }, + "_zz_11__L6MUX21_SD_1_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 17 ] + } + }, + "_zz_11__L6MUX21_SD_1_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 18 ] + } + }, + "_zz_11__L6MUX21_SD_1_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 20 ], + "BLUT": [ 21 ], + "C0": [ 19 ], + "Z": [ 15 ] + } + }, + "_zz_11__L6MUX21_SD_1_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 20 ] + } + }, + "_zz_11__L6MUX21_SD_1_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 21 ] + } + }, + "_zz_11__L6MUX21_SD_2": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 22 ], + "D1": [ 23 ], + "SD": [ 12 ], + "Z": [ 24 ] + } + }, + "_zz_11__L6MUX21_SD_2_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 25 ], + "D1": [ 26 ], + "SD": [ 19 ], + "Z": [ 22 ] + } + }, + "_zz_11__L6MUX21_SD_2_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 27 ], + "BLUT": [ 28 ], + "C0": [ 29 ], + "Z": [ 25 ] + } + }, + "_zz_11__L6MUX21_SD_2_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 27 ] + } + }, + "_zz_11__L6MUX21_SD_2_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 28 ] + } + }, + "_zz_11__L6MUX21_SD_2_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 30 ], + "BLUT": [ 31 ], + "C0": [ 29 ], + "Z": [ 26 ] + } + }, + "_zz_11__L6MUX21_SD_2_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 30 ] + } + }, + "_zz_11__L6MUX21_SD_2_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 31 ] + } + }, + "_zz_11__L6MUX21_SD_2_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 32 ], + "D1": [ 33 ], + "SD": [ 19 ], + "Z": [ 23 ] + } + }, + "_zz_11__L6MUX21_SD_2_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 34 ], + "BLUT": [ 35 ], + "C0": [ 29 ], + "Z": [ 32 ] + } + }, + "_zz_11__L6MUX21_SD_2_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 36 ], + "D": [ 37 ], + "Z": [ 34 ] + } + }, + "_zz_11__L6MUX21_SD_2_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 36 ], + "C": [ 37 ], + "D": [ 38 ], + "Z": [ 35 ] + } + }, + "_zz_11__L6MUX21_SD_2_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 39 ], + "BLUT": [ 40 ], + "C0": [ 29 ], + "Z": [ 33 ] + } + }, + "_zz_11__L6MUX21_SD_2_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000100010111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 36 ], + "B": [ 37 ], + "C": [ 41 ], + "D": [ 38 ], + "Z": [ 39 ] + } + }, + "_zz_11__L6MUX21_SD_2_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000100010001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 36 ], + "B": [ 37 ], + "C": [ 41 ], + "D": [ 38 ], + "Z": [ 40 ] + } + }, + "_zz_11__L6MUX21_SD_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 42 ], + "BLUT": [ 43 ], + "C0": [ 19 ], + "Z": [ 10 ] + } + }, + "_zz_11__L6MUX21_SD_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 42 ] + } + }, + "_zz_11__L6MUX21_SD_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 43 ] + } + }, + "_zz_11__L6MUX21_SD_D0_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 44 ], + "B": [ 45 ], + "C": [ 46 ], + "D": [ 47 ], + "Z": [ 19 ] + } + }, + "_zz_11__L6MUX21_SD_D0_PFUMX_Z_C0_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 48 ], + "B": [ 49 ], + "C": [ 50 ], + "D": [ 51 ], + "Z": [ 47 ] + } + }, + "_zz_11__L6MUX21_SD_D0_PFUMX_Z_C0_LUT4_Z_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 52 ], + "C": [ 53 ], + "D": [ 54 ], + "Z": [ 46 ] + } + }, + "_zz_11__L6MUX21_SD_D0_PFUMX_Z_C0_LUT4_Z_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 55 ], + "B": [ 56 ], + "C": [ 57 ], + "D": [ 58 ], + "Z": [ 45 ] + } + }, + "_zz_11__L6MUX21_SD_D0_PFUMX_Z_C0_LUT4_Z_A_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 59 ], + "B": [ 60 ], + "C": [ 61 ], + "D": [ 62 ], + "Z": [ 44 ] + } + }, + "_zz_11__L6MUX21_SD_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 63 ], + "BLUT": [ 64 ], + "C0": [ 19 ], + "Z": [ 11 ] + } + }, + "_zz_11__L6MUX21_SD_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 65 ], + "B": [ 66 ], + "C": [ 67 ], + "D": [ 68 ], + "Z": [ 63 ] + } + }, + "_zz_11__L6MUX21_SD_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 64 ] + } + }, + "_zz_11__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8157.3-8183.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 70 ], + "LSR": [ 71 ], + "Q": [ 12 ] + } + }, + "_zz_11__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 72 ], + "D": [ 73 ], + "Z": [ 70 ] + } + }, + "_zz_13__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 74 ], + "LSR": [ "0" ], + "Q": [ 58 ] + } + }, + "_zz_13__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 75 ], + "LSR": [ "0" ], + "Q": [ 54 ] + } + }, + "_zz_13__TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 76 ], + "LSR": [ "0" ], + "Q": [ 60 ] + } + }, + "_zz_13__TRELLIS_FF_Q_10_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 77 ], + "C": [ 78 ], + "D": [ 72 ], + "Z": [ 76 ] + } + }, + "_zz_13__TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 79 ], + "LSR": [ "0" ], + "Q": [ 59 ] + } + }, + "_zz_13__TRELLIS_FF_Q_11_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 80 ], + "C": [ 81 ], + "D": [ 72 ], + "Z": [ 79 ] + } + }, + "_zz_13__TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 82 ], + "LSR": [ "0" ], + "Q": [ 57 ] + } + }, + "_zz_13__TRELLIS_FF_Q_12_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 83 ], + "C": [ 84 ], + "D": [ 72 ], + "Z": [ 82 ] + } + }, + "_zz_13__TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 85 ], + "LSR": [ "0" ], + "Q": [ 56 ] + } + }, + "_zz_13__TRELLIS_FF_Q_13_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 86 ], + "C": [ 87 ], + "D": [ 72 ], + "Z": [ 85 ] + } + }, + "_zz_13__TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 88 ], + "LSR": [ "0" ], + "Q": [ 55 ] + } + }, + "_zz_13__TRELLIS_FF_Q_14_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 89 ], + "C": [ 90 ], + "D": [ 72 ], + "Z": [ 88 ] + } + }, + "_zz_13__TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 91 ], + "LSR": [ "0" ], + "Q": [ 41 ] + } + }, + "_zz_13__TRELLIS_FF_Q_15_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 92 ], + "C": [ 93 ], + "D": [ 72 ], + "Z": [ 91 ] + } + }, + "_zz_13__TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 94 ], + "LSR": [ "0" ], + "Q": [ 95 ] + } + }, + "_zz_13__TRELLIS_FF_Q_16_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 96 ], + "C": [ 97 ], + "D": [ 72 ], + "Z": [ 94 ] + } + }, + "_zz_13__TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 98 ], + "LSR": [ "0" ], + "Q": [ 99 ] + } + }, + "_zz_13__TRELLIS_FF_Q_17_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 100 ], + "C": [ 101 ], + "D": [ 72 ], + "Z": [ 98 ] + } + }, + "_zz_13__TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 102 ], + "LSR": [ "0" ], + "Q": [ 103 ] + } + }, + "_zz_13__TRELLIS_FF_Q_18_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 104 ], + "C": [ 105 ], + "D": [ 72 ], + "Z": [ 102 ] + } + }, + "_zz_13__TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 106 ], + "LSR": [ "0" ], + "Q": [ 107 ] + } + }, + "_zz_13__TRELLIS_FF_Q_19_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 108 ], + "C": [ 109 ], + "D": [ 72 ], + "Z": [ 106 ] + } + }, + "_zz_13__TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 110 ], + "C": [ 111 ], + "D": [ 72 ], + "Z": [ 75 ] + } + }, + "_zz_13__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 112 ], + "LSR": [ "0" ], + "Q": [ 53 ] + } + }, + "_zz_13__TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 113 ], + "LSR": [ "0" ], + "Q": [ 114 ] + } + }, + "_zz_13__TRELLIS_FF_Q_20_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 115 ], + "C": [ 116 ], + "D": [ 72 ], + "Z": [ 113 ] + } + }, + "_zz_13__TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 117 ], + "LSR": [ "0" ], + "Q": [ 118 ] + } + }, + "_zz_13__TRELLIS_FF_Q_21_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 119 ], + "C": [ 120 ], + "D": [ 72 ], + "Z": [ 117 ] + } + }, + "_zz_13__TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 121 ], + "LSR": [ "0" ], + "Q": [ 122 ] + } + }, + "_zz_13__TRELLIS_FF_Q_22_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 123 ], + "C": [ 124 ], + "D": [ 72 ], + "Z": [ 121 ] + } + }, + "_zz_13__TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 125 ], + "LSR": [ "0" ], + "Q": [ 126 ] + } + }, + "_zz_13__TRELLIS_FF_Q_23_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 127 ], + "C": [ 128 ], + "D": [ 72 ], + "Z": [ 125 ] + } + }, + "_zz_13__TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 129 ], + "LSR": [ "0" ], + "Q": [ 130 ] + } + }, + "_zz_13__TRELLIS_FF_Q_24_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 131 ], + "C": [ 132 ], + "D": [ 72 ], + "Z": [ 129 ] + } + }, + "_zz_13__TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 133 ], + "LSR": [ "0" ], + "Q": [ 134 ] + } + }, + "_zz_13__TRELLIS_FF_Q_25_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 135 ], + "C": [ 136 ], + "D": [ 72 ], + "Z": [ 133 ] + } + }, + "_zz_13__TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 137 ], + "LSR": [ "0" ], + "Q": [ 138 ] + } + }, + "_zz_13__TRELLIS_FF_Q_26_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 139 ], + "C": [ 140 ], + "D": [ 72 ], + "Z": [ 137 ] + } + }, + "_zz_13__TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 141 ], + "LSR": [ "0" ], + "Q": [ 142 ] + } + }, + "_zz_13__TRELLIS_FF_Q_27_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 143 ], + "C": [ 144 ], + "D": [ 72 ], + "Z": [ 141 ] + } + }, + "_zz_13__TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 145 ], + "LSR": [ "0" ], + "Q": [ 146 ] + } + }, + "_zz_13__TRELLIS_FF_Q_28_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 147 ], + "C": [ 148 ], + "D": [ 72 ], + "Z": [ 145 ] + } + }, + "_zz_13__TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 149 ], + "LSR": [ "0" ], + "Q": [ 150 ] + } + }, + "_zz_13__TRELLIS_FF_Q_29_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 151 ], + "C": [ 152 ], + "D": [ 72 ], + "Z": [ 149 ] + } + }, + "_zz_13__TRELLIS_FF_Q_2_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 153 ], + "C": [ 154 ], + "D": [ 72 ], + "Z": [ 112 ] + } + }, + "_zz_13__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 155 ], + "LSR": [ "0" ], + "Q": [ 52 ] + } + }, + "_zz_13__TRELLIS_FF_Q_3_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 156 ], + "C": [ 157 ], + "D": [ 72 ], + "Z": [ 155 ] + } + }, + "_zz_13__TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 158 ], + "LSR": [ "0" ], + "Q": [ 51 ] + } + }, + "_zz_13__TRELLIS_FF_Q_4_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 159 ], + "C": [ 160 ], + "D": [ 72 ], + "Z": [ 158 ] + } + }, + "_zz_13__TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 161 ], + "LSR": [ "0" ], + "Q": [ 50 ] + } + }, + "_zz_13__TRELLIS_FF_Q_5_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 162 ], + "C": [ 163 ], + "D": [ 72 ], + "Z": [ 161 ] + } + }, + "_zz_13__TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 164 ], + "LSR": [ "0" ], + "Q": [ 49 ] + } + }, + "_zz_13__TRELLIS_FF_Q_6_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 165 ], + "C": [ 166 ], + "D": [ 72 ], + "Z": [ 164 ] + } + }, + "_zz_13__TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 167 ], + "LSR": [ "0" ], + "Q": [ 48 ] + } + }, + "_zz_13__TRELLIS_FF_Q_7_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 168 ], + "C": [ 169 ], + "D": [ 72 ], + "Z": [ 167 ] + } + }, + "_zz_13__TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 170 ], + "LSR": [ "0" ], + "Q": [ 62 ] + } + }, + "_zz_13__TRELLIS_FF_Q_8_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 171 ], + "C": [ 172 ], + "D": [ 72 ], + "Z": [ 170 ] + } + }, + "_zz_13__TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 69 ], + "CLK": [ 3 ], + "DI": [ 173 ], + "LSR": [ "0" ], + "Q": [ 61 ] + } + }, + "_zz_13__TRELLIS_FF_Q_9_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 174 ], + "C": [ 175 ], + "D": [ 72 ], + "Z": [ 173 ] + } + }, + "_zz_13__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 176 ], + "C": [ 177 ], + "D": [ 72 ], + "Z": [ 74 ] + } + }, + "_zz_20__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 178 ], + "C": [ 179 ], + "D": [ 180 ], + "Z": [ 181 ] + } + }, + "_zz_20__LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 182 ], + "C": [ 183 ], + "D": [ 180 ], + "Z": [ 184 ] + } + }, + "_zz_20__LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 185 ], + "B": [ 186 ], + "C": [ 187 ], + "D": [ 180 ], + "Z": [ 188 ] + } + }, + "_zz_20__LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 189 ], + "B": [ 190 ], + "C": [ 187 ], + "D": [ 180 ], + "Z": [ 191 ] + } + }, + "_zz_20__LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 192 ], + "B": [ 193 ], + "C": [ 187 ], + "D": [ 180 ], + "Z": [ 194 ] + } + }, + "_zz_20__LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 195 ], + "B": [ 196 ], + "C": [ 187 ], + "D": [ 180 ], + "Z": [ 197 ] + } + }, + "_zz_20__LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 198 ], + "B": [ 199 ], + "C": [ 187 ], + "D": [ 180 ], + "Z": [ 200 ] + } + }, + "_zz_20__LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 201 ], + "B": [ 202 ], + "C": [ 187 ], + "D": [ 180 ], + "Z": [ 203 ] + } + }, + "_zz_20__LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 204 ], + "C": [ 185 ], + "D": [ 180 ], + "Z": [ 205 ] + } + }, + "_zz_20__LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 206 ], + "C": [ 189 ], + "D": [ 180 ], + "Z": [ 207 ] + } + }, + "_zz_20__LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 208 ], + "C": [ 192 ], + "D": [ 180 ], + "Z": [ 209 ] + } + }, + "_zz_20__LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 210 ], + "C": [ 195 ], + "D": [ 180 ], + "Z": [ 211 ] + } + }, + "_zz_20__LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 212 ], + "C": [ 198 ], + "D": [ 180 ], + "Z": [ 213 ] + } + }, + "_zz_20__LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 214 ], + "C": [ 201 ], + "D": [ 180 ], + "Z": [ 215 ] + } + }, + "_zz_20__LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 179 ], + "B": [ 216 ], + "C": [ 187 ], + "D": [ 180 ], + "Z": [ 217 ] + } + }, + "_zz_20__LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 183 ], + "B": [ 218 ], + "C": [ 187 ], + "D": [ 180 ], + "Z": [ 219 ] + } + }, + "_zz_20__PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 220 ], + "BLUT": [ 221 ], + "C0": [ 180 ], + "Z": [ 222 ] + } + }, + "_zz_20__PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 223 ], + "BLUT": [ 224 ], + "C0": [ 180 ], + "Z": [ 225 ] + } + }, + "_zz_20__PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 226 ], + "Z": [ 223 ] + } + }, + "_zz_20__PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 218 ], + "C": [ 183 ], + "D": [ 187 ], + "Z": [ 224 ] + } + }, + "_zz_20__PFUMX_Z_2": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 227 ], + "BLUT": [ 228 ], + "C0": [ 180 ], + "Z": [ 229 ] + } + }, + "_zz_20__PFUMX_Z_2_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 230 ], + "Z": [ 227 ] + } + }, + "_zz_20__PFUMX_Z_2_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 186 ], + "C": [ 185 ], + "D": [ 187 ], + "Z": [ 228 ] + } + }, + "_zz_20__PFUMX_Z_3": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 231 ], + "BLUT": [ 232 ], + "C0": [ 180 ], + "Z": [ 233 ] + } + }, + "_zz_20__PFUMX_Z_3_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 234 ], + "Z": [ 231 ] + } + }, + "_zz_20__PFUMX_Z_3_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 190 ], + "C": [ 189 ], + "D": [ 187 ], + "Z": [ 232 ] + } + }, + "_zz_20__PFUMX_Z_4": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 235 ], + "BLUT": [ 236 ], + "C0": [ 180 ], + "Z": [ 237 ] + } + }, + "_zz_20__PFUMX_Z_4_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 238 ], + "Z": [ 235 ] + } + }, + "_zz_20__PFUMX_Z_4_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 193 ], + "C": [ 192 ], + "D": [ 187 ], + "Z": [ 236 ] + } + }, + "_zz_20__PFUMX_Z_5": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 239 ], + "BLUT": [ 240 ], + "C0": [ 180 ], + "Z": [ 241 ] + } + }, + "_zz_20__PFUMX_Z_5_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 242 ], + "Z": [ 239 ] + } + }, + "_zz_20__PFUMX_Z_5_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 196 ], + "C": [ 195 ], + "D": [ 187 ], + "Z": [ 240 ] + } + }, + "_zz_20__PFUMX_Z_6": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 243 ], + "BLUT": [ 244 ], + "C0": [ 180 ], + "Z": [ 245 ] + } + }, + "_zz_20__PFUMX_Z_6_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 246 ], + "Z": [ 243 ] + } + }, + "_zz_20__PFUMX_Z_6_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 199 ], + "C": [ 198 ], + "D": [ 187 ], + "Z": [ 244 ] + } + }, + "_zz_20__PFUMX_Z_7": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 247 ], + "BLUT": [ 248 ], + "C0": [ 180 ], + "Z": [ 249 ] + } + }, + "_zz_20__PFUMX_Z_7_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 250 ], + "Z": [ 247 ] + } + }, + "_zz_20__PFUMX_Z_7_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 202 ], + "C": [ 201 ], + "D": [ 187 ], + "Z": [ 248 ] + } + }, + "_zz_20__PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 251 ], + "Z": [ 220 ] + } + }, + "_zz_20__PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 216 ], + "C": [ 179 ], + "D": [ 187 ], + "Z": [ 221 ] + } + }, + "_zz_21__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 252 ], + "C": [ 180 ], + "D": [ 253 ], + "Z": [ 254 ] + } + }, + "_zz_21__LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 187 ], + "B": [ 180 ], + "C": [ 255 ], + "D": [ 252 ], + "Z": [ 256 ] + } + }, + "_zz_21__LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 253 ], + "D": [ 252 ], + "Z": [ 257 ] + } + }, + "_zz_21__LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 255 ], + "D": [ 252 ], + "Z": [ 258 ] + } + }, + "_zz_21__LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 255 ], + "C": [ 187 ], + "D": [ 180 ], + "Z": [ 253 ] + } + }, + "_zz_23__PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 259 ], + "BLUT": [ 260 ], + "C0": [ 261 ], + "Z": [ 262 ] + } + }, + "_zz_23__PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 263 ], + "B": [ 264 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 259 ] + } + }, + "_zz_23__PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 260 ] + } + }, + "_zz_24__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8157.3-8183.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 267 ], + "CLK": [ 3 ], + "DI": [ 268 ], + "LSR": [ 71 ], + "Q": [ 269 ] + } + }, + "_zz_24__TRELLIS_FF_Q_CE_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 270 ], + "D1": [ 271 ], + "SD": [ 261 ], + "Z": [ 267 ] + } + }, + "_zz_24__TRELLIS_FF_Q_CE_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 272 ], + "BLUT": [ 273 ], + "C0": [ 269 ], + "Z": [ 270 ] + } + }, + "_zz_24__TRELLIS_FF_Q_CE_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 272 ] + } + }, + "_zz_24__TRELLIS_FF_Q_CE_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 273 ] + } + }, + "_zz_24__TRELLIS_FF_Q_CE_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 274 ], + "BLUT": [ 275 ], + "C0": [ 269 ], + "Z": [ 271 ] + } + }, + "_zz_24__TRELLIS_FF_Q_CE_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 263 ], + "B": [ 264 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 274 ] + } + }, + "_zz_24__TRELLIS_FF_Q_CE_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 275 ] + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 276 ], + "D1": [ 277 ], + "SD": [ 261 ], + "Z": [ 268 ] + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 278 ], + "D1": [ 279 ], + "SD": [ 280 ], + "Z": [ 276 ] + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 281 ], + "BLUT": [ 282 ], + "C0": [ 269 ], + "Z": [ 278 ] + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 281 ] + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 282 ] + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 283 ], + "BLUT": [ 284 ], + "C0": [ 269 ], + "Z": [ 279 ] + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 283 ] + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 284 ] + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 285 ], + "D1": [ 286 ], + "SD": [ 280 ], + "Z": [ 277 ] + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 287 ], + "BLUT": [ 288 ], + "C0": [ 269 ], + "Z": [ 285 ] + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 287 ] + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 288 ] + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 289 ], + "BLUT": [ 290 ], + "C0": [ 269 ], + "Z": [ 286 ] + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 289 ] + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 263 ], + "B": [ 264 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 290 ] + } + }, + "_zz_25__LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 291 ], + "C": [ 292 ], + "D": [ 269 ], + "Z": [ 293 ] + } + }, + "_zz_25__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 292 ], + "LSR": [ "0" ], + "Q": [ 291 ] + } + }, + "_zz_26__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 295 ], + "LSR": [ "0" ], + "Q": [ 296 ] + } + }, + "_zz_26__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 297 ], + "LSR": [ "0" ], + "Q": [ 298 ] + } + }, + "_zz_26__TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 299 ], + "LSR": [ "0" ], + "Q": [ 300 ] + } + }, + "_zz_26__TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 301 ], + "LSR": [ "0" ], + "Q": [ 302 ] + } + }, + "_zz_26__TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 303 ], + "LSR": [ "0" ], + "Q": [ 304 ] + } + }, + "_zz_26__TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 305 ], + "LSR": [ "0" ], + "Q": [ 306 ] + } + }, + "_zz_26__TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 307 ], + "LSR": [ "0" ], + "Q": [ 308 ] + } + }, + "_zz_26__TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 309 ], + "LSR": [ "0" ], + "Q": [ 310 ] + } + }, + "_zz_26__TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 311 ], + "LSR": [ "0" ], + "Q": [ 312 ] + } + }, + "_zz_26__TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 313 ], + "LSR": [ "0" ], + "Q": [ 314 ] + } + }, + "_zz_26__TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 315 ], + "LSR": [ "0" ], + "Q": [ 316 ] + } + }, + "_zz_26__TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 317 ], + "LSR": [ "0" ], + "Q": [ 318 ] + } + }, + "_zz_26__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 319 ], + "LSR": [ "0" ], + "Q": [ 320 ] + } + }, + "_zz_26__TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 321 ], + "LSR": [ "0" ], + "Q": [ 322 ] + } + }, + "_zz_26__TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 323 ], + "LSR": [ "0" ], + "Q": [ 324 ] + } + }, + "_zz_26__TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 325 ], + "LSR": [ "0" ], + "Q": [ 326 ] + } + }, + "_zz_26__TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 327 ], + "LSR": [ "0" ], + "Q": [ 328 ] + } + }, + "_zz_26__TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 329 ], + "LSR": [ "0" ], + "Q": [ 330 ] + } + }, + "_zz_26__TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 331 ], + "LSR": [ "0" ], + "Q": [ 332 ] + } + }, + "_zz_26__TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 333 ], + "LSR": [ "0" ], + "Q": [ 334 ] + } + }, + "_zz_26__TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 335 ], + "LSR": [ "0" ], + "Q": [ 336 ] + } + }, + "_zz_26__TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 337 ], + "LSR": [ "0" ], + "Q": [ 338 ] + } + }, + "_zz_26__TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 339 ], + "LSR": [ "0" ], + "Q": [ 340 ] + } + }, + "_zz_26__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 341 ], + "LSR": [ "0" ], + "Q": [ 342 ] + } + }, + "_zz_26__TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 252 ], + "LSR": [ "0" ], + "Q": [ 343 ] + } + }, + "_zz_26__TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 255 ], + "LSR": [ "0" ], + "Q": [ 344 ] + } + }, + "_zz_26__TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 345 ], + "LSR": [ "0" ], + "Q": [ 346 ] + } + }, + "_zz_26__TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 347 ], + "LSR": [ "0" ], + "Q": [ 348 ] + } + }, + "_zz_26__TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 349 ], + "LSR": [ "0" ], + "Q": [ 350 ] + } + }, + "_zz_26__TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 351 ], + "LSR": [ "0" ], + "Q": [ 352 ] + } + }, + "_zz_26__TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 353 ], + "LSR": [ "0" ], + "Q": [ 354 ] + } + }, + "_zz_26__TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 355 ], + "LSR": [ "0" ], + "Q": [ 356 ] + } + }, + "_zz_27__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 222 ], + "LSR": [ "0" ], + "Q": [ 357 ] + } + }, + "_zz_27__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 225 ], + "LSR": [ "0" ], + "Q": [ 358 ] + } + }, + "_zz_27__TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 205 ], + "LSR": [ "0" ], + "Q": [ 359 ] + } + }, + "_zz_27__TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 207 ], + "LSR": [ "0" ], + "Q": [ 360 ] + } + }, + "_zz_27__TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 209 ], + "LSR": [ "0" ], + "Q": [ 361 ] + } + }, + "_zz_27__TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 211 ], + "LSR": [ "0" ], + "Q": [ 362 ] + } + }, + "_zz_27__TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 213 ], + "LSR": [ "0" ], + "Q": [ 363 ] + } + }, + "_zz_27__TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 215 ], + "LSR": [ "0" ], + "Q": [ 364 ] + } + }, + "_zz_27__TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 217 ], + "LSR": [ "0" ], + "Q": [ 365 ] + } + }, + "_zz_27__TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 219 ], + "LSR": [ "0" ], + "Q": [ 366 ] + } + }, + "_zz_27__TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 188 ], + "LSR": [ "0" ], + "Q": [ 367 ] + } + }, + "_zz_27__TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 191 ], + "LSR": [ "0" ], + "Q": [ 368 ] + } + }, + "_zz_27__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 229 ], + "LSR": [ "0" ], + "Q": [ 369 ] + } + }, + "_zz_27__TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 194 ], + "LSR": [ "0" ], + "Q": [ 370 ] + } + }, + "_zz_27__TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 197 ], + "LSR": [ "0" ], + "Q": [ 371 ] + } + }, + "_zz_27__TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 200 ], + "LSR": [ "0" ], + "Q": [ 372 ] + } + }, + "_zz_27__TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 203 ], + "LSR": [ "0" ], + "Q": [ 373 ] + } + }, + "_zz_27__TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 179 ], + "LSR": [ "0" ], + "Q": [ 374 ] + } + }, + "_zz_27__TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 183 ], + "LSR": [ "0" ], + "Q": [ 375 ] + } + }, + "_zz_27__TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 185 ], + "LSR": [ "0" ], + "Q": [ 376 ] + } + }, + "_zz_27__TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 189 ], + "LSR": [ "0" ], + "Q": [ 377 ] + } + }, + "_zz_27__TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 192 ], + "LSR": [ "0" ], + "Q": [ 378 ] + } + }, + "_zz_27__TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 195 ], + "LSR": [ "0" ], + "Q": [ 379 ] + } + }, + "_zz_27__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 233 ], + "LSR": [ "0" ], + "Q": [ 380 ] + } + }, + "_zz_27__TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 198 ], + "LSR": [ "0" ], + "Q": [ 381 ] + } + }, + "_zz_27__TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 201 ], + "LSR": [ "0" ], + "Q": [ 382 ] + } + }, + "_zz_27__TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 237 ], + "LSR": [ "0" ], + "Q": [ 383 ] + } + }, + "_zz_27__TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 241 ], + "LSR": [ "0" ], + "Q": [ 384 ] + } + }, + "_zz_27__TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 245 ], + "LSR": [ "0" ], + "Q": [ 385 ] + } + }, + "_zz_27__TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 249 ], + "LSR": [ "0" ], + "Q": [ 386 ] + } + }, + "_zz_27__TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 181 ], + "LSR": [ "0" ], + "Q": [ 387 ] + } + }, + "_zz_27__TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 184 ], + "LSR": [ "0" ], + "Q": [ 388 ] + } + }, + "_zz_28__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 254 ], + "LSR": [ "0" ], + "Q": [ 389 ] + } + }, + "_zz_28__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 256 ], + "LSR": [ "0" ], + "Q": [ 390 ] + } + }, + "_zz_28__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 257 ], + "LSR": [ "0" ], + "Q": [ 391 ] + } + }, + "_zz_28__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 294 ], + "CLK": [ 3 ], + "DI": [ 258 ], + "LSR": [ "0" ], + "Q": [ 392 ] + } + }, + "_zz_30__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8157.3-8183.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 393 ], + "LSR": [ 71 ], + "Q": [ 261 ] + } + }, + "_zz_30__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 280 ], + "D": [ 269 ], + "Z": [ 393 ] + } + }, + "_zz_30__TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 394 ], + "C": [ 395 ], + "D": [ 396 ], + "Z": [ 280 ] + } + }, + "_zz_31__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 293 ], + "LSR": [ "0" ], + "Q": [ 397 ] + } + }, + "_zz_32__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 398 ], + "LSR": [ "0" ], + "Q": [ 399 ] + } + }, + "_zz_32__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 400 ], + "LSR": [ "0" ], + "Q": [ 401 ] + } + }, + "_zz_32__TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 402 ], + "LSR": [ "0" ], + "Q": [ 403 ] + } + }, + "_zz_32__TRELLIS_FF_Q_10_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 299 ], + "C": [ 300 ], + "D": [ 269 ], + "Z": [ 402 ] + } + }, + "_zz_32__TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 404 ], + "LSR": [ "0" ], + "Q": [ 405 ] + } + }, + "_zz_32__TRELLIS_FF_Q_11_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 301 ], + "C": [ 302 ], + "D": [ 269 ], + "Z": [ 404 ] + } + }, + "_zz_32__TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 406 ], + "LSR": [ "0" ], + "Q": [ 407 ] + } + }, + "_zz_32__TRELLIS_FF_Q_12_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 303 ], + "C": [ 304 ], + "D": [ 269 ], + "Z": [ 406 ] + } + }, + "_zz_32__TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 408 ], + "LSR": [ "0" ], + "Q": [ 409 ] + } + }, + "_zz_32__TRELLIS_FF_Q_13_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 305 ], + "C": [ 306 ], + "D": [ 269 ], + "Z": [ 408 ] + } + }, + "_zz_32__TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 410 ], + "LSR": [ "0" ], + "Q": [ 411 ] + } + }, + "_zz_32__TRELLIS_FF_Q_14_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 307 ], + "C": [ 308 ], + "D": [ 269 ], + "Z": [ 410 ] + } + }, + "_zz_32__TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 412 ], + "LSR": [ "0" ], + "Q": [ 413 ] + } + }, + "_zz_32__TRELLIS_FF_Q_15_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 309 ], + "C": [ 310 ], + "D": [ 269 ], + "Z": [ 412 ] + } + }, + "_zz_32__TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 414 ], + "LSR": [ "0" ], + "Q": [ 415 ] + } + }, + "_zz_32__TRELLIS_FF_Q_16_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 311 ], + "C": [ 312 ], + "D": [ 269 ], + "Z": [ 414 ] + } + }, + "_zz_32__TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 416 ], + "LSR": [ "0" ], + "Q": [ 417 ] + } + }, + "_zz_32__TRELLIS_FF_Q_17_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 313 ], + "C": [ 314 ], + "D": [ 269 ], + "Z": [ 416 ] + } + }, + "_zz_32__TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 418 ], + "LSR": [ "0" ], + "Q": [ 419 ] + } + }, + "_zz_32__TRELLIS_FF_Q_18_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 315 ], + "C": [ 316 ], + "D": [ 269 ], + "Z": [ 418 ] + } + }, + "_zz_32__TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 420 ], + "LSR": [ "0" ], + "Q": [ 421 ] + } + }, + "_zz_32__TRELLIS_FF_Q_19_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 317 ], + "C": [ 318 ], + "D": [ 269 ], + "Z": [ 420 ] + } + }, + "_zz_32__TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 297 ], + "C": [ 298 ], + "D": [ 269 ], + "Z": [ 400 ] + } + }, + "_zz_32__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 422 ], + "LSR": [ "0" ], + "Q": [ 423 ] + } + }, + "_zz_32__TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 424 ], + "LSR": [ "0" ], + "Q": [ 425 ] + } + }, + "_zz_32__TRELLIS_FF_Q_20_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 321 ], + "C": [ 322 ], + "D": [ 269 ], + "Z": [ 424 ] + } + }, + "_zz_32__TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 426 ], + "LSR": [ "0" ], + "Q": [ 427 ] + } + }, + "_zz_32__TRELLIS_FF_Q_21_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 323 ], + "C": [ 324 ], + "D": [ 269 ], + "Z": [ 426 ] + } + }, + "_zz_32__TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 428 ], + "LSR": [ "0" ], + "Q": [ 429 ] + } + }, + "_zz_32__TRELLIS_FF_Q_22_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 325 ], + "C": [ 326 ], + "D": [ 269 ], + "Z": [ 428 ] + } + }, + "_zz_32__TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 430 ], + "LSR": [ "0" ], + "Q": [ 431 ] + } + }, + "_zz_32__TRELLIS_FF_Q_23_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 327 ], + "C": [ 328 ], + "D": [ 269 ], + "Z": [ 430 ] + } + }, + "_zz_32__TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 432 ], + "LSR": [ "0" ], + "Q": [ 433 ] + } + }, + "_zz_32__TRELLIS_FF_Q_24_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 329 ], + "C": [ 330 ], + "D": [ 269 ], + "Z": [ 432 ] + } + }, + "_zz_32__TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 434 ], + "LSR": [ "0" ], + "Q": [ 435 ] + } + }, + "_zz_32__TRELLIS_FF_Q_25_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 331 ], + "C": [ 332 ], + "D": [ 269 ], + "Z": [ 434 ] + } + }, + "_zz_32__TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 436 ], + "LSR": [ "0" ], + "Q": [ 437 ] + } + }, + "_zz_32__TRELLIS_FF_Q_26_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 333 ], + "C": [ 334 ], + "D": [ 269 ], + "Z": [ 436 ] + } + }, + "_zz_32__TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 438 ], + "LSR": [ "0" ], + "Q": [ 439 ] + } + }, + "_zz_32__TRELLIS_FF_Q_27_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 335 ], + "C": [ 336 ], + "D": [ 269 ], + "Z": [ 438 ] + } + }, + "_zz_32__TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 440 ], + "LSR": [ "0" ], + "Q": [ 441 ] + } + }, + "_zz_32__TRELLIS_FF_Q_28_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 337 ], + "C": [ 338 ], + "D": [ 269 ], + "Z": [ 440 ] + } + }, + "_zz_32__TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 442 ], + "LSR": [ "0" ], + "Q": [ 443 ] + } + }, + "_zz_32__TRELLIS_FF_Q_29_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 339 ], + "C": [ 340 ], + "D": [ 269 ], + "Z": [ 442 ] + } + }, + "_zz_32__TRELLIS_FF_Q_2_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 319 ], + "C": [ 320 ], + "D": [ 269 ], + "Z": [ 422 ] + } + }, + "_zz_32__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 444 ], + "LSR": [ "0" ], + "Q": [ 445 ] + } + }, + "_zz_32__TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 446 ], + "LSR": [ "0" ], + "Q": [ 447 ] + } + }, + "_zz_32__TRELLIS_FF_Q_30_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 252 ], + "C": [ 343 ], + "D": [ 269 ], + "Z": [ 446 ] + } + }, + "_zz_32__TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 448 ], + "LSR": [ "0" ], + "Q": [ 449 ] + } + }, + "_zz_32__TRELLIS_FF_Q_31_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 255 ], + "C": [ 344 ], + "D": [ 269 ], + "Z": [ 448 ] + } + }, + "_zz_32__TRELLIS_FF_Q_3_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 341 ], + "C": [ 342 ], + "D": [ 269 ], + "Z": [ 444 ] + } + }, + "_zz_32__TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 450 ], + "LSR": [ "0" ], + "Q": [ 451 ] + } + }, + "_zz_32__TRELLIS_FF_Q_4_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 345 ], + "C": [ 346 ], + "D": [ 269 ], + "Z": [ 450 ] + } + }, + "_zz_32__TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 452 ], + "LSR": [ "0" ], + "Q": [ 453 ] + } + }, + "_zz_32__TRELLIS_FF_Q_5_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 347 ], + "C": [ 348 ], + "D": [ 269 ], + "Z": [ 452 ] + } + }, + "_zz_32__TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 454 ], + "LSR": [ "0" ], + "Q": [ 455 ] + } + }, + "_zz_32__TRELLIS_FF_Q_6_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 349 ], + "C": [ 350 ], + "D": [ 269 ], + "Z": [ 454 ] + } + }, + "_zz_32__TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 456 ], + "LSR": [ "0" ], + "Q": [ 457 ] + } + }, + "_zz_32__TRELLIS_FF_Q_7_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 351 ], + "C": [ 352 ], + "D": [ 269 ], + "Z": [ 456 ] + } + }, + "_zz_32__TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 458 ], + "LSR": [ "0" ], + "Q": [ 459 ] + } + }, + "_zz_32__TRELLIS_FF_Q_8_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 353 ], + "C": [ 354 ], + "D": [ 269 ], + "Z": [ 458 ] + } + }, + "_zz_32__TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 460 ], + "LSR": [ "0" ], + "Q": [ 461 ] + } + }, + "_zz_32__TRELLIS_FF_Q_9_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 355 ], + "C": [ 356 ], + "D": [ 269 ], + "Z": [ 460 ] + } + }, + "_zz_32__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 295 ], + "C": [ 296 ], + "D": [ 269 ], + "Z": [ 398 ] + } + }, + "_zz_33__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 462 ], + "LSR": [ "0" ], + "Q": [ 463 ] + } + }, + "_zz_33__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 464 ], + "LSR": [ "0" ], + "Q": [ 465 ] + } + }, + "_zz_33__TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 466 ], + "LSR": [ "0" ], + "Q": [ 467 ] + } + }, + "_zz_33__TRELLIS_FF_Q_10_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 205 ], + "C": [ 359 ], + "D": [ 269 ], + "Z": [ 466 ] + } + }, + "_zz_33__TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 468 ], + "LSR": [ "0" ], + "Q": [ 469 ] + } + }, + "_zz_33__TRELLIS_FF_Q_11_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 207 ], + "C": [ 360 ], + "D": [ 269 ], + "Z": [ 468 ] + } + }, + "_zz_33__TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 470 ], + "LSR": [ "0" ], + "Q": [ 471 ] + } + }, + "_zz_33__TRELLIS_FF_Q_12_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 209 ], + "C": [ 361 ], + "D": [ 269 ], + "Z": [ 470 ] + } + }, + "_zz_33__TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 472 ], + "LSR": [ "0" ], + "Q": [ 473 ] + } + }, + "_zz_33__TRELLIS_FF_Q_13_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 211 ], + "C": [ 362 ], + "D": [ 269 ], + "Z": [ 472 ] + } + }, + "_zz_33__TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 474 ], + "LSR": [ "0" ], + "Q": [ 475 ] + } + }, + "_zz_33__TRELLIS_FF_Q_14_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 213 ], + "C": [ 363 ], + "D": [ 269 ], + "Z": [ 474 ] + } + }, + "_zz_33__TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 476 ], + "LSR": [ "0" ], + "Q": [ 477 ] + } + }, + "_zz_33__TRELLIS_FF_Q_15_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 215 ], + "C": [ 364 ], + "D": [ 269 ], + "Z": [ 476 ] + } + }, + "_zz_33__TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 478 ], + "LSR": [ "0" ], + "Q": [ 479 ] + } + }, + "_zz_33__TRELLIS_FF_Q_16_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 217 ], + "C": [ 365 ], + "D": [ 269 ], + "Z": [ 478 ] + } + }, + "_zz_33__TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 480 ], + "LSR": [ "0" ], + "Q": [ 481 ] + } + }, + "_zz_33__TRELLIS_FF_Q_17_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 219 ], + "C": [ 366 ], + "D": [ 269 ], + "Z": [ 480 ] + } + }, + "_zz_33__TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 482 ], + "LSR": [ "0" ], + "Q": [ 483 ] + } + }, + "_zz_33__TRELLIS_FF_Q_18_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 188 ], + "C": [ 367 ], + "D": [ 269 ], + "Z": [ 482 ] + } + }, + "_zz_33__TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 484 ], + "LSR": [ "0" ], + "Q": [ 485 ] + } + }, + "_zz_33__TRELLIS_FF_Q_19_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 191 ], + "C": [ 368 ], + "D": [ 269 ], + "Z": [ 484 ] + } + }, + "_zz_33__TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 225 ], + "C": [ 358 ], + "D": [ 269 ], + "Z": [ 464 ] + } + }, + "_zz_33__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 486 ], + "LSR": [ "0" ], + "Q": [ 487 ] + } + }, + "_zz_33__TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 488 ], + "LSR": [ "0" ], + "Q": [ 489 ] + } + }, + "_zz_33__TRELLIS_FF_Q_20_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 203 ], + "C": [ 373 ], + "D": [ 269 ], + "Z": [ 488 ] + } + }, + "_zz_33__TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 490 ], + "LSR": [ "0" ], + "Q": [ 491 ] + } + }, + "_zz_33__TRELLIS_FF_Q_21_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 179 ], + "C": [ 374 ], + "D": [ 269 ], + "Z": [ 490 ] + } + }, + "_zz_33__TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 492 ], + "LSR": [ "0" ], + "Q": [ 493 ] + } + }, + "_zz_33__TRELLIS_FF_Q_22_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 183 ], + "C": [ 375 ], + "D": [ 269 ], + "Z": [ 492 ] + } + }, + "_zz_33__TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 494 ], + "LSR": [ "0" ], + "Q": [ 495 ] + } + }, + "_zz_33__TRELLIS_FF_Q_23_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 185 ], + "C": [ 376 ], + "D": [ 269 ], + "Z": [ 494 ] + } + }, + "_zz_33__TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 496 ], + "LSR": [ "0" ], + "Q": [ 497 ] + } + }, + "_zz_33__TRELLIS_FF_Q_24_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 189 ], + "C": [ 377 ], + "D": [ 269 ], + "Z": [ 496 ] + } + }, + "_zz_33__TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 498 ], + "LSR": [ "0" ], + "Q": [ 499 ] + } + }, + "_zz_33__TRELLIS_FF_Q_25_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 192 ], + "C": [ 378 ], + "D": [ 269 ], + "Z": [ 498 ] + } + }, + "_zz_33__TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 500 ], + "LSR": [ "0" ], + "Q": [ 501 ] + } + }, + "_zz_33__TRELLIS_FF_Q_26_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 195 ], + "C": [ 379 ], + "D": [ 269 ], + "Z": [ 500 ] + } + }, + "_zz_33__TRELLIS_FF_Q_2_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 229 ], + "C": [ 369 ], + "D": [ 269 ], + "Z": [ 486 ] + } + }, + "_zz_33__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 502 ], + "LSR": [ "0" ], + "Q": [ 503 ] + } + }, + "_zz_33__TRELLIS_FF_Q_3_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 233 ], + "C": [ 380 ], + "D": [ 269 ], + "Z": [ 502 ] + } + }, + "_zz_33__TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 504 ], + "LSR": [ "0" ], + "Q": [ 505 ] + } + }, + "_zz_33__TRELLIS_FF_Q_4_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 237 ], + "C": [ 383 ], + "D": [ 269 ], + "Z": [ 504 ] + } + }, + "_zz_33__TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 506 ], + "LSR": [ "0" ], + "Q": [ 507 ] + } + }, + "_zz_33__TRELLIS_FF_Q_5_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 241 ], + "C": [ 384 ], + "D": [ 269 ], + "Z": [ 506 ] + } + }, + "_zz_33__TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 508 ], + "LSR": [ "0" ], + "Q": [ 509 ] + } + }, + "_zz_33__TRELLIS_FF_Q_6_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 245 ], + "C": [ 385 ], + "D": [ 269 ], + "Z": [ 508 ] + } + }, + "_zz_33__TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 510 ], + "LSR": [ "0" ], + "Q": [ 511 ] + } + }, + "_zz_33__TRELLIS_FF_Q_7_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 249 ], + "C": [ 386 ], + "D": [ 269 ], + "Z": [ 510 ] + } + }, + "_zz_33__TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 512 ], + "LSR": [ "0" ], + "Q": [ 513 ] + } + }, + "_zz_33__TRELLIS_FF_Q_8_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 181 ], + "C": [ 387 ], + "D": [ 269 ], + "Z": [ 512 ] + } + }, + "_zz_33__TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 514 ], + "LSR": [ "0" ], + "Q": [ 515 ] + } + }, + "_zz_33__TRELLIS_FF_Q_9_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 184 ], + "C": [ 388 ], + "D": [ 269 ], + "Z": [ 514 ] + } + }, + "_zz_33__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 222 ], + "C": [ 357 ], + "D": [ 269 ], + "Z": [ 462 ] + } + }, + "_zz_34__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 516 ], + "LSR": [ "0" ], + "Q": [ 517 ] + } + }, + "_zz_34__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 518 ], + "LSR": [ "0" ], + "Q": [ 519 ] + } + }, + "_zz_34__TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 256 ], + "C": [ 390 ], + "D": [ 269 ], + "Z": [ 518 ] + } + }, + "_zz_34__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 520 ], + "LSR": [ "0" ], + "Q": [ 521 ] + } + }, + "_zz_34__TRELLIS_FF_Q_2_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000010001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 253 ], + "B": [ 252 ], + "C": [ 391 ], + "D": [ 269 ], + "Z": [ 520 ] + } + }, + "_zz_34__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 522 ], + "LSR": [ "0" ], + "Q": [ 523 ] + } + }, + "_zz_34__TRELLIS_FF_Q_3_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 258 ], + "C": [ 392 ], + "D": [ 269 ], + "Z": [ 522 ] + } + }, + "_zz_34__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 254 ], + "C": [ 389 ], + "D": [ 269 ], + "Z": [ 516 ] + } + }, + "_zz_35__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8216.3-8222.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 524 ], + "LSR": [ 525 ], + "Q": [ 526 ] + } + }, + "_zz_35__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 527 ], + "B": [ 528 ], + "C": [ 529 ], + "D": [ 530 ], + "Z": [ 524 ] + } + }, + "_zz_47__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 69 ], + "D": [ 72 ], + "Z": [ 531 ] + } + }, + "_zz_48__L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 532 ], + "D1": [ 533 ], + "SD": [ 261 ], + "Z": [ 294 ] + } + }, + "_zz_48__L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 534 ], + "BLUT": [ 535 ], + "C0": [ 269 ], + "Z": [ 532 ] + } + }, + "_zz_48__L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 534 ] + } + }, + "_zz_48__L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 535 ] + } + }, + "_zz_48__L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 536 ], + "BLUT": [ 537 ], + "C0": [ 269 ], + "Z": [ 533 ] + } + }, + "_zz_48__L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 536 ] + } + }, + "_zz_48__L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 263 ], + "B": [ 264 ], + "C": [ 265 ], + "D": [ 266 ], + "Z": [ 537 ] + } + }, + "_zz_4__L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 16 ], + "D1": [ 13 ], + "SD": [ 24 ], + "Z": [ 69 ] + } + }, + "_zz_5__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8157.3-8183.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 538 ], + "CLK": [ 3 ], + "DI": [ 539 ], + "LSR": [ 71 ], + "Q": [ 72 ] + } + }, + "_zz_5__TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 69 ], + "D": [ 72 ], + "Z": [ 538 ] + } + }, + "_zz_5__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 69 ], + "D": [ 73 ], + "Z": [ 539 ] + } + }, + "_zz_7__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 177 ], + "LSR": [ "0" ], + "Q": [ 176 ] + } + }, + "_zz_7__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 111 ], + "LSR": [ "0" ], + "Q": [ 110 ] + } + }, + "_zz_7__TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 78 ], + "LSR": [ "0" ], + "Q": [ 77 ] + } + }, + "_zz_7__TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 81 ], + "LSR": [ "0" ], + "Q": [ 80 ] + } + }, + "_zz_7__TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 84 ], + "LSR": [ "0" ], + "Q": [ 83 ] + } + }, + "_zz_7__TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 87 ], + "LSR": [ "0" ], + "Q": [ 86 ] + } + }, + "_zz_7__TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 90 ], + "LSR": [ "0" ], + "Q": [ 89 ] + } + }, + "_zz_7__TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 93 ], + "LSR": [ "0" ], + "Q": [ 92 ] + } + }, + "_zz_7__TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 97 ], + "LSR": [ "0" ], + "Q": [ 96 ] + } + }, + "_zz_7__TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 101 ], + "LSR": [ "0" ], + "Q": [ 100 ] + } + }, + "_zz_7__TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 105 ], + "LSR": [ "0" ], + "Q": [ 104 ] + } + }, + "_zz_7__TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 109 ], + "LSR": [ "0" ], + "Q": [ 108 ] + } + }, + "_zz_7__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 154 ], + "LSR": [ "0" ], + "Q": [ 153 ] + } + }, + "_zz_7__TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 116 ], + "LSR": [ "0" ], + "Q": [ 115 ] + } + }, + "_zz_7__TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 120 ], + "LSR": [ "0" ], + "Q": [ 119 ] + } + }, + "_zz_7__TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 124 ], + "LSR": [ "0" ], + "Q": [ 123 ] + } + }, + "_zz_7__TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 128 ], + "LSR": [ "0" ], + "Q": [ 127 ] + } + }, + "_zz_7__TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 132 ], + "LSR": [ "0" ], + "Q": [ 131 ] + } + }, + "_zz_7__TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 136 ], + "LSR": [ "0" ], + "Q": [ 135 ] + } + }, + "_zz_7__TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 140 ], + "LSR": [ "0" ], + "Q": [ 139 ] + } + }, + "_zz_7__TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 144 ], + "LSR": [ "0" ], + "Q": [ 143 ] + } + }, + "_zz_7__TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 148 ], + "LSR": [ "0" ], + "Q": [ 147 ] + } + }, + "_zz_7__TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 152 ], + "LSR": [ "0" ], + "Q": [ 151 ] + } + }, + "_zz_7__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 157 ], + "LSR": [ "0" ], + "Q": [ 156 ] + } + }, + "_zz_7__TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 160 ], + "LSR": [ "0" ], + "Q": [ 159 ] + } + }, + "_zz_7__TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 163 ], + "LSR": [ "0" ], + "Q": [ 162 ] + } + }, + "_zz_7__TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 166 ], + "LSR": [ "0" ], + "Q": [ 165 ] + } + }, + "_zz_7__TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 169 ], + "LSR": [ "0" ], + "Q": [ 168 ] + } + }, + "_zz_7__TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 172 ], + "LSR": [ "0" ], + "Q": [ 171 ] + } + }, + "_zz_7__TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 531 ], + "CLK": [ 3 ], + "DI": [ 175 ], + "LSR": [ "0" ], + "Q": [ 174 ] + } + }, + "apb3Router_1_.selIndex_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6978.3-6980.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 540 ], + "LSR": [ "0" ], + "Q": [ 541 ] + } + }, + "apb3Router_1_.selIndex_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6978.3-6980.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 542 ], + "LSR": [ "0" ], + "Q": [ 543 ] + } + }, + "apb3UartCtrl_1_._zz_10__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 544 ], + "LSR": [ "0" ], + "Q": [ 545 ] + } + }, + "apb3UartCtrl_1_._zz_10__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 201 ], + "C": [ 382 ], + "D": [ 269 ], + "Z": [ 544 ] + } + }, + "apb3UartCtrl_1_._zz_12__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 546 ], + "LSR": [ "0" ], + "Q": [ 547 ] + } + }, + "apb3UartCtrl_1_._zz_12__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 198 ], + "C": [ 381 ], + "D": [ 269 ], + "Z": [ 546 ] + } + }, + "apb3UartCtrl_1_._zz_14__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 548 ], + "LSR": [ "0" ], + "Q": [ 549 ] + } + }, + "apb3UartCtrl_1_._zz_14__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 200 ], + "C": [ 372 ], + "D": [ 269 ], + "Z": [ 548 ] + } + }, + "apb3UartCtrl_1_._zz_16__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 550 ], + "LSR": [ "0" ], + "Q": [ 551 ] + } + }, + "apb3UartCtrl_1_._zz_16__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 197 ], + "C": [ 371 ], + "D": [ 269 ], + "Z": [ 550 ] + } + }, + "apb3UartCtrl_1_._zz_18__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8185.3-8210.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 262 ], + "CLK": [ 3 ], + "DI": [ 552 ], + "LSR": [ "0" ], + "Q": [ 553 ] + } + }, + "apb3UartCtrl_1_._zz_18__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 194 ], + "C": [ 370 ], + "D": [ 269 ], + "Z": [ 552 ] + } + }, + "apb3UartCtrl_1_._zz_22__CCU2C_S0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6078.21-6078.90|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "1" ], + "A1": [ "0" ], + "B0": [ 554 ], + "B1": [ "0" ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 555 ], + "COUT": [ 556 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 557 ], + "S1": [ 558 ] + } + }, + "apb3UartCtrl_1_._zz_22__CCU2C_S0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6078.21-6078.90|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 559 ], + "B1": [ 560 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 561 ], + "COUT": [ 555 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 562 ], + "S1": [ 563 ] + } + }, + "apb3UartCtrl_1_._zz_22__CCU2C_S0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6078.21-6078.90|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 564 ], + "B1": [ 565 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ "1" ], + "COUT": [ 561 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 566 ], + "S1": [ 567 ] + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_interrupt_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 568 ], + "B": [ 569 ], + "C": [ 570 ], + "D": [ 571 ], + "Z": [ 572 ] + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_interrupt_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 573 ], + "D": [ 574 ], + "Z": [ 568 ] + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 568 ], + "C": [ 575 ], + "D": [ 569 ], + "Z": [ 576 ] + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 577 ], + "B": [ 578 ], + "C": [ 579 ], + "D": [ 580 ], + "Z": [ 581 ] + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable_LUT4_D_Z_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 582 ], + "B": [ 583 ], + "C": [ 584 ], + "D": [ 585 ], + "Z": [ 579 ] + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable_LUT4_D_Z_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 586 ], + "B": [ 587 ], + "C": [ 588 ], + "D": [ 589 ], + "Z": [ 580 ] + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable_LUT4_D_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 590 ], + "BLUT": [ 591 ], + "C0": [ 592 ], + "Z": [ 593 ] + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6323.3-6381.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 594 ], + "CLK": [ 3 ], + "DI": [ 547 ], + "LSR": [ 71 ], + "Q": [ 569 ] + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 595 ], + "D": [ 596 ], + "Z": [ 594 ] + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable_TRELLIS_FF_Q_CE_LUT4_Z_C_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 595 ], + "D": [ 597 ], + "Z": [ 598 ] + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable_TRELLIS_FF_Q_CE_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 599 ], + "C": [ 600 ], + "D": [ 397 ], + "Z": [ 595 ] + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_writeIntEnable_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000001111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 571 ], + "B": [ 570 ], + "C": [ 575 ], + "D": [ 601 ], + "Z": [ 602 ] + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_writeIntEnable_LUT4_B_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 574 ], + "C": [ 597 ], + "D": [ 603 ], + "Z": [ 601 ] + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_writeIntEnable_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6323.3-6381.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 594 ], + "CLK": [ 3 ], + "DI": [ 545 ], + "LSR": [ 71 ], + "Q": [ 570 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_breakDetected_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000001111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 597 ], + "B": [ 603 ], + "C": [ 604 ], + "D": [ 605 ], + "Z": [ 590 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_breakDetected_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 597 ], + "C": [ 603 ], + "D": [ 604 ], + "Z": [ 591 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_breakDetected_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6323.3-6381.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 606 ], + "LSR": [ 71 ], + "Q": [ 604 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_breakDetected_TRELLIS_FF_Q_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 607 ], + "BLUT": [ 608 ], + "C0": [ 604 ], + "Z": [ 606 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_breakDetected_TRELLIS_FF_Q_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 549 ], + "D": [ 598 ], + "Z": [ 607 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_doBreak_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6323.3-6381.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 609 ], + "LSR": [ 71 ], + "Q": [ 610 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_doBreak_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 551 ], + "B": [ 610 ], + "C": [ 553 ], + "D": [ 598 ], + "Z": [ 609 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 611 ], + "B": [ 612 ], + "C": [ 439 ], + "D": [ 613 ], + "Z": [ 614 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_D_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 613 ], + "D": [ 439 ], + "Z": [ 597 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_D_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 543 ], + "D": [ 541 ], + "Z": [ 603 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 443 ], + "B": [ 441 ], + "C": [ 449 ], + "D": [ 447 ], + "Z": [ 613 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 570 ], + "B": [ 596 ], + "C": [ 614 ], + "D": [ 603 ], + "Z": [ 615 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_Z_LUT4_C_B_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 596 ], + "D": [ 603 ], + "Z": [ 575 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_Z_LUT4_C_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 439 ], + "D": [ 616 ], + "Z": [ 596 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_Z_LUT4_C_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 617 ], + "BLUT": [ 618 ], + "C0": [ 619 ], + "Z": [ 620 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_Z_LUT4_C_Z_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 621 ], + "B": [ 622 ], + "C": [ 623 ], + "D": [ 624 ], + "Z": [ 617 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_Z_LUT4_C_Z_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 618 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6323.3-6381.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 625 ], + "CLK": [ 3 ], + "DI": [ 626 ], + "LSR": [ 71 ], + "Q": [ 611 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readOverflowError_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 627 ], + "B": [ 628 ], + "C": [ 439 ], + "D": [ 613 ], + "Z": [ 629 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readOverflowError_LUT4_A_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 569 ], + "B": [ 596 ], + "C": [ 629 ], + "D": [ 603 ], + "Z": [ 630 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readOverflowError_LUT4_A_Z_LUT4_C_Z_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 605 ], + "C": [ 631 ], + "D": [ 630 ], + "Z": [ 632 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readOverflowError_LUT4_A_Z_LUT4_C_Z_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 633 ], + "B": [ 634 ], + "C": [ 635 ], + "D": [ 636 ], + "Z": [ 637 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readOverflowError_LUT4_A_Z_LUT4_C_Z_LUT4_D_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 577 ], + "D": [ 638 ], + "Z": [ 639 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readOverflowError_LUT4_A_Z_LUT4_C_Z_LUT4_D_Z_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 640 ], + "C": [ 641 ], + "D": [ 642 ], + "Z": [ 643 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readOverflowError_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6323.3-6381.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 644 ], + "CLK": [ 3 ], + "DI": [ 645 ], + "LSR": [ 71 ], + "Q": [ 627 ] + } + }, + "apb3UartCtrl_1_.bridge_misc_readOverflowError_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 547 ], + "C": [ 598 ], + "D": [ 645 ], + "Z": [ 644 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_1__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 554 ], + "C": [ 595 ], + "D": [ 646 ], + "Z": [ 647 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 648 ], + "C": [ 649 ], + "D": [ 650 ], + "Z": [ 571 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 651 ], + "D": [ 652 ], + "Z": [ 653 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 574 ], + "D": [ 610 ], + "Z": [ 654 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__LUT4_C_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 655 ], + "BLUT": [ 656 ], + "C0": [ 657 ], + "Z": [ 658 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__LUT4_C_Z_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 659 ], + "B": [ 660 ], + "C": [ 661 ], + "D": [ 662 ], + "Z": [ 655 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__LUT4_C_Z_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 656 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6098.14-6110.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 663 ], + "LSR": [ 71 ], + "Q": [ 649 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 664 ], + "BLUT": [ 665 ], + "C0": [ 666 ], + "Z": [ 663 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000001111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 667 ], + "B": [ 668 ], + "C": [ 669 ], + "D": [ 670 ], + "Z": [ 664 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 665 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_3__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 671 ], + "LSR": [ "0" ], + "Q": [ 672 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_3__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 673 ], + "LSR": [ "0" ], + "Q": [ 674 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_3__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 675 ], + "LSR": [ "0" ], + "Q": [ 676 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_3__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 677 ], + "LSR": [ "0" ], + "Q": [ 678 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_3__TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 679 ], + "LSR": [ "0" ], + "Q": [ 680 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_3__TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 681 ], + "LSR": [ "0" ], + "Q": [ 682 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_3__TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 683 ], + "LSR": [ "0" ], + "Q": [ 684 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_3__TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 685 ], + "LSR": [ "0" ], + "Q": [ 686 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.io_occupancy_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110110110010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 687 ], + "B": [ 688 ], + "C": [ 669 ], + "D": [ 670 ], + "Z": [ 560 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.io_occupancy_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 689 ], + "D": [ 687 ], + "Z": [ 559 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.io_occupancy_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100101110110100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 690 ], + "B": [ 691 ], + "C": [ 668 ], + "D": [ 692 ], + "Z": [ 565 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.io_occupancy_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 691 ], + "D": [ 690 ], + "Z": [ 564 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.io_occupancy_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0010101100100010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 668 ], + "B": [ 692 ], + "C": [ 690 ], + "D": [ 691 ], + "Z": [ 687 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.io_occupancy_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 693 ], + "D": [ 694 ], + "Z": [ 670 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.io_occupancy_LUT4_Z_D_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 669 ], + "D": [ 688 ], + "Z": [ 689 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_full_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 650 ], + "D": [ 648 ], + "Z": [ 554 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_full_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 695 ], + "BLUT": [ 696 ], + "C0": [ 689 ], + "Z": [ 650 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_full_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 564 ], + "B": [ 670 ], + "C": [ 668 ], + "D": [ 692 ], + "Z": [ 695 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_full_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 696 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 667 ], + "B": [ 668 ], + "C": [ 669 ], + "D": [ 693 ], + "Z": [ 697 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 667 ], + "C": [ 668 ], + "D": [ 669 ], + "Z": [ 698 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 667 ], + "D": [ 668 ], + "Z": [ 699 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 700 ], + "D": [ 691 ], + "Z": [ 701 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 702 ], + "D1": [ 703 ], + "SD": [ 571 ], + "Z": [ 700 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 704 ], + "BLUT": [ 705 ], + "C0": [ 654 ], + "Z": [ 702 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 653 ], + "B": [ 706 ], + "C": [ 707 ], + "D": [ 658 ], + "Z": [ 704 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 705 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 708 ], + "BLUT": [ 709 ], + "C0": [ 654 ], + "Z": [ 703 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 708 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 709 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_A_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 710 ], + "D1": [ 711 ], + "SD": [ 689 ], + "Z": [ 666 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_A_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 712 ], + "BLUT": [ 713 ], + "C0": [ 668 ], + "Z": [ 710 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_A_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 700 ], + "B": [ 690 ], + "C": [ 691 ], + "D": [ 692 ], + "Z": [ 712 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_A_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 713 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_A_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 714 ], + "BLUT": [ 715 ], + "C0": [ 668 ], + "Z": [ 711 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_A_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100100100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 700 ], + "B": [ 690 ], + "C": [ 691 ], + "D": [ 692 ], + "Z": [ 714 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_A_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0010000001001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 700 ], + "B": [ 690 ], + "C": [ 691 ], + "D": [ 692 ], + "Z": [ 715 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 700 ], + "D": [ 691 ], + "Z": [ 667 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_value_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6098.14-6110.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 697 ], + "LSR": [ 71 ], + "Q": [ 693 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_value_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6098.14-6110.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 698 ], + "LSR": [ 71 ], + "Q": [ 669 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_value_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6098.14-6110.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 699 ], + "LSR": [ 71 ], + "Q": [ 668 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_value_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6098.14-6110.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 701 ], + "LSR": [ 71 ], + "Q": [ 691 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_valueNext_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 647 ], + "B": [ 690 ], + "C": [ 692 ], + "D": [ 688 ], + "Z": [ 716 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_valueNext_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 647 ], + "C": [ 690 ], + "D": [ 692 ], + "Z": [ 717 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_valueNext_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 647 ], + "D": [ 690 ], + "Z": [ 718 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_valueNext_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 719 ], + "BLUT": [ 720 ], + "C0": [ 694 ], + "Z": [ 721 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_valueNext_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 647 ], + "B": [ 690 ], + "C": [ 692 ], + "D": [ 688 ], + "Z": [ 719 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_valueNext_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 647 ], + "B": [ 690 ], + "C": [ 692 ], + "D": [ 688 ], + "Z": [ 720 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_value_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6098.14-6110.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 721 ], + "LSR": [ 71 ], + "Q": [ 694 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_value_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6098.14-6110.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 716 ], + "LSR": [ 71 ], + "Q": [ 688 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_value_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6098.14-6110.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 717 ], + "LSR": [ 71 ], + "Q": [ 692 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_value_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6098.14-6110.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 718 ], + "LSR": [ 71 ], + "Q": [ 690 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_ram.0.0.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 545, 547, 501, 499 ], + "DO": [ 677, 675, 673, 671 ], + "RAD": [ 701, 699, 698, 697 ], + "WAD": [ 690, 692, 688, 694 ], + "WCK": [ 3 ], + "WRE": [ 647 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_ram.1.0.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 497, 495, 493, 491 ], + "DO": [ 685, 683, 681, 679 ], + "RAD": [ 701, 699, 698, 697 ], + "WAD": [ 690, 692, 688, 694 ], + "WCK": [ 3 ], + "WRE": [ 647 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_risingOccupancy_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6098.14-6110.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 722 ], + "CLK": [ 3 ], + "DI": [ 647 ], + "LSR": [ 71 ], + "Q": [ 648 ] + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_risingOccupancy_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 647 ], + "D": [ 700 ], + "Z": [ 722 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_CCU2C_A0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:903.32-903.64|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 723 ], + "A1": [ 724 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 725 ], + "COUT": [ 726 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 727 ], + "S1": [ 728 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_CCU2C_A0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:903.32-903.64|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 729 ], + "A1": [ 730 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 731 ], + "COUT": [ 725 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 732 ], + "S1": [ 733 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_CCU2C_A0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:903.32-903.64|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 734 ], + "A1": [ 735 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 736 ], + "COUT": [ 731 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 737 ], + "S1": [ 738 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_CCU2C_A0_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:903.32-903.64|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 739 ], + "A1": [ 740 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 741 ], + "COUT": [ 736 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 742 ], + "S1": [ 743 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_CCU2C_A0_4": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:903.32-903.64|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 744 ], + "A1": [ 745 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 746 ], + "COUT": [ 747 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 748 ], + "S1": [ 749 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_CCU2C_A0_5": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:903.32-903.64|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 750 ], + "A1": [ 751 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 752 ], + "COUT": [ 746 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 753 ], + "S1": [ 754 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_CCU2C_A0_6": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:903.32-903.64|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 755 ], + "A1": [ 756 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 757 ], + "COUT": [ 752 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 758 ], + "S1": [ 759 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_CCU2C_A0_7": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:903.32-903.64|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 760 ], + "A1": [ 761 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 762 ], + "COUT": [ 757 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 763 ], + "S1": [ 764 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_CCU2C_A0_8": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:903.32-903.64|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 765 ], + "A1": [ 766 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 726 ], + "COUT": [ 762 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 767 ], + "S1": [ 768 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_CCU2C_A0_9": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:903.32-903.64|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 769 ], + "A1": [ 770 ], + "B0": [ "1" ], + "B1": [ "0" ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ "1" ], + "COUT": [ 741 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 771 ], + "S1": [ 772 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 773 ], + "LSR": [ 71 ], + "Q": [ 745 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 774 ], + "LSR": [ 71 ], + "Q": [ 744 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 775 ], + "LSR": [ 71 ], + "Q": [ 724 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_10_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 728 ], + "Z": [ 775 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 777 ], + "LSR": [ 71 ], + "Q": [ 723 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_11_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 727 ], + "Z": [ 777 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 778 ], + "LSR": [ 71 ], + "Q": [ 730 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_12_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 733 ], + "Z": [ 778 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 779 ], + "LSR": [ 71 ], + "Q": [ 729 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_13_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 732 ], + "Z": [ 779 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 780 ], + "LSR": [ 71 ], + "Q": [ 735 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_14_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 738 ], + "Z": [ 780 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 781 ], + "LSR": [ 71 ], + "Q": [ 734 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_15_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 737 ], + "Z": [ 781 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 782 ], + "LSR": [ 71 ], + "Q": [ 740 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_16_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 743 ], + "Z": [ 782 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 783 ], + "LSR": [ 71 ], + "Q": [ 739 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_17_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 742 ], + "Z": [ 783 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 784 ], + "LSR": [ 71 ], + "Q": [ 770 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_18_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 772 ], + "Z": [ 784 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 785 ], + "LSR": [ 71 ], + "Q": [ 769 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_19_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 771 ], + "Z": [ 785 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 748 ], + "Z": [ 774 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 786 ], + "LSR": [ 71 ], + "Q": [ 751 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_2_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 754 ], + "Z": [ 786 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 787 ], + "LSR": [ 71 ], + "Q": [ 750 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_3_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 753 ], + "Z": [ 787 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 788 ], + "LSR": [ 71 ], + "Q": [ 756 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_4_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 759 ], + "Z": [ 788 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 789 ], + "LSR": [ 71 ], + "Q": [ 755 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_5_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 758 ], + "Z": [ 789 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 790 ], + "LSR": [ 71 ], + "Q": [ 761 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_6_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 764 ], + "Z": [ 790 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 791 ], + "LSR": [ 71 ], + "Q": [ 760 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_7_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 763 ], + "Z": [ 791 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 792 ], + "LSR": [ 71 ], + "Q": [ 766 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_8_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 768 ], + "Z": [ 792 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 793 ], + "LSR": [ 71 ], + "Q": [ 765 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_9_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 767 ], + "Z": [ 793 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 776 ], + "D": [ 749 ], + "Z": [ 773 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_readError_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 545 ], + "C": [ 598 ], + "D": [ 626 ], + "Z": [ 625 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_readError_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 794 ], + "BLUT": [ 795 ], + "C0": [ 796 ], + "Z": [ 626 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_readError_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 797 ], + "B": [ 798 ], + "C": [ 799 ], + "D": [ 800 ], + "Z": [ 794 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_readError_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 795 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitCounter_value_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 801 ], + "D": [ 802 ], + "Z": [ 803 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitCounter_value_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 804 ], + "LSR": [ "0" ], + "Q": [ 805 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitCounter_value_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 806 ], + "LSR": [ "0" ], + "Q": [ 802 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitCounter_value_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 807 ], + "LSR": [ "0" ], + "Q": [ 801 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitCounter_value_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101011100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 796 ], + "B": [ 808 ], + "C": [ 803 ], + "D": [ 805 ], + "Z": [ 804 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitCounter_value_TRELLIS_FF_Q_DI_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101011100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 796 ], + "B": [ 808 ], + "C": [ 801 ], + "D": [ 802 ], + "Z": [ 806 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitCounter_value_TRELLIS_FF_Q_DI_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 808 ], + "C": [ 796 ], + "D": [ 801 ], + "Z": [ 807 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitCounter_value_TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 799 ], + "C": [ 800 ], + "D": [ 796 ], + "Z": [ 808 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 809 ], + "LSR": [ "0" ], + "Q": [ 810 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 811 ], + "LSR": [ "0" ], + "Q": [ 812 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001000110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 810 ], + "B": [ 813 ], + "C": [ 812 ], + "D": [ 814 ], + "Z": [ 811 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 815 ], + "LSR": [ "0" ], + "Q": [ 816 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_2_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 817 ], + "BLUT": [ 818 ], + "C0": [ 819 ], + "Z": [ 815 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_2_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100001110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 812 ], + "B": [ 810 ], + "C": [ 816 ], + "D": [ 813 ], + "Z": [ 817 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_2_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 816 ], + "D": [ 813 ], + "Z": [ 818 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101100000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 812 ], + "B": [ 814 ], + "C": [ 813 ], + "D": [ 810 ], + "Z": [ 809 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 812 ], + "C": [ 810 ], + "D": [ 814 ], + "Z": [ 796 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 820 ], + "C": [ 803 ], + "D": [ 805 ], + "Z": [ 821 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 816 ], + "D": [ 819 ], + "Z": [ 814 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_CCU2C_B0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:498.29-498.50|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 822 ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 823 ], + "COUT": [ 824 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 825 ], + "S1": [ 826 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_CCU2C_B0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:498.29-498.50|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 827 ], + "B1": [ 828 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 829 ], + "COUT": [ 823 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 830 ], + "S1": [ 831 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_CCU2C_B0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:498.29-498.50|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 832 ], + "B1": [ 833 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 834 ], + "COUT": [ 829 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 835 ], + "S1": [ 836 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_CCU2C_B0_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:498.29-498.50|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "1" ], + "A1": [ "0" ], + "B0": [ 837 ], + "B1": [ 838 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 834 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 839 ], + "S1": [ 840 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 841 ], + "CLK": [ 3 ], + "DI": [ 842 ], + "LSR": [ 71 ], + "Q": [ 822 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 841 ], + "CLK": [ 3 ], + "DI": [ 843 ], + "LSR": [ 71 ], + "Q": [ 828 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 797 ], + "D": [ 831 ], + "Z": [ 843 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 841 ], + "CLK": [ 3 ], + "DI": [ 844 ], + "LSR": [ 71 ], + "Q": [ 827 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_2_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 797 ], + "D": [ 830 ], + "Z": [ 844 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 841 ], + "CLK": [ 3 ], + "DI": [ 845 ], + "LSR": [ 71 ], + "Q": [ 833 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_3_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 797 ], + "D": [ 836 ], + "Z": [ 845 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 841 ], + "CLK": [ 3 ], + "DI": [ 846 ], + "LSR": [ 71 ], + "Q": [ 832 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_4_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 797 ], + "D": [ 835 ], + "Z": [ 846 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 841 ], + "CLK": [ 3 ], + "DI": [ 847 ], + "LSR": [ 71 ], + "Q": [ 838 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_5_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 797 ], + "D": [ 840 ], + "Z": [ 847 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 841 ], + "CLK": [ 3 ], + "DI": [ 848 ], + "LSR": [ 71 ], + "Q": [ 837 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_6_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 797 ], + "D": [ 839 ], + "Z": [ 848 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 797 ], + "D": [ 825 ], + "Z": [ 842 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_valid_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 574 ], + "C": [ 776 ], + "D": [ 797 ], + "Z": [ 841 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_valid_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 571 ], + "D": [ 574 ], + "Z": [ 849 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_valid_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 706 ], + "B": [ 651 ], + "C": [ 652 ], + "D": [ 850 ], + "Z": [ 851 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_valid_LUT4_D_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 852 ], + "B": [ 853 ], + "C": [ 776 ], + "D": [ 854 ], + "Z": [ 850 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_valid_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 833 ], + "B": [ 827 ], + "C": [ 828 ], + "D": [ 855 ], + "Z": [ 574 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_valid_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 838 ], + "B": [ 832 ], + "C": [ 822 ], + "D": [ 837 ], + "Z": [ 855 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_rxd_buffercc.buffers_0_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:97.3-105.6|PQVexRiscvUlx3s.v:396.12-402.4|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5 ], + "LSR": [ 71 ], + "Q": [ 856 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_rxd_buffercc.buffers_1_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:97.3-105.6|PQVexRiscvUlx3s.v:396.12-402.4|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 856 ], + "LSR": [ 71 ], + "Q": [ 857 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_samplingTick_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 659 ], + "B": [ 660 ], + "C": [ 661 ], + "D": [ 662 ], + "Z": [ 776 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_samplingTick_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 723 ], + "B": [ 724 ], + "C": [ 765 ], + "D": [ 766 ], + "Z": [ 662 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_samplingTick_LUT4_Z_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 760 ], + "B": [ 761 ], + "C": [ 755 ], + "D": [ 756 ], + "Z": [ 661 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_samplingTick_LUT4_Z_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 750 ], + "B": [ 751 ], + "C": [ 744 ], + "D": [ 745 ], + "Z": [ 660 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_samplingTick_LUT4_Z_A_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 858 ], + "BLUT": [ 859 ], + "C0": [ 860 ], + "Z": [ 659 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_samplingTick_LUT4_Z_A_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 734 ], + "B": [ 735 ], + "C": [ 729 ], + "D": [ 730 ], + "Z": [ 858 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_samplingTick_LUT4_Z_A_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 859 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_samplingTick_LUT4_Z_A_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 769 ], + "B": [ 770 ], + "C": [ 739 ], + "D": [ 740 ], + "Z": [ 860 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_samples_1_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:79.162-79.221" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 861 ], + "CLK": [ 3 ], + "DI": [ 857 ], + "LSR": [ 71 ], + "Q": [ 862 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_samples_2_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 862 ], + "C": [ 863 ], + "D": [ 857 ], + "Z": [ 864 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_samples_2_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:79.162-79.221" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 861 ], + "CLK": [ 3 ], + "DI": [ 862 ], + "LSR": [ 71 ], + "Q": [ 863 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_samples_2_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:115.33-116.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 776 ], + "Z": [ 861 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_tick_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 574 ], + "B": [ 797 ], + "C": [ 798 ], + "D": [ 819 ], + "Z": [ 813 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_tick_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 776 ], + "LSR": [ 71 ], + "Q": [ 819 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_value_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:64.155-64.206" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 864 ], + "LSR": [ 71 ], + "Q": [ 797 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 820 ], + "CLK": [ 3 ], + "DI": [ 865 ], + "LSR": [ "0" ], + "Q": [ 866 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 820 ], + "CLK": [ 3 ], + "DI": [ 867 ], + "LSR": [ "0" ], + "Q": [ 868 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 797 ], + "B": [ 868 ], + "C": [ 869 ], + "D": [ 805 ], + "Z": [ 867 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 820 ], + "CLK": [ 3 ], + "DI": [ 870 ], + "LSR": [ "0" ], + "Q": [ 871 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_2_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 797 ], + "B": [ 871 ], + "C": [ 872 ], + "D": [ 805 ], + "Z": [ 870 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 820 ], + "CLK": [ 3 ], + "DI": [ 873 ], + "LSR": [ "0" ], + "Q": [ 874 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_3_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 797 ], + "B": [ 874 ], + "C": [ 875 ], + "D": [ 805 ], + "Z": [ 873 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 820 ], + "CLK": [ 3 ], + "DI": [ 876 ], + "LSR": [ "0" ], + "Q": [ 877 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_4_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100101011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 797 ], + "B": [ 877 ], + "C": [ 805 ], + "D": [ 803 ], + "Z": [ 876 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 820 ], + "CLK": [ 3 ], + "DI": [ 878 ], + "LSR": [ "0" ], + "Q": [ 879 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_5_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100101011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 797 ], + "B": [ 879 ], + "C": [ 805 ], + "D": [ 869 ], + "Z": [ 878 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_5_DI_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 801 ], + "D": [ 802 ], + "Z": [ 869 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 820 ], + "CLK": [ 3 ], + "DI": [ 880 ], + "LSR": [ "0" ], + "Q": [ 881 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_6_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100101011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 797 ], + "B": [ 881 ], + "C": [ 805 ], + "D": [ 872 ], + "Z": [ 880 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_6_DI_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 802 ], + "D": [ 801 ], + "Z": [ 872 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 820 ], + "CLK": [ 3 ], + "DI": [ 882 ], + "LSR": [ "0" ], + "Q": [ 883 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_7_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100101011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 797 ], + "B": [ 883 ], + "C": [ 805 ], + "D": [ 875 ], + "Z": [ 882 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_7_DI_LUT4_Z_D_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 805 ], + "D": [ 875 ], + "Z": [ 884 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_7_DI_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 801 ], + "D": [ 802 ], + "Z": [ 875 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 797 ], + "B": [ 866 ], + "C": [ 803 ], + "D": [ 805 ], + "Z": [ 865 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 796 ], + "D": [ 799 ], + "Z": [ 820 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 885 ], + "LSR": [ 71 ], + "Q": [ 800 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 886 ], + "LSR": [ 71 ], + "Q": [ 799 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111101000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 797 ], + "B": [ 800 ], + "C": [ 796 ], + "D": [ 887 ], + "Z": [ 886 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_1_DI_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 805 ], + "B": [ 796 ], + "C": [ 803 ], + "D": [ 799 ], + "Z": [ 887 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 888 ], + "LSR": [ 71 ], + "Q": [ 889 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_2_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 890 ], + "BLUT": [ 891 ], + "C0": [ 889 ], + "Z": [ 888 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_2_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111101001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 884 ], + "B": [ 797 ], + "C": [ 796 ], + "D": [ 821 ], + "Z": [ 890 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_2_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 821 ], + "Z": [ 891 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64.155-64.206" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 892 ], + "LSR": [ 71 ], + "Q": [ 798 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_3_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 893 ], + "C": [ 796 ], + "D": [ 894 ], + "Z": [ 892 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_3_DI_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 884 ], + "B": [ 889 ], + "C": [ 800 ], + "D": [ 797 ], + "Z": [ 893 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_3_DI_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 574 ], + "B": [ 797 ], + "C": [ 819 ], + "D": [ 798 ], + "Z": [ 894 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 796 ], + "C": [ 800 ], + "D": [ 813 ], + "Z": [ 885 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_validReg_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 895 ], + "D": [ 896 ], + "Z": [ 645 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_validReg_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 821 ], + "LSR": [ 71 ], + "Q": [ 896 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:260.3-304.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:64.155-64.206" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 897 ], + "LSR": [ 71 ], + "Q": [ 4 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 898 ], + "B": [ 899 ], + "C": [ 610 ], + "D": [ 707 ], + "Z": [ 897 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 900 ], + "B": [ 901 ], + "C": [ 902 ], + "D": [ 903 ], + "Z": [ 898 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_A_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 651 ], + "B": [ 682 ], + "C": [ 652 ], + "D": [ 706 ], + "Z": [ 902 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_A_LUT4_Z_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101111111110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 672 ], + "B": [ 678 ], + "C": [ 652 ], + "D": [ 651 ], + "Z": [ 900 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_A_LUT4_Z_A_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 904 ], + "BLUT": [ 905 ], + "C0": [ 651 ], + "Z": [ 903 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_A_LUT4_Z_A_PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 906 ], + "BLUT": [ 907 ], + "C0": [ 651 ], + "Z": [ 901 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_A_LUT4_Z_A_PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 676 ], + "C": [ 706 ], + "D": [ 652 ], + "Z": [ 906 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_A_LUT4_Z_A_PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 674 ], + "C": [ 706 ], + "D": [ 652 ], + "Z": [ 907 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_A_LUT4_Z_A_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 680 ], + "C": [ 684 ], + "D": [ 652 ], + "Z": [ 904 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_A_LUT4_Z_A_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 686 ], + "D": [ 652 ], + "Z": [ 905 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.clockDivider_counter_valueNext_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111110110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 776 ], + "B": [ 852 ], + "C": [ 853 ], + "D": [ 854 ], + "Z": [ 908 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.clockDivider_counter_valueNext_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 776 ], + "C": [ 852 ], + "D": [ 853 ], + "Z": [ 909 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.clockDivider_counter_valueNext_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 657 ], + "C": [ 776 ], + "D": [ 852 ], + "Z": [ 910 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.clockDivider_counter_value_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 852 ], + "C": [ 853 ], + "D": [ 854 ], + "Z": [ 657 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.clockDivider_counter_value_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:260.3-304.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 908 ], + "LSR": [ 71 ], + "Q": [ 854 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.clockDivider_counter_value_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:260.3-304.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 909 ], + "LSR": [ 71 ], + "Q": [ 853 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.clockDivider_counter_value_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:260.3-304.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 910 ], + "LSR": [ 71 ], + "Q": [ 852 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 911 ], + "LSR": [ 71 ], + "Q": [ 899 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 912 ], + "LSR": [ 71 ], + "Q": [ 707 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111010001000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 913 ], + "B": [ 707 ], + "C": [ 850 ], + "D": [ 899 ], + "Z": [ 912 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_1_DI_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 850 ], + "B": [ 706 ], + "C": [ 651 ], + "D": [ 652 ], + "Z": [ 913 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 914 ], + "LSR": [ 71 ], + "Q": [ 915 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_2_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111010001000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 851 ], + "B": [ 915 ], + "C": [ 707 ], + "D": [ 913 ], + "Z": [ 914 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:64.155-64.206" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 916 ], + "LSR": [ 71 ], + "Q": [ 917 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_3_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 918 ], + "BLUT": [ 919 ], + "C0": [ 849 ], + "Z": [ 916 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_3_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 850 ], + "D": [ 917 ], + "Z": [ 918 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_3_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 915 ], + "C": [ 851 ], + "D": [ 917 ], + "Z": [ 919 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 849 ], + "B": [ 915 ], + "C": [ 851 ], + "D": [ 920 ], + "Z": [ 911 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_DI_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111011100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 917 ], + "B": [ 849 ], + "C": [ 899 ], + "D": [ 850 ], + "Z": [ 920 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:306.3-337.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 921 ], + "LSR": [ "0" ], + "Q": [ 706 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:306.3-337.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 922 ], + "LSR": [ "0" ], + "Q": [ 652 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:306.3-337.6|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 923 ], + "LSR": [ "0" ], + "Q": [ 651 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 707 ], + "B": [ 899 ], + "C": [ 651 ], + "D": [ 658 ], + "Z": [ 923 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value_TRELLIS_FF_Q_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 924 ], + "BLUT": [ 925 ], + "C0": [ 658 ], + "Z": [ 921 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value_TRELLIS_FF_Q_DI_PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 926 ], + "BLUT": [ 927 ], + "C0": [ 658 ], + "Z": [ 922 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value_TRELLIS_FF_Q_DI_PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101110110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 707 ], + "B": [ 899 ], + "C": [ 651 ], + "D": [ 652 ], + "Z": [ 926 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value_TRELLIS_FF_Q_DI_PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 652 ], + "Z": [ 927 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value_TRELLIS_FF_Q_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101110110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 707 ], + "B": [ 899 ], + "C": [ 653 ], + "D": [ 706 ], + "Z": [ 924 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value_TRELLIS_FF_Q_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 706 ], + "Z": [ 925 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_readBreak_regNext_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000001110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 549 ], + "B": [ 598 ], + "C": [ 574 ], + "D": [ 928 ], + "Z": [ 608 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_readBreak_regNext_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6383.3-6385.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 574 ], + "LSR": [ "0" ], + "Q": [ 928 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_1__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 895 ], + "D": [ 896 ], + "Z": [ 929 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 930 ], + "C": [ 931 ], + "D": [ 932 ], + "Z": [ 573 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 933 ], + "B": [ 934 ], + "C": [ 935 ], + "D": [ 936 ], + "Z": [ 932 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_D_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 937 ], + "B": [ 938 ], + "C": [ 939 ], + "D": [ 940 ], + "Z": [ 936 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 397 ], + "D": [ 646 ], + "Z": [ 941 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 942 ], + "C": [ 943 ], + "D": [ 944 ], + "Z": [ 600 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 943 ], + "D": [ 411 ], + "Z": [ 945 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_C_Z_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 946 ], + "D1": [ 947 ], + "SD": [ 261 ], + "Z": [ 599 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_C_Z_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 948 ], + "BLUT": [ 949 ], + "C0": [ 950 ], + "Z": [ 946 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_C_Z_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 948 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_C_Z_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 949 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_C_Z_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 951 ], + "BLUT": [ 952 ], + "C0": [ 950 ], + "Z": [ 947 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_C_Z_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001011100010001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 953 ], + "B": [ 954 ], + "C": [ 955 ], + "D": [ 956 ], + "Z": [ 951 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_C_Z_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 952 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 421 ], + "B": [ 419 ], + "C": [ 417 ], + "D": [ 415 ], + "Z": [ 943 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6111.14-6123.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 957 ], + "LSR": [ 71 ], + "Q": [ 931 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 958 ], + "D1": [ 959 ], + "SD": [ 960 ], + "Z": [ 957 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 961 ], + "BLUT": [ 962 ], + "C0": [ 935 ], + "Z": [ 958 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 961 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 962 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 963 ], + "BLUT": [ 964 ], + "C0": [ 935 ], + "Z": [ 959 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011100001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 965 ], + "B": [ 937 ], + "C": [ 933 ], + "D": [ 934 ], + "Z": [ 963 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 965 ], + "B": [ 937 ], + "C": [ 933 ], + "D": [ 934 ], + "Z": [ 964 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_3__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 966 ], + "LSR": [ "0" ], + "Q": [ 967 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_3__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 968 ], + "LSR": [ "0" ], + "Q": [ 969 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_3__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 970 ], + "LSR": [ "0" ], + "Q": [ 971 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_3__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 972 ], + "LSR": [ "0" ], + "Q": [ 973 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_3__TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 974 ], + "LSR": [ "0" ], + "Q": [ 975 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_3__TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 976 ], + "LSR": [ "0" ], + "Q": [ 977 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_3__TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 978 ], + "LSR": [ "0" ], + "Q": [ 628 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_3__TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 979 ], + "LSR": [ "0" ], + "Q": [ 612 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 965 ], + "B": [ 937 ], + "C": [ 934 ], + "D": [ 980 ], + "Z": [ 981 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 965 ], + "C": [ 937 ], + "D": [ 934 ], + "Z": [ 982 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 965 ], + "D": [ 937 ], + "Z": [ 983 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 984 ], + "D": [ 940 ], + "Z": [ 985 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000110000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 984 ], + "B": [ 937 ], + "C": [ 938 ], + "D": [ 940 ], + "Z": [ 986 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_LUT4_A_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0010100001000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 984 ], + "B": [ 937 ], + "C": [ 938 ], + "D": [ 940 ], + "Z": [ 987 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 984 ], + "D": [ 940 ], + "Z": [ 965 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 980 ], + "D": [ 988 ], + "Z": [ 933 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_LUT4_C_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 986 ], + "BLUT": [ 987 ], + "C0": [ 939 ], + "Z": [ 960 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 989 ], + "BLUT": [ 990 ], + "C0": [ 573 ], + "Z": [ 984 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 989 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 599 ], + "B": [ 600 ], + "C": [ 941 ], + "D": [ 574 ], + "Z": [ 990 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_value_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6111.14-6123.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 981 ], + "LSR": [ 71 ], + "Q": [ 980 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_value_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6111.14-6123.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 982 ], + "LSR": [ 71 ], + "Q": [ 934 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_value_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6111.14-6123.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 983 ], + "LSR": [ 71 ], + "Q": [ 937 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_value_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6111.14-6123.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 985 ], + "LSR": [ 71 ], + "Q": [ 940 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_valueNext_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 929 ], + "B": [ 939 ], + "C": [ 938 ], + "D": [ 935 ], + "Z": [ 991 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_valueNext_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 929 ], + "C": [ 939 ], + "D": [ 938 ], + "Z": [ 992 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_valueNext_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 929 ], + "D": [ 939 ], + "Z": [ 993 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_valueNext_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 994 ], + "BLUT": [ 995 ], + "C0": [ 988 ], + "Z": [ 996 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_valueNext_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 929 ], + "B": [ 939 ], + "C": [ 938 ], + "D": [ 935 ], + "Z": [ 994 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_valueNext_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 929 ], + "B": [ 939 ], + "C": [ 938 ], + "D": [ 935 ], + "Z": [ 995 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_value_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6111.14-6123.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 996 ], + "LSR": [ 71 ], + "Q": [ 988 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_value_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6111.14-6123.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 991 ], + "LSR": [ 71 ], + "Q": [ 935 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_value_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6111.14-6123.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 992 ], + "LSR": [ 71 ], + "Q": [ 938 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_value_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6111.14-6123.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 993 ], + "LSR": [ 71 ], + "Q": [ 939 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_ram.0.0.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 883, 881, 879, 877 ], + "DO": [ 979, 978, 976, 974 ], + "RAD": [ 985, 983, 982, 981 ], + "WAD": [ 939, 938, 935, 988 ], + "WCK": [ 3 ], + "WRE": [ 929 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_ram.1.0.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 874, 871, 868, 866 ], + "DO": [ 972, 970, 968, 966 ], + "RAD": [ 985, 983, 982, 981 ], + "WAD": [ 939, 938, 935, 988 ], + "WCK": [ 3 ], + "WRE": [ 929 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_risingOccupancy_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 932 ], + "D": [ 930 ], + "Z": [ 895 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_risingOccupancy_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6111.14-6123.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 997 ], + "CLK": [ 3 ], + "DI": [ 929 ], + "LSR": [ 71 ], + "Q": [ 930 ] + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_risingOccupancy_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 984 ], + "D": [ 929 ], + "Z": [ 997 ] + } + }, + "asyncReset_buffercc.buffers_0_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7730.15-7734.4|PQVexRiscvUlx3s.v:1453.3-1456.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2 ], + "LSR": [ "0" ], + "Q": [ 998 ] + } + }, + "asyncReset_buffercc.buffers_1_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7730.15-7734.4|PQVexRiscvUlx3s.v:1453.3-1456.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 998 ], + "LSR": [ "0" ], + "Q": [ 999 ] + } + }, + "core_cpu.CsrPlugin_interruptJump_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1000 ], + "B": [ 1001 ], + "C": [ 1002 ], + "D": [ 1003 ], + "Z": [ 1004 ] + } + }, + "core_cpu.CsrPlugin_interrupt_code_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1005 ], + "CLK": [ 3 ], + "DI": [ "0" ], + "LSR": [ 1006 ], + "Q": [ 1007 ] + } + }, + "core_cpu.CsrPlugin_interrupt_code_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1005 ], + "CLK": [ 3 ], + "DI": [ "1" ], + "LSR": [ 1008 ], + "Q": [ 1009 ] + } + }, + "core_cpu.CsrPlugin_interrupt_code_TRELLIS_FF_Q_1_LSR_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1010 ], + "BLUT": [ 1011 ], + "C0": [ 1012 ], + "Z": [ 1008 ] + } + }, + "core_cpu.CsrPlugin_interrupt_code_TRELLIS_FF_Q_1_LSR_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1011 ] + } + }, + "core_cpu.CsrPlugin_interrupt_code_TRELLIS_FF_Q_LSR_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1005 ], + "C": [ 1013 ], + "D": [ 1014 ], + "Z": [ 1006 ] + } + }, + "core_cpu.CsrPlugin_interrupt_valid_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1015 ], + "B": [ 1000 ], + "C": [ 1001 ], + "D": [ 1003 ], + "Z": [ 1016 ] + } + }, + "core_cpu.CsrPlugin_interrupt_valid_LUT4_D_Z_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 395 ], + "D": [ 1016 ], + "Z": [ 1017 ] + } + }, + "core_cpu.CsrPlugin_interrupt_valid_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 527 ], + "B": [ 1018 ], + "C": [ 1019 ], + "D": [ 1020 ], + "Z": [ 1021 ] + } + }, + "core_cpu.CsrPlugin_interrupt_valid_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1022 ], + "LSR": [ 71 ], + "Q": [ 1003 ] + } + }, + "core_cpu.CsrPlugin_interrupt_valid_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1004 ], + "D": [ 1005 ], + "Z": [ 1022 ] + } + }, + "core_cpu.CsrPlugin_mcause_exceptionCode_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1023 ], + "B": [ 1024 ], + "C": [ 1025 ], + "D": [ 1026 ], + "Z": [ 1027 ] + } + }, + "core_cpu.CsrPlugin_mcause_exceptionCode_LUT4_A_Z_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1028 ], + "C": [ 1029 ], + "D": [ 1027 ], + "Z": [ 1030 ] + } + }, + "core_cpu.CsrPlugin_mcause_exceptionCode_LUT4_A_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1032 ], + "C": [ 1033 ], + "D": [ 1034 ], + "Z": [ 1029 ] + } + }, + "core_cpu.CsrPlugin_mcause_exceptionCode_LUT4_A_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1036 ], + "C": [ 1037 ], + "D": [ 1038 ], + "Z": [ 1028 ] + } + }, + "core_cpu.CsrPlugin_mcause_exceptionCode_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1007 ], + "LSR": [ "0" ], + "Q": [ 1039 ] + } + }, + "core_cpu.CsrPlugin_mcause_exceptionCode_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1009 ], + "LSR": [ "0" ], + "Q": [ 1023 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1040 ], + "B1": [ 1041 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1042 ], + "COUT": [ 1043 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1044 ], + "S1": [ 1045 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1046 ], + "B1": [ 1047 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1048 ], + "COUT": [ 1042 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1049 ], + "S1": [ 1050 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_10": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1051 ], + "B1": [ 1052 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1053 ], + "COUT": [ 1054 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1055 ], + "S1": [ 1056 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_11": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1057 ], + "B1": [ 1058 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1059 ], + "COUT": [ 1053 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1060 ], + "S1": [ 1061 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_12": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1062 ], + "B1": [ 1063 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1064 ], + "COUT": [ 1059 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1065 ], + "S1": [ 1066 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_13": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1067 ], + "B1": [ 1068 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1069 ], + "COUT": [ 1064 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1070 ], + "S1": [ 1071 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_14": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1072 ], + "B1": [ 1073 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1074 ], + "COUT": [ 1069 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1075 ], + "S1": [ 1076 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_15": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1077 ], + "B1": [ 1078 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1079 ], + "COUT": [ 1074 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1080 ], + "S1": [ 1081 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_16": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1082 ], + "B1": [ 1083 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1084 ], + "COUT": [ 1079 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1085 ], + "S1": [ 1086 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_17": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1026 ], + "B1": [ 1087 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1088 ], + "COUT": [ 1084 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1089 ], + "S1": [ 1090 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_18": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1091 ], + "B1": [ 1092 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1093 ], + "COUT": [ 1088 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1094 ], + "S1": [ 1095 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_19": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1096 ], + "B1": [ 1097 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1098 ], + "COUT": [ 1093 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1099 ], + "S1": [ 1100 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1101 ], + "B1": [ 1102 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1103 ], + "COUT": [ 1104 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1105 ], + "S1": [ 1106 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_20": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1038 ], + "B1": [ 1107 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1108 ], + "COUT": [ 1109 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1110 ], + "S1": [ 1111 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_21": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1112 ], + "B1": [ 1113 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1114 ], + "COUT": [ 1098 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1115 ], + "S1": [ 1116 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_22": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1117 ], + "B1": [ 1118 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1119 ], + "COUT": [ 1114 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1120 ], + "S1": [ 1121 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_23": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1122 ], + "B1": [ 1123 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1124 ], + "COUT": [ 1119 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1125 ], + "S1": [ 1126 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_24": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1127 ], + "B1": [ 1128 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1129 ], + "COUT": [ 1124 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1130 ], + "S1": [ 1131 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_25": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1132 ], + "B1": [ 1133 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1134 ], + "COUT": [ 1129 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1135 ], + "S1": [ 1136 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_26": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1137 ], + "B1": [ 1138 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1139 ], + "COUT": [ 1134 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1140 ], + "S1": [ 1141 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_27": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1142 ], + "B1": [ 1143 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1144 ], + "COUT": [ 1139 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1145 ], + "S1": [ 1146 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_28": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1147 ], + "B1": [ 1148 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1149 ], + "COUT": [ 1144 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1150 ], + "S1": [ 1151 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_29": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1152 ], + "B1": [ 1153 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1154 ], + "COUT": [ 1149 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1155 ], + "S1": [ 1156 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1157 ], + "B1": [ 1158 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1159 ], + "COUT": [ 1103 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1160 ], + "S1": [ 1161 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_30": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1162 ], + "B1": [ 1163 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1043 ], + "COUT": [ 1154 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1164 ], + "S1": [ 1165 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_31": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "1" ], + "A1": [ "0" ], + "B0": [ 1166 ], + "B1": [ 1167 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 1108 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1168 ], + "S1": [ 1169 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_4": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1170 ], + "B1": [ 1171 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1172 ], + "COUT": [ 1159 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1173 ], + "S1": [ 1174 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_5": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1175 ], + "B1": [ 1176 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1177 ], + "COUT": [ 1172 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1178 ], + "S1": [ 1179 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_6": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1180 ], + "B1": [ 1181 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1182 ], + "COUT": [ 1177 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1183 ], + "S1": [ 1184 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_7": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1185 ], + "B1": [ 1186 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1187 ], + "COUT": [ 1182 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1188 ], + "S1": [ 1189 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_8": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1190 ], + "B1": [ 1191 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1054 ], + "COUT": [ 1187 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1192 ], + "S1": [ 1193 ] + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_9": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1194 ], + "B1": [ 1195 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1109 ], + "COUT": [ 1048 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1196 ], + "S1": [ 1197 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1106 ], + "LSR": [ "0" ], + "Q": [ 1102 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1105 ], + "LSR": [ "0" ], + "Q": [ 1101 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1189 ], + "LSR": [ "0" ], + "Q": [ 1186 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1188 ], + "LSR": [ "0" ], + "Q": [ 1185 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1193 ], + "LSR": [ "0" ], + "Q": [ 1191 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1192 ], + "LSR": [ "0" ], + "Q": [ 1190 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1056 ], + "LSR": [ "0" ], + "Q": [ 1052 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1055 ], + "LSR": [ "0" ], + "Q": [ 1051 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1061 ], + "LSR": [ "0" ], + "Q": [ 1058 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1060 ], + "LSR": [ "0" ], + "Q": [ 1057 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1066 ], + "LSR": [ "0" ], + "Q": [ 1063 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1065 ], + "LSR": [ "0" ], + "Q": [ 1062 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1161 ], + "LSR": [ "0" ], + "Q": [ 1158 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1071 ], + "LSR": [ "0" ], + "Q": [ 1068 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1070 ], + "LSR": [ "0" ], + "Q": [ 1067 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1076 ], + "LSR": [ "0" ], + "Q": [ 1073 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1075 ], + "LSR": [ "0" ], + "Q": [ 1072 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1081 ], + "LSR": [ "0" ], + "Q": [ 1078 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1080 ], + "LSR": [ "0" ], + "Q": [ 1077 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1086 ], + "LSR": [ "0" ], + "Q": [ 1083 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1085 ], + "LSR": [ "0" ], + "Q": [ 1082 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1090 ], + "LSR": [ "0" ], + "Q": [ 1087 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1089 ], + "LSR": [ "0" ], + "Q": [ 1026 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1160 ], + "LSR": [ "0" ], + "Q": [ 1157 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1095 ], + "LSR": [ "0" ], + "Q": [ 1092 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1094 ], + "LSR": [ "0" ], + "Q": [ 1091 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_32": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1100 ], + "LSR": [ "0" ], + "Q": [ 1097 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_33": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1099 ], + "LSR": [ "0" ], + "Q": [ 1096 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_34": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1116 ], + "LSR": [ "0" ], + "Q": [ 1113 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_35": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1115 ], + "LSR": [ "0" ], + "Q": [ 1112 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_36": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1121 ], + "LSR": [ "0" ], + "Q": [ 1118 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_37": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1120 ], + "LSR": [ "0" ], + "Q": [ 1117 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_38": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1126 ], + "LSR": [ "0" ], + "Q": [ 1123 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_39": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1125 ], + "LSR": [ "0" ], + "Q": [ 1122 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1174 ], + "LSR": [ "0" ], + "Q": [ 1171 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_40": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1131 ], + "LSR": [ "0" ], + "Q": [ 1128 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_41": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1130 ], + "LSR": [ "0" ], + "Q": [ 1127 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_42": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1136 ], + "LSR": [ "0" ], + "Q": [ 1133 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_43": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1135 ], + "LSR": [ "0" ], + "Q": [ 1132 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_44": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1141 ], + "LSR": [ "0" ], + "Q": [ 1138 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_45": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1140 ], + "LSR": [ "0" ], + "Q": [ 1137 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_46": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1146 ], + "LSR": [ "0" ], + "Q": [ 1143 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_47": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1145 ], + "LSR": [ "0" ], + "Q": [ 1142 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_48": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1151 ], + "LSR": [ "0" ], + "Q": [ 1148 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_49": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1150 ], + "LSR": [ "0" ], + "Q": [ 1147 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1173 ], + "LSR": [ "0" ], + "Q": [ 1170 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_50": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1156 ], + "LSR": [ "0" ], + "Q": [ 1153 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_51": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1155 ], + "LSR": [ "0" ], + "Q": [ 1152 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_52": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1165 ], + "LSR": [ "0" ], + "Q": [ 1163 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_53": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1164 ], + "LSR": [ "0" ], + "Q": [ 1162 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_54": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1045 ], + "LSR": [ "0" ], + "Q": [ 1041 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_55": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1044 ], + "LSR": [ "0" ], + "Q": [ 1040 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_56": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1050 ], + "LSR": [ "0" ], + "Q": [ 1047 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_57": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1049 ], + "LSR": [ "0" ], + "Q": [ 1046 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_58": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1197 ], + "LSR": [ "0" ], + "Q": [ 1195 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_59": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1196 ], + "LSR": [ "0" ], + "Q": [ 1194 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1179 ], + "LSR": [ "0" ], + "Q": [ 1176 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_60": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1111 ], + "LSR": [ "0" ], + "Q": [ 1107 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_61": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1110 ], + "LSR": [ "0" ], + "Q": [ 1038 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_62": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1169 ], + "LSR": [ "0" ], + "Q": [ 1167 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_63": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1168 ], + "LSR": [ "0" ], + "Q": [ 1166 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1178 ], + "LSR": [ "0" ], + "Q": [ 1175 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1184 ], + "LSR": [ "0" ], + "Q": [ 1181 ] + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1183 ], + "LSR": [ "0" ], + "Q": [ 1180 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1198 ], + "LSR": [ "0" ], + "Q": [ 1199 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1200 ], + "LSR": [ "0" ], + "Q": [ 1201 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1202 ], + "LSR": [ "0" ], + "Q": [ 1203 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1204 ], + "LSR": [ "0" ], + "Q": [ 1205 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1206 ], + "LSR": [ "0" ], + "Q": [ 1207 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1208 ], + "LSR": [ "0" ], + "Q": [ 1209 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1210 ], + "LSR": [ "0" ], + "Q": [ 1211 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1212 ], + "LSR": [ "0" ], + "Q": [ 1213 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1214 ], + "LSR": [ "0" ], + "Q": [ 1215 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1216 ], + "LSR": [ "0" ], + "Q": [ 1217 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1218 ], + "LSR": [ "0" ], + "Q": [ 1219 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1220 ], + "LSR": [ "0" ], + "Q": [ 1221 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1222 ], + "LSR": [ "0" ], + "Q": [ 1223 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1224 ], + "LSR": [ "0" ], + "Q": [ 1225 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1226 ], + "LSR": [ "0" ], + "Q": [ 1227 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1228 ], + "LSR": [ "0" ], + "Q": [ 1229 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1230 ], + "LSR": [ "0" ], + "Q": [ 1231 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1232 ], + "LSR": [ "0" ], + "Q": [ 1233 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1234 ], + "LSR": [ "0" ], + "Q": [ 1235 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1236 ], + "LSR": [ "0" ], + "Q": [ 1237 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1238 ], + "LSR": [ "0" ], + "Q": [ 1239 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1240 ], + "LSR": [ "0" ], + "Q": [ 1241 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1242 ], + "LSR": [ "0" ], + "Q": [ 1243 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1244 ], + "LSR": [ "0" ], + "Q": [ 1245 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1246 ], + "LSR": [ "0" ], + "Q": [ 1247 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1248 ], + "LSR": [ "0" ], + "Q": [ 1249 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1250 ], + "LSR": [ "0" ], + "Q": [ 1251 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1252 ], + "LSR": [ "0" ], + "Q": [ 1253 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1254 ], + "LSR": [ "0" ], + "Q": [ 1255 ] + } + }, + "core_cpu.CsrPlugin_mepc_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1004 ], + "CLK": [ 3 ], + "DI": [ 1256 ], + "LSR": [ "0" ], + "Q": [ 1257 ] + } + }, + "core_cpu.CsrPlugin_mie_MEIE_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1258 ], + "B": [ 1014 ], + "C": [ 1033 ], + "D": [ 1259 ], + "Z": [ 1260 ] + } + }, + "core_cpu.CsrPlugin_mie_MEIE_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1261 ], + "B": [ 1262 ], + "C": [ 1031 ], + "D": [ 1263 ], + "Z": [ 1264 ] + } + }, + "core_cpu.CsrPlugin_mie_MEIE_LUT4_B_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1163 ], + "C": [ 1025 ], + "D": [ 1068 ], + "Z": [ 1265 ] + } + }, + "core_cpu.CsrPlugin_mie_MEIE_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1266 ], + "CLK": [ 3 ], + "DI": [ 1267 ], + "LSR": [ 71 ], + "Q": [ 1014 ] + } + }, + "core_cpu.CsrPlugin_mie_MSIE_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1258 ], + "B": [ 1268 ], + "C": [ 1269 ], + "D": [ 1270 ], + "Z": [ 1271 ] + } + }, + "core_cpu.CsrPlugin_mie_MSIE_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1272 ], + "C": [ 1025 ], + "D": [ 1087 ], + "Z": [ 1273 ] + } + }, + "core_cpu.CsrPlugin_mie_MSIE_LUT4_B_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1024 ], + "B": [ 1039 ], + "C": [ 1031 ], + "D": [ 1274 ], + "Z": [ 1275 ] + } + }, + "core_cpu.CsrPlugin_mie_MSIE_LUT4_B_Z_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1033 ], + "C": [ 1276 ], + "D": [ 1277 ], + "Z": [ 1278 ] + } + }, + "core_cpu.CsrPlugin_mie_MSIE_LUT4_B_Z_LUT4_Z_2_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1012 ], + "B": [ 1262 ], + "C": [ 1037 ], + "D": [ 1107 ], + "Z": [ 1277 ] + } + }, + "core_cpu.CsrPlugin_mie_MSIE_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1266 ], + "CLK": [ 3 ], + "DI": [ 1279 ], + "LSR": [ 71 ], + "Q": [ 1268 ] + } + }, + "core_cpu.CsrPlugin_mie_MSIE_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1280 ], + "D": [ 1258 ], + "Z": [ 1266 ] + } + }, + "core_cpu.CsrPlugin_mie_MTIE_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1258 ], + "B": [ 1281 ], + "C": [ 1025 ], + "D": [ 1078 ], + "Z": [ 1282 ] + } + }, + "core_cpu.CsrPlugin_mie_MTIE_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1283 ], + "C": [ 1031 ], + "D": [ 1284 ], + "Z": [ 1285 ] + } + }, + "core_cpu.CsrPlugin_mie_MTIE_LUT4_B_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1262 ], + "B": [ 1286 ], + "C": [ 1033 ], + "D": [ 1287 ], + "Z": [ 1288 ] + } + }, + "core_cpu.CsrPlugin_mie_MTIE_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1266 ], + "CLK": [ 3 ], + "DI": [ 1289 ], + "LSR": [ 71 ], + "Q": [ 1281 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1290 ], + "B1": [ 1291 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1292 ], + "COUT": [ 1293 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1294 ], + "S1": [ 1295 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1296 ], + "B1": [ 1284 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1297 ], + "COUT": [ 1292 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1298 ], + "S1": [ 1299 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_10": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1300 ], + "B1": [ 1301 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1302 ], + "COUT": [ 1303 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1304 ], + "S1": [ 1305 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_11": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1306 ], + "B1": [ 1307 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1308 ], + "COUT": [ 1302 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1309 ], + "S1": [ 1310 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_12": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1311 ], + "B1": [ 1312 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1313 ], + "COUT": [ 1308 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1314 ], + "S1": [ 1315 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_13": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1316 ], + "B1": [ 1259 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1317 ], + "COUT": [ 1313 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1318 ], + "S1": [ 1319 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_14": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1320 ], + "B1": [ 1321 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1322 ], + "COUT": [ 1317 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1323 ], + "S1": [ 1324 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_15": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1325 ], + "B1": [ 1287 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1326 ], + "COUT": [ 1322 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1327 ], + "S1": [ 1328 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_16": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1329 ], + "B1": [ 1330 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1331 ], + "COUT": [ 1326 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1332 ], + "S1": [ 1333 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_17": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1034 ], + "B1": [ 1276 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1334 ], + "COUT": [ 1331 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1335 ], + "S1": [ 1336 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_18": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1337 ], + "B1": [ 1338 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1339 ], + "COUT": [ 1334 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1340 ], + "S1": [ 1341 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_19": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1342 ], + "B1": [ 1343 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1344 ], + "COUT": [ 1339 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1345 ], + "S1": [ 1346 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1347 ], + "B1": [ 1348 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1349 ], + "COUT": [ 1350 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1351 ], + "S1": [ 1352 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_20": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1032 ], + "B1": [ 1274 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1353 ], + "COUT": [ 1354 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1355 ], + "S1": [ 1356 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_21": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1357 ], + "B1": [ 1358 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1359 ], + "COUT": [ 1344 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1360 ], + "S1": [ 1361 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_22": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1362 ], + "B1": [ 1363 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1364 ], + "COUT": [ 1359 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1365 ], + "S1": [ 1366 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_23": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1367 ], + "B1": [ 1368 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1369 ], + "COUT": [ 1364 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1370 ], + "S1": [ 1371 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_24": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1372 ], + "B1": [ 1373 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1374 ], + "COUT": [ 1369 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1375 ], + "S1": [ 1376 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_25": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1377 ], + "B1": [ 1378 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1379 ], + "COUT": [ 1374 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1380 ], + "S1": [ 1381 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_26": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1382 ], + "B1": [ 1383 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1384 ], + "COUT": [ 1379 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1385 ], + "S1": [ 1386 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_27": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1387 ], + "B1": [ 1388 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1389 ], + "COUT": [ 1384 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1390 ], + "S1": [ 1391 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_28": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1392 ], + "B1": [ 1393 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1394 ], + "COUT": [ 1389 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1395 ], + "S1": [ 1396 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_29": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1397 ], + "B1": [ 1398 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1399 ], + "COUT": [ 1394 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1400 ], + "S1": [ 1401 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1402 ], + "B1": [ 1403 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1404 ], + "COUT": [ 1349 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1405 ], + "S1": [ 1406 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_30": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1407 ], + "B1": [ 1263 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1293 ], + "COUT": [ 1399 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1408 ], + "S1": [ 1409 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_31": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "1" ], + "A1": [ "0" ], + "B0": [ 1410 ], + "B1": [ 1411 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 1353 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1412 ], + "S1": [ 1413 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_4": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1414 ], + "B1": [ 1415 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1416 ], + "COUT": [ 1404 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1417 ], + "S1": [ 1418 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_5": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1419 ], + "B1": [ 1420 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1421 ], + "COUT": [ 1416 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1422 ], + "S1": [ 1423 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_6": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1424 ], + "B1": [ 1425 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1426 ], + "COUT": [ 1421 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1427 ], + "S1": [ 1428 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_7": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1429 ], + "B1": [ 1430 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1431 ], + "COUT": [ 1426 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1432 ], + "S1": [ 1433 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_8": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1434 ], + "B1": [ 1435 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1303 ], + "COUT": [ 1431 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1436 ], + "S1": [ 1437 ] + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_9": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 1438 ], + "B1": [ 1439 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1354 ], + "COUT": [ 1297 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1440 ], + "S1": [ 1441 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1352 ], + "LSR": [ "0" ], + "Q": [ 1348 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1351 ], + "LSR": [ "0" ], + "Q": [ 1347 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1433 ], + "LSR": [ "0" ], + "Q": [ 1430 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1432 ], + "LSR": [ "0" ], + "Q": [ 1429 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1437 ], + "LSR": [ "0" ], + "Q": [ 1435 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1436 ], + "LSR": [ "0" ], + "Q": [ 1434 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1305 ], + "LSR": [ "0" ], + "Q": [ 1301 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1304 ], + "LSR": [ "0" ], + "Q": [ 1300 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1310 ], + "LSR": [ "0" ], + "Q": [ 1307 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1309 ], + "LSR": [ "0" ], + "Q": [ 1306 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1315 ], + "LSR": [ "0" ], + "Q": [ 1312 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1314 ], + "LSR": [ "0" ], + "Q": [ 1311 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1406 ], + "LSR": [ "0" ], + "Q": [ 1403 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1319 ], + "LSR": [ "0" ], + "Q": [ 1259 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1318 ], + "LSR": [ "0" ], + "Q": [ 1316 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1324 ], + "LSR": [ "0" ], + "Q": [ 1321 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1323 ], + "LSR": [ "0" ], + "Q": [ 1320 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1328 ], + "LSR": [ "0" ], + "Q": [ 1287 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1327 ], + "LSR": [ "0" ], + "Q": [ 1325 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1333 ], + "LSR": [ "0" ], + "Q": [ 1330 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1332 ], + "LSR": [ "0" ], + "Q": [ 1329 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1336 ], + "LSR": [ "0" ], + "Q": [ 1276 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1335 ], + "LSR": [ "0" ], + "Q": [ 1034 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1405 ], + "LSR": [ "0" ], + "Q": [ 1402 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1341 ], + "LSR": [ "0" ], + "Q": [ 1338 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1340 ], + "LSR": [ "0" ], + "Q": [ 1337 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_32": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1346 ], + "LSR": [ "0" ], + "Q": [ 1343 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_33": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1345 ], + "LSR": [ "0" ], + "Q": [ 1342 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_34": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1361 ], + "LSR": [ "0" ], + "Q": [ 1358 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_35": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1360 ], + "LSR": [ "0" ], + "Q": [ 1357 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_36": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1366 ], + "LSR": [ "0" ], + "Q": [ 1363 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_37": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1365 ], + "LSR": [ "0" ], + "Q": [ 1362 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_38": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1371 ], + "LSR": [ "0" ], + "Q": [ 1368 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_39": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1370 ], + "LSR": [ "0" ], + "Q": [ 1367 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1418 ], + "LSR": [ "0" ], + "Q": [ 1415 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_40": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1376 ], + "LSR": [ "0" ], + "Q": [ 1373 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_41": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1375 ], + "LSR": [ "0" ], + "Q": [ 1372 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_42": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1381 ], + "LSR": [ "0" ], + "Q": [ 1378 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_43": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1380 ], + "LSR": [ "0" ], + "Q": [ 1377 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_44": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1386 ], + "LSR": [ "0" ], + "Q": [ 1383 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_45": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1385 ], + "LSR": [ "0" ], + "Q": [ 1382 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_46": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1391 ], + "LSR": [ "0" ], + "Q": [ 1388 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_47": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1390 ], + "LSR": [ "0" ], + "Q": [ 1387 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_48": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1396 ], + "LSR": [ "0" ], + "Q": [ 1393 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_49": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1395 ], + "LSR": [ "0" ], + "Q": [ 1392 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1417 ], + "LSR": [ "0" ], + "Q": [ 1414 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_50": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1401 ], + "LSR": [ "0" ], + "Q": [ 1398 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_51": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1400 ], + "LSR": [ "0" ], + "Q": [ 1397 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_52": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1409 ], + "LSR": [ "0" ], + "Q": [ 1263 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_53": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1408 ], + "LSR": [ "0" ], + "Q": [ 1407 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_54": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1295 ], + "LSR": [ "0" ], + "Q": [ 1291 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_55": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1294 ], + "LSR": [ "0" ], + "Q": [ 1290 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_56": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1299 ], + "LSR": [ "0" ], + "Q": [ 1284 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_57": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1298 ], + "LSR": [ "0" ], + "Q": [ 1296 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_58": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1441 ], + "LSR": [ "0" ], + "Q": [ 1439 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_59": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1440 ], + "LSR": [ "0" ], + "Q": [ 1438 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1423 ], + "LSR": [ "0" ], + "Q": [ 1420 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_60": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1356 ], + "LSR": [ "0" ], + "Q": [ 1274 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_61": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1355 ], + "LSR": [ "0" ], + "Q": [ 1032 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_62": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1413 ], + "LSR": [ "0" ], + "Q": [ 1411 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_63": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1412 ], + "LSR": [ "0" ], + "Q": [ 1410 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1422 ], + "LSR": [ "0" ], + "Q": [ 1419 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1428 ], + "LSR": [ "0" ], + "Q": [ 1425 ] + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1442 ], + "CLK": [ 3 ], + "DI": [ 1427 ], + "LSR": [ "0" ], + "Q": [ 1424 ] + } + }, + "core_cpu.CsrPlugin_mip_MEIP_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1443 ], + "C": [ 1013 ], + "D": [ 1270 ], + "Z": [ 1444 ] + } + }, + "core_cpu.CsrPlugin_mip_MEIP_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 572 ], + "LSR": [ "0" ], + "Q": [ 1013 ] + } + }, + "core_cpu.CsrPlugin_mip_MSIP_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1013 ], + "B": [ 1014 ], + "C": [ 1269 ], + "D": [ 1268 ], + "Z": [ 1010 ] + } + }, + "core_cpu.CsrPlugin_mip_MSIP_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1279 ], + "LSR": [ 1445 ], + "Q": [ 1269 ] + } + }, + "core_cpu.CsrPlugin_mip_MSIP_TRELLIS_FF_Q_LSR_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1280 ], + "D": [ 1270 ], + "Z": [ 1445 ] + } + }, + "core_cpu.CsrPlugin_mip_MTIP_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1446 ], + "B": [ 1281 ], + "C": [ 1012 ], + "D": [ 1008 ], + "Z": [ 1005 ] + } + }, + "core_cpu.CsrPlugin_mip_MTIP_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1270 ], + "B": [ 1446 ], + "C": [ 1037 ], + "D": [ 1047 ], + "Z": [ 1447 ] + } + }, + "core_cpu.CsrPlugin_mip_MTIP_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1448 ], + "LSR": [ "0" ], + "Q": [ 1446 ] + } + }, + "core_cpu.CsrPlugin_mstatus_MIE_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1449 ], + "LSR": [ 71 ], + "Q": [ 1012 ] + } + }, + "core_cpu.CsrPlugin_mstatus_MIE_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010001100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1279 ], + "B": [ 1450 ], + "C": [ 1280 ], + "D": [ 1262 ], + "Z": [ 1449 ] + } + }, + "core_cpu.CsrPlugin_mstatus_MPIE_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000010100000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1012 ], + "B": [ 1286 ], + "C": [ 1451 ], + "D": [ 1004 ], + "Z": [ 1452 ] + } + }, + "core_cpu.CsrPlugin_mstatus_MPIE_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111110111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1012 ], + "C": [ 1286 ], + "D": [ 1451 ], + "Z": [ 1450 ] + } + }, + "core_cpu.CsrPlugin_mstatus_MPIE_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1453 ], + "LSR": [ 71 ], + "Q": [ 1286 ] + } + }, + "core_cpu.CsrPlugin_mstatus_MPP_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1454 ], + "C": [ 1262 ], + "D": [ 1455 ], + "Z": [ 1456 ] + } + }, + "core_cpu.CsrPlugin_mstatus_MPP_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1152 ], + "C": [ 1025 ], + "D": [ 1062 ], + "Z": [ 1457 ] + } + }, + "core_cpu.CsrPlugin_mstatus_MPP_LUT4_D_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1397 ], + "C": [ 1033 ], + "D": [ 1311 ], + "Z": [ 1458 ] + } + }, + "core_cpu.CsrPlugin_mstatus_MPP_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:64.155-64.206" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1459 ], + "LSR": [ 71 ], + "Q": [ 1455 ] + } + }, + "core_cpu.CsrPlugin_mstatus_MPP_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:64.155-64.206" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1460 ], + "LSR": [ 71 ], + "Q": [ 1261 ] + } + }, + "core_cpu.CsrPlugin_mstatus_MPP_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1461 ], + "B": [ 1462 ], + "C": [ 1280 ], + "D": [ 1262 ], + "Z": [ 1459 ] + } + }, + "core_cpu.CsrPlugin_mstatus_MPP_TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1004 ], + "C": [ 1455 ], + "D": [ 1451 ], + "Z": [ 1462 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:74.161-74.220" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1464 ], + "LSR": [ 71 ], + "Q": [ 1465 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1466 ], + "LSR": [ 71 ], + "Q": [ 1467 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1468 ], + "LSR": [ 71 ], + "Q": [ 1469 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1470 ], + "LSR": [ 71 ], + "Q": [ 1471 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1472 ], + "LSR": [ 71 ], + "Q": [ 1473 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1474 ], + "LSR": [ 71 ], + "Q": [ 1475 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1476 ], + "LSR": [ 71 ], + "Q": [ 1477 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1478 ], + "LSR": [ 71 ], + "Q": [ 1479 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1480 ], + "LSR": [ 71 ], + "Q": [ 1481 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1482 ], + "LSR": [ 71 ], + "Q": [ 1483 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1484 ], + "LSR": [ 71 ], + "Q": [ 1485 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1461 ], + "LSR": [ 71 ], + "Q": [ 1454 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1486 ], + "LSR": [ 71 ], + "Q": [ 1487 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1267 ], + "LSR": [ 71 ], + "Q": [ 1443 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1488 ], + "LSR": [ 71 ], + "Q": [ 1489 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1490 ], + "LSR": [ 71 ], + "Q": [ 1491 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1492 ], + "LSR": [ 71 ], + "Q": [ 1493 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1289 ], + "LSR": [ 71 ], + "Q": [ 1283 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1494 ], + "LSR": [ 71 ], + "Q": [ 1495 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1496 ], + "LSR": [ 71 ], + "Q": [ 1497 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1498 ], + "LSR": [ 71 ], + "Q": [ 1499 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1279 ], + "LSR": [ 71 ], + "Q": [ 1272 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1500 ], + "LSR": [ 71 ], + "Q": [ 1036 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1501 ], + "LSR": [ 71 ], + "Q": [ 1502 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1503 ], + "LSR": [ 71 ], + "Q": [ 1504 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1505 ], + "LSR": [ 71 ], + "Q": [ 1506 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1507 ], + "LSR": [ 71 ], + "Q": [ 1508 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1509 ], + "LSR": [ 71 ], + "Q": [ 1510 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1511 ], + "LSR": [ 71 ], + "Q": [ 1512 ] + } + }, + "core_cpu.CsrPlugin_mtvec_base_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1513 ], + "LSR": [ 71 ], + "Q": [ 1514 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1515 ], + "B": [ 1035 ], + "C": [ 1025 ], + "D": [ 1092 ], + "Z": [ 1516 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_A_Z_PFUMX_BLUT": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1517 ], + "BLUT": [ 1516 ], + "C0": [ 1024 ], + "Z": [ 1518 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_A_Z_PFUMX_BLUT_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1517 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_A_Z_PFUMX_BLUT_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1033 ], + "B": [ 1338 ], + "C": [ 1519 ], + "D": [ 1518 ], + "Z": [ 1520 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_A_Z_PFUMX_BLUT_Z_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1521 ], + "B": [ 252 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 1524 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_A_Z_PFUMX_BLUT_Z_LUT4_C_Z_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 1526 ], + "D": [ 1527 ], + "Z": [ 1521 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_A_Z_PFUMX_BLUT_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1167 ], + "C": [ 1031 ], + "D": [ 1411 ], + "Z": [ 1519 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1528 ], + "C": [ 1529 ], + "D": [ 1530 ], + "Z": [ 1531 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1166 ], + "B": [ 1037 ], + "C": [ 1410 ], + "D": [ 1031 ], + "Z": [ 1529 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1532 ], + "BLUT": [ 1533 ], + "C0": [ 1024 ], + "Z": [ 1530 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1532 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1091 ], + "B": [ 1025 ], + "C": [ 1337 ], + "D": [ 1033 ], + "Z": [ 1533 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1523 ], + "B": [ 1534 ], + "C": [ 1522 ], + "D": [ 1535 ], + "Z": [ 1536 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_Z_LUT4_Z_D_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1537 ], + "BLUT": [ 1538 ], + "C0": [ 255 ], + "Z": [ 1535 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_Z_LUT4_Z_D_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101110110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1539 ], + "B": [ 1540 ], + "C": [ 1523 ], + "D": [ 1522 ], + "Z": [ 1537 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_Z_LUT4_Z_D_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1539 ], + "D": [ 1540 ], + "Z": [ 1538 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_Z_LUT4_Z_D_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011100001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1541 ], + "B": [ 1542 ], + "C": [ 1525 ], + "D": [ 187 ], + "Z": [ 1539 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_Z_LUT4_Z_D_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1541 ], + "B": [ 1542 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 1540 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1543 ], + "LSR": [ 71 ], + "Q": [ 1515 ] + } + }, + "core_cpu.CsrPlugin_mtvec_mode_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1463 ], + "CLK": [ 3 ], + "DI": [ 1544 ], + "LSR": [ 71 ], + "Q": [ 1528 ] + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_pcValids_0_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1017 ], + "D": [ 1545 ], + "Z": [ 1546 ] + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_pcValids_0_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1547 ], + "CLK": [ 3 ], + "DI": [ 1017 ], + "LSR": [ 71 ], + "Q": [ 1545 ] + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_pcValids_0_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1017 ], + "D": [ 1548 ], + "Z": [ 1547 ] + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_pcValids_1_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1017 ], + "D": [ 1549 ], + "Z": [ 1550 ] + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_pcValids_1_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1551 ], + "CLK": [ 3 ], + "DI": [ 1546 ], + "LSR": [ 71 ], + "Q": [ 1549 ] + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_pcValids_1_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1552 ], + "D": [ 1017 ], + "Z": [ 1551 ] + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_pcValids_2_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ "1" ], + "CLK": [ 3 ], + "DI": [ 1550 ], + "LSR": [ 71 ], + "Q": [ 1002 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1554 ], + "LSR": [ 1555 ], + "Q": [ 1556 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1557 ], + "LSR": [ 1555 ], + "Q": [ 1558 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1559 ], + "LSR": [ "0" ], + "Q": [ 1560 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_10_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1561 ], + "C": [ 1562 ], + "D": [ 1555 ], + "Z": [ 1559 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1563 ], + "LSR": [ "0" ], + "Q": [ 1564 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_11_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1565 ], + "C": [ 1566 ], + "D": [ 1555 ], + "Z": [ 1563 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1567 ], + "LSR": [ "0" ], + "Q": [ 1568 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_12_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1569 ], + "C": [ 1570 ], + "D": [ 1555 ], + "Z": [ 1567 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1571 ], + "LSR": [ "0" ], + "Q": [ 1572 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_13_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1573 ], + "C": [ 1574 ], + "D": [ 1555 ], + "Z": [ 1571 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1575 ], + "LSR": [ "0" ], + "Q": [ 1576 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_14_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1577 ], + "C": [ 1578 ], + "D": [ 1555 ], + "Z": [ 1575 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1579 ], + "LSR": [ "0" ], + "Q": [ 1580 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_15_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1581 ], + "C": [ 1582 ], + "D": [ 1555 ], + "Z": [ 1579 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1583 ], + "LSR": [ "0" ], + "Q": [ 1584 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_16_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1585 ], + "C": [ 1586 ], + "D": [ 1555 ], + "Z": [ 1583 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1587 ], + "LSR": [ "0" ], + "Q": [ 1588 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_17_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1589 ], + "C": [ 1590 ], + "D": [ 1555 ], + "Z": [ 1587 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1591 ], + "LSR": [ "0" ], + "Q": [ 1592 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_18_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1593 ], + "C": [ 1594 ], + "D": [ 1555 ], + "Z": [ 1591 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1595 ], + "LSR": [ "0" ], + "Q": [ 1596 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_19_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1597 ], + "C": [ 1598 ], + "D": [ 1555 ], + "Z": [ 1595 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1599 ], + "LSR": [ "0" ], + "Q": [ 1600 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1601 ], + "LSR": [ "0" ], + "Q": [ 1602 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_20_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1603 ], + "C": [ 1604 ], + "D": [ 1555 ], + "Z": [ 1601 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1605 ], + "LSR": [ "0" ], + "Q": [ 1606 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_21_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1607 ], + "C": [ 1608 ], + "D": [ 1555 ], + "Z": [ 1605 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1609 ], + "LSR": [ "0" ], + "Q": [ 1610 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_22_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1611 ], + "C": [ 1612 ], + "D": [ 1555 ], + "Z": [ 1609 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1613 ], + "LSR": [ "0" ], + "Q": [ 1614 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_23_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1615 ], + "C": [ 1616 ], + "D": [ 1555 ], + "Z": [ 1613 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1617 ], + "LSR": [ "0" ], + "Q": [ 1618 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_24_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1619 ], + "C": [ 1620 ], + "D": [ 1555 ], + "Z": [ 1617 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1621 ], + "LSR": [ "0" ], + "Q": [ 1622 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_25_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1623 ], + "C": [ 1624 ], + "D": [ 1555 ], + "Z": [ 1621 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1625 ], + "LSR": [ "0" ], + "Q": [ 1626 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_26_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1627 ], + "C": [ 1628 ], + "D": [ 1555 ], + "Z": [ 1625 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1629 ], + "LSR": [ "0" ], + "Q": [ 1630 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_27_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1631 ], + "C": [ 1632 ], + "D": [ 1555 ], + "Z": [ 1629 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1633 ], + "LSR": [ "0" ], + "Q": [ 1634 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_28_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1635 ], + "C": [ 1636 ], + "D": [ 1555 ], + "Z": [ 1633 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1637 ], + "LSR": [ "0" ], + "Q": [ 1638 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_29_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1639 ], + "C": [ 1640 ], + "D": [ 1555 ], + "Z": [ 1637 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_2_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1641 ], + "C": [ 1642 ], + "D": [ 1555 ], + "Z": [ 1599 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1643 ], + "LSR": [ "0" ], + "Q": [ 1644 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1645 ], + "LSR": [ "0" ], + "Q": [ 1646 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_30_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1647 ], + "C": [ 1648 ], + "D": [ 1555 ], + "Z": [ 1645 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1649 ], + "LSR": [ "0" ], + "Q": [ 1650 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_31_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1651 ], + "C": [ 1652 ], + "D": [ 1555 ], + "Z": [ 1649 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_3_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1653 ], + "C": [ 1654 ], + "D": [ 1555 ], + "Z": [ 1643 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1655 ], + "LSR": [ "0" ], + "Q": [ 1656 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_4_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1657 ], + "C": [ 1658 ], + "D": [ 1555 ], + "Z": [ 1655 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1659 ], + "LSR": [ "0" ], + "Q": [ 1660 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_5_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1661 ], + "C": [ 1662 ], + "D": [ 1555 ], + "Z": [ 1659 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1663 ], + "LSR": [ "0" ], + "Q": [ 1664 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_6_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1665 ], + "C": [ 1666 ], + "D": [ 1555 ], + "Z": [ 1663 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1667 ], + "LSR": [ "0" ], + "Q": [ 1668 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_7_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1669 ], + "C": [ 1670 ], + "D": [ 1555 ], + "Z": [ 1667 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1671 ], + "LSR": [ "0" ], + "Q": [ 1672 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_8_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1673 ], + "C": [ 1674 ], + "D": [ 1555 ], + "Z": [ 1671 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1553 ], + "CLK": [ 3 ], + "DI": [ 1675 ], + "LSR": [ "0" ], + "Q": [ 1676 ] + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_9_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1677 ], + "C": [ 1678 ], + "D": [ 1555 ], + "Z": [ 1675 ] + } + }, + "core_cpu.DebugPlugin_haltIt_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5432.3-5504.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1679 ], + "LSR": [ 525 ], + "Q": [ 1000 ] + } + }, + "core_cpu.DebugPlugin_haltIt_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1015 ], + "B": [ 1680 ], + "C": [ 1681 ], + "D": [ 1682 ], + "Z": [ 1679 ] + } + }, + "core_cpu.DebugPlugin_haltIt_TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1683 ], + "B": [ 1000 ], + "C": [ 1684 ], + "D": [ 1685 ], + "Z": [ 1681 ] + } + }, + "core_cpu.DebugPlugin_haltedByBreak_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111101110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1684 ], + "B": [ 1685 ], + "C": [ 1686 ], + "D": [ 1682 ], + "Z": [ 1687 ] + } + }, + "core_cpu.DebugPlugin_haltedByBreak_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5432.3-5504.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1687 ], + "LSR": [ 525 ], + "Q": [ 1686 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1689 ], + "LSR": [ "0" ], + "Q": [ 1690 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1691 ], + "LSR": [ "0" ], + "Q": [ 1692 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1693 ], + "LSR": [ "0" ], + "Q": [ 1694 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1695 ], + "LSR": [ "0" ], + "Q": [ 1696 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1697 ], + "LSR": [ "0" ], + "Q": [ 1698 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1699 ], + "LSR": [ "0" ], + "Q": [ 1700 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1683 ], + "LSR": [ "0" ], + "Q": [ 1701 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1702 ], + "LSR": [ "0" ], + "Q": [ 1703 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1704 ], + "LSR": [ "0" ], + "Q": [ 1705 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1706 ], + "LSR": [ "0" ], + "Q": [ 1707 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1708 ], + "LSR": [ "0" ], + "Q": [ 1709 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1710 ], + "LSR": [ "0" ], + "Q": [ 1711 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1712 ], + "LSR": [ "0" ], + "Q": [ 1713 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1714 ], + "LSR": [ "0" ], + "Q": [ 1715 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1716 ], + "LSR": [ "0" ], + "Q": [ 1717 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1718 ], + "LSR": [ "0" ], + "Q": [ 1719 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1720 ], + "LSR": [ "0" ], + "Q": [ 1721 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1722 ], + "LSR": [ "0" ], + "Q": [ 1723 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1724 ], + "LSR": [ "0" ], + "Q": [ 1725 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1726 ], + "LSR": [ "0" ], + "Q": [ 1727 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1728 ], + "LSR": [ "0" ], + "Q": [ 1729 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1730 ], + "LSR": [ "0" ], + "Q": [ 1731 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1732 ], + "LSR": [ "0" ], + "Q": [ 1733 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1734 ], + "LSR": [ "0" ], + "Q": [ 1735 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1736 ], + "LSR": [ "0" ], + "Q": [ 1737 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1738 ], + "LSR": [ "0" ], + "Q": [ 1739 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1740 ], + "LSR": [ "0" ], + "Q": [ 1741 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1684 ], + "LSR": [ "0" ], + "Q": [ 1742 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1743 ], + "LSR": [ "0" ], + "Q": [ 1744 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1745 ], + "LSR": [ "0" ], + "Q": [ 1746 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1747 ], + "LSR": [ "0" ], + "Q": [ 1748 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1236 ], + "C": [ 1727 ], + "D": [ 1749 ], + "Z": [ 1750 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1232 ], + "B": [ 1723 ], + "C": [ 1751 ], + "D": [ 1750 ], + "Z": [ 1752 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1752 ], + "C": [ 1753 ], + "D": [ 1754 ], + "Z": [ 1755 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1755 ], + "B": [ 1756 ], + "C": [ 1757 ], + "D": [ 1758 ], + "Z": [ 1759 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1250 ], + "B": [ 1742 ], + "C": [ 1760 ], + "D": [ 1761 ], + "Z": [ 1758 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1762 ], + "B": [ 1763 ], + "C": [ 1764 ], + "D": [ 1765 ], + "Z": [ 1757 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1252 ], + "B": [ 1744 ], + "C": [ 1248 ], + "D": [ 1741 ], + "Z": [ 1765 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_1_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1725 ], + "B": [ 1234 ], + "C": [ 1709 ], + "D": [ 1218 ], + "Z": [ 1764 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_1_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1715 ], + "B": [ 1224 ], + "C": [ 1216 ], + "D": [ 1707 ], + "Z": [ 1763 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_1_A_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1101000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1216 ], + "B": [ 1707 ], + "C": [ 1766 ], + "D": [ 1767 ], + "Z": [ 1762 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_1_A_LUT4_Z_3_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1735 ], + "B": [ 1244 ], + "C": [ 1210 ], + "D": [ 1701 ], + "Z": [ 1767 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_1_A_LUT4_Z_3_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1218 ], + "B": [ 1709 ], + "C": [ 1214 ], + "D": [ 1705 ], + "Z": [ 1766 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1256 ], + "C": [ 1748 ], + "D": [ 1768 ], + "Z": [ 1756 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_2_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1200 ], + "B": [ 1692 ], + "C": [ 1769 ], + "D": [ 1770 ], + "Z": [ 1768 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_2_D_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1212 ], + "B": [ 1703 ], + "C": [ 1696 ], + "D": [ 1204 ], + "Z": [ 1769 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_2_D_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1771 ], + "BLUT": [ 1772 ], + "C0": [ 1242 ], + "Z": [ 1770 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_2_D_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000110100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1220 ], + "B": [ 1711 ], + "C": [ 1737 ], + "D": [ 1733 ], + "Z": [ 1771 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_2_D_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1220 ], + "B": [ 1711 ], + "C": [ 1737 ], + "D": [ 1733 ], + "Z": [ 1772 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1746 ], + "B": [ 1254 ], + "C": [ 1208 ], + "D": [ 1700 ], + "Z": [ 1761 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1226 ], + "C": [ 1717 ], + "D": [ 1773 ], + "Z": [ 1760 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_C_LUT4_Z_1_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1224 ], + "B": [ 1715 ], + "C": [ 1228 ], + "D": [ 1719 ], + "Z": [ 1773 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1254 ], + "B": [ 1746 ], + "C": [ 1202 ], + "D": [ 1694 ], + "Z": [ 1754 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1226 ], + "B": [ 1717 ], + "C": [ 1705 ], + "D": [ 1214 ], + "Z": [ 1753 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1729 ], + "B": [ 1238 ], + "C": [ 1236 ], + "D": [ 1727 ], + "Z": [ 1751 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5432.3-5504.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1688 ], + "CLK": [ 3 ], + "DI": [ 1774 ], + "LSR": [ 525 ], + "Q": [ 1749 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1689 ], + "LSR": [ "0" ], + "Q": [ 1776 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1691 ], + "LSR": [ "0" ], + "Q": [ 1777 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1693 ], + "LSR": [ "0" ], + "Q": [ 1778 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1695 ], + "LSR": [ "0" ], + "Q": [ 1779 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1697 ], + "LSR": [ "0" ], + "Q": [ 1780 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1699 ], + "LSR": [ "0" ], + "Q": [ 1781 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1683 ], + "LSR": [ "0" ], + "Q": [ 1782 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1702 ], + "LSR": [ "0" ], + "Q": [ 1783 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1704 ], + "LSR": [ "0" ], + "Q": [ 1784 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1706 ], + "LSR": [ "0" ], + "Q": [ 1785 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1708 ], + "LSR": [ "0" ], + "Q": [ 1786 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1710 ], + "LSR": [ "0" ], + "Q": [ 1787 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1712 ], + "LSR": [ "0" ], + "Q": [ 1788 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1714 ], + "LSR": [ "0" ], + "Q": [ 1789 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1716 ], + "LSR": [ "0" ], + "Q": [ 1790 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1718 ], + "LSR": [ "0" ], + "Q": [ 1791 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1720 ], + "LSR": [ "0" ], + "Q": [ 1792 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1722 ], + "LSR": [ "0" ], + "Q": [ 1793 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1724 ], + "LSR": [ "0" ], + "Q": [ 1794 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1726 ], + "LSR": [ "0" ], + "Q": [ 1795 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1728 ], + "LSR": [ "0" ], + "Q": [ 1796 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1730 ], + "LSR": [ "0" ], + "Q": [ 1797 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1732 ], + "LSR": [ "0" ], + "Q": [ 1798 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1734 ], + "LSR": [ "0" ], + "Q": [ 1799 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1736 ], + "LSR": [ "0" ], + "Q": [ 1800 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1738 ], + "LSR": [ "0" ], + "Q": [ 1801 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1740 ], + "LSR": [ "0" ], + "Q": [ 1802 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1684 ], + "LSR": [ "0" ], + "Q": [ 1803 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1743 ], + "LSR": [ "0" ], + "Q": [ 1804 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1745 ], + "LSR": [ "0" ], + "Q": [ 1805 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1747 ], + "LSR": [ "0" ], + "Q": [ 1806 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1236 ], + "C": [ 1795 ], + "D": [ 1807 ], + "Z": [ 1808 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1232 ], + "B": [ 1793 ], + "C": [ 1809 ], + "D": [ 1808 ], + "Z": [ 1810 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1252 ], + "B": [ 1804 ], + "C": [ 1799 ], + "D": [ 1244 ], + "Z": [ 1811 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1254 ], + "B": [ 1805 ], + "C": [ 1812 ], + "D": [ 1813 ], + "Z": [ 1814 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_LUT4_Z_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1789 ], + "B": [ 1224 ], + "C": [ 1216 ], + "D": [ 1785 ], + "Z": [ 1813 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_LUT4_Z_1_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1256 ], + "B": [ 1806 ], + "C": [ 1815 ], + "D": [ 1816 ], + "Z": [ 1812 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_LUT4_Z_1_C_LUT4_Z_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1801 ], + "B": [ 1246 ], + "C": [ 1198 ], + "D": [ 1776 ], + "Z": [ 1816 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_LUT4_Z_1_C_LUT4_Z_1_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1220 ], + "B": [ 1787 ], + "C": [ 1783 ], + "D": [ 1212 ], + "Z": [ 1815 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1817 ], + "BLUT": [ 1818 ], + "C0": [ 1819 ], + "Z": [ 1820 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000110100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1220 ], + "B": [ 1787 ], + "C": [ 1800 ], + "D": [ 1821 ], + "Z": [ 1817 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1818 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1796 ], + "B": [ 1238 ], + "C": [ 1236 ], + "D": [ 1795 ], + "Z": [ 1819 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_PFUMX_Z_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1240 ], + "B": [ 1797 ], + "C": [ 1230 ], + "D": [ 1792 ], + "Z": [ 1821 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1822 ], + "BLUT": [ 1823 ], + "C0": [ 1824 ], + "Z": [ 1809 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1822 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011101100001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1204 ], + "B": [ 1779 ], + "C": [ 1252 ], + "D": [ 1804 ], + "Z": [ 1823 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1222 ], + "D": [ 1788 ], + "Z": [ 1824 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5432.3-5504.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1775 ], + "CLK": [ 3 ], + "DI": [ 1774 ], + "LSR": [ 525 ], + "Q": [ 1807 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1689 ], + "LSR": [ "0" ], + "Q": [ 1826 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1691 ], + "LSR": [ "0" ], + "Q": [ 1827 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1693 ], + "LSR": [ "0" ], + "Q": [ 1828 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1695 ], + "LSR": [ "0" ], + "Q": [ 1829 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1697 ], + "LSR": [ "0" ], + "Q": [ 1830 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1699 ], + "LSR": [ "0" ], + "Q": [ 1831 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1683 ], + "LSR": [ "0" ], + "Q": [ 1832 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1702 ], + "LSR": [ "0" ], + "Q": [ 1833 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1704 ], + "LSR": [ "0" ], + "Q": [ 1834 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1706 ], + "LSR": [ "0" ], + "Q": [ 1835 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1708 ], + "LSR": [ "0" ], + "Q": [ 1836 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1710 ], + "LSR": [ "0" ], + "Q": [ 1837 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1712 ], + "LSR": [ "0" ], + "Q": [ 1838 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1714 ], + "LSR": [ "0" ], + "Q": [ 1839 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1716 ], + "LSR": [ "0" ], + "Q": [ 1840 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1718 ], + "LSR": [ "0" ], + "Q": [ 1841 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1720 ], + "LSR": [ "0" ], + "Q": [ 1842 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1722 ], + "LSR": [ "0" ], + "Q": [ 1843 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1724 ], + "LSR": [ "0" ], + "Q": [ 1844 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1726 ], + "LSR": [ "0" ], + "Q": [ 1845 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1728 ], + "LSR": [ "0" ], + "Q": [ 1846 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1730 ], + "LSR": [ "0" ], + "Q": [ 1847 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1732 ], + "LSR": [ "0" ], + "Q": [ 1848 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1734 ], + "LSR": [ "0" ], + "Q": [ 1849 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1736 ], + "LSR": [ "0" ], + "Q": [ 1850 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1738 ], + "LSR": [ "0" ], + "Q": [ 1851 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1740 ], + "LSR": [ "0" ], + "Q": [ 1852 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1684 ], + "LSR": [ "0" ], + "Q": [ 1853 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1743 ], + "LSR": [ "0" ], + "Q": [ 1854 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1745 ], + "LSR": [ "0" ], + "Q": [ 1855 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1747 ], + "LSR": [ "0" ], + "Q": [ 1856 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1857 ], + "BLUT": [ 1858 ], + "C0": [ 1859 ], + "Z": [ 1860 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1236 ], + "B": [ 1845 ], + "C": [ 1232 ], + "D": [ 1843 ], + "Z": [ 1857 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1858 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1234 ], + "B": [ 1844 ], + "C": [ 1860 ], + "D": [ 1861 ], + "Z": [ 1862 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1238 ], + "B": [ 1846 ], + "C": [ 1863 ], + "D": [ 1864 ], + "Z": [ 1865 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1850 ], + "B": [ 1242 ], + "C": [ 1848 ], + "D": [ 1866 ], + "Z": [ 1867 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_1_D_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1868 ], + "BLUT": [ 1869 ], + "C0": [ 1870 ], + "Z": [ 1866 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_1_D_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011101100001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1244 ], + "B": [ 1849 ], + "C": [ 1200 ], + "D": [ 1827 ], + "Z": [ 1868 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_1_D_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1869 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_1_D_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1252 ], + "B": [ 1854 ], + "C": [ 1248 ], + "D": [ 1852 ], + "Z": [ 1870 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1101000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1226 ], + "B": [ 1840 ], + "C": [ 1871 ], + "D": [ 1872 ], + "Z": [ 1873 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_2_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1224 ], + "B": [ 1839 ], + "C": [ 1228 ], + "D": [ 1841 ], + "Z": [ 1872 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_2_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1250 ], + "B": [ 1853 ], + "C": [ 1874 ], + "D": [ 1875 ], + "Z": [ 1871 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_2_C_LUT4_Z_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1855 ], + "B": [ 1254 ], + "C": [ 1256 ], + "D": [ 1856 ], + "Z": [ 1875 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_2_C_LUT4_Z_1_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1876 ], + "BLUT": [ 1877 ], + "C0": [ 1878 ], + "Z": [ 1874 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_2_C_LUT4_Z_1_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1254 ], + "B": [ 1855 ], + "C": [ 1828 ], + "D": [ 1202 ], + "Z": [ 1876 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_2_C_LUT4_Z_1_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1877 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_2_C_LUT4_Z_1_C_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1226 ], + "B": [ 1840 ], + "C": [ 1834 ], + "D": [ 1214 ], + "Z": [ 1878 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1849 ], + "B": [ 1244 ], + "C": [ 1208 ], + "D": [ 1831 ], + "Z": [ 1864 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1230 ], + "B": [ 1842 ], + "C": [ 1837 ], + "D": [ 1220 ], + "Z": [ 1863 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1839 ], + "B": [ 1224 ], + "C": [ 1216 ], + "D": [ 1835 ], + "Z": [ 1861 ] + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5432.3-5504.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1825 ], + "CLK": [ 3 ], + "DI": [ 1774 ], + "LSR": [ 525 ], + "Q": [ 1859 ] + } + }, + "core_cpu.DebugPlugin_isPipBusy_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1879 ], + "LSR": [ "0" ], + "Q": [ 1880 ] + } + }, + "core_cpu.DebugPlugin_isPipBusy_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1442 ], + "B": [ 1881 ], + "C": [ 1015 ], + "D": [ 1882 ], + "Z": [ 1879 ] + } + }, + "core_cpu.DebugPlugin_isPipBusy_TRELLIS_FF_Q_DI_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1883 ], + "C": [ 1884 ], + "D": [ 1885 ], + "Z": [ 1882 ] + } + }, + "core_cpu.DebugPlugin_resetIt_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5432.3-5504.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1886 ], + "CLK": [ 3 ], + "DI": [ 1887 ], + "LSR": [ 525 ], + "Q": [ 1888 ] + } + }, + "core_cpu.DebugPlugin_resetIt_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1702 ], + "C": [ 1743 ], + "D": [ 1685 ], + "Z": [ 1886 ] + } + }, + "core_cpu.DebugPlugin_resetIt_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:115.33-116.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1743 ], + "Z": [ 1887 ] + } + }, + "core_cpu.DebugPlugin_resetIt_regNext_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1888 ], + "LSR": [ "0" ], + "Q": [ 1889 ] + } + }, + "core_cpu.DebugPlugin_stepIt_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5432.3-5504.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1685 ], + "CLK": [ 3 ], + "DI": [ 1728 ], + "LSR": [ 525 ], + "Q": [ 1001 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_booted_PFUMX_C0": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1890 ], + "BLUT": [ 1891 ], + "C0": [ 1892 ], + "Z": [ 1893 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_booted_PFUMX_C0_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 1894 ], + "BLUT": [ 1895 ], + "C0": [ 1892 ], + "Z": [ 1896 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_booted_PFUMX_C0_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1897 ], + "B": [ 1898 ], + "C": [ 1899 ], + "D": [ 1900 ], + "Z": [ 1894 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_booted_PFUMX_C0_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1895 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_booted_PFUMX_C0_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1682 ], + "B": [ 1680 ], + "C": [ 1000 ], + "D": [ 1901 ], + "Z": [ 1890 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_booted_PFUMX_C0_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 1891 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_booted_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ "1" ], + "LSR": [ 71 ], + "Q": [ 1892 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 1902 ], + "A1": [ "0" ], + "B0": [ 152 ], + "B1": [ 148 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 1903 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1904 ], + "S1": [ 1905 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 120 ], + "B1": [ 116 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1906 ], + "COUT": [ 1907 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1908 ], + "S1": [ 1909 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 128 ], + "B1": [ 124 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1910 ], + "COUT": [ 1906 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1911 ], + "S1": [ 1912 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_10": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 93 ], + "B1": [ 90 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1913 ], + "COUT": [ 1914 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1915 ], + "S1": [ 1916 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_10_S0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1479 ], + "C": [ 1213 ], + "D": [ 1451 ], + "Z": [ 1917 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_10_S1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1477 ], + "C": [ 1211 ], + "D": [ 1451 ], + "Z": [ 1918 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_11": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 101 ], + "B1": [ 97 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1919 ], + "COUT": [ 1913 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1920 ], + "S1": [ 1921 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_11_S0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1483 ], + "C": [ 1217 ], + "D": [ 1451 ], + "Z": [ 1922 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_11_S1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1481 ], + "C": [ 1215 ], + "D": [ 1451 ], + "Z": [ 1923 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_12": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 109 ], + "B1": [ 105 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1907 ], + "COUT": [ 1919 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1924 ], + "S1": [ 1925 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_12_S0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1451 ], + "D": [ 1004 ], + "Z": [ 1926 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_12_S0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1901 ], + "B": [ 1927 ], + "C": [ 1451 ], + "D": [ 1221 ], + "Z": [ 1928 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_12_S1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1485 ], + "C": [ 1219 ], + "D": [ 1451 ], + "Z": [ 1929 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_1_S0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1493 ], + "C": [ 1231 ], + "D": [ 1451 ], + "Z": [ 1930 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_1_S1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1491 ], + "C": [ 1229 ], + "D": [ 1451 ], + "Z": [ 1931 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 136 ], + "B1": [ 132 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1932 ], + "COUT": [ 1910 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1933 ], + "S1": [ 1934 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_2_S0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1495 ], + "C": [ 1235 ], + "D": [ 1451 ], + "Z": [ 1935 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_2_S1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1283 ], + "C": [ 1233 ], + "D": [ 1451 ], + "Z": [ 1936 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 144 ], + "B1": [ 140 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1903 ], + "COUT": [ 1932 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1937 ], + "S1": [ 1938 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_3_S0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1499 ], + "C": [ 1239 ], + "D": [ 1451 ], + "Z": [ 1939 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_3_S1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1497 ], + "C": [ 1237 ], + "D": [ 1451 ], + "Z": [ 1940 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_4": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 157 ], + "B1": [ 154 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1941 ], + "COUT": [ 1942 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1943 ], + "S1": [ 1944 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_4_S0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1502 ], + "C": [ 1245 ], + "D": [ 1451 ], + "Z": [ 1945 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_4_S1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1487 ], + "C": [ 1223 ], + "D": [ 1451 ], + "Z": [ 1946 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_5": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 163 ], + "B1": [ 160 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1947 ], + "COUT": [ 1941 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1948 ], + "S1": [ 1949 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_5_S0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1506 ], + "C": [ 1249 ], + "D": [ 1451 ], + "Z": [ 1950 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_5_S1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1504 ], + "C": [ 1247 ], + "D": [ 1451 ], + "Z": [ 1951 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_6": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 169 ], + "B1": [ 166 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1952 ], + "COUT": [ 1947 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1953 ], + "S1": [ 1954 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_6_S0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1510 ], + "C": [ 1253 ], + "D": [ 1451 ], + "Z": [ 1955 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_6_S1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1508 ], + "C": [ 1251 ], + "D": [ 1451 ], + "Z": [ 1956 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_7": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 175 ], + "B1": [ 172 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1957 ], + "COUT": [ 1952 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1958 ], + "S1": [ 1959 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_7_S0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1514 ], + "C": [ 1257 ], + "D": [ 1451 ], + "Z": [ 1960 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_7_S1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1512 ], + "C": [ 1255 ], + "D": [ 1451 ], + "Z": [ 1961 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_8": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 81 ], + "B1": [ 78 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1962 ], + "COUT": [ 1957 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1963 ], + "S1": [ 1964 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_8_S0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1471 ], + "C": [ 1205 ], + "D": [ 1451 ], + "Z": [ 1965 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_8_S1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1469 ], + "C": [ 1203 ], + "D": [ 1451 ], + "Z": [ 1966 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_9": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 87 ], + "B1": [ 84 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1914 ], + "COUT": [ 1962 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 1967 ], + "S1": [ 1968 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_9_S0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1475 ], + "C": [ 1209 ], + "D": [ 1451 ], + "Z": [ 1969 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_9_S1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1473 ], + "C": [ 1207 ], + "D": [ 1451 ], + "Z": [ 1970 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_S0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1489 ], + "C": [ 1227 ], + "D": [ 1451 ], + "Z": [ 1971 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_S1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1443 ], + "C": [ 1225 ], + "D": [ 1451 ], + "Z": [ 1972 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_S0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1036 ], + "C": [ 1243 ], + "D": [ 1451 ], + "Z": [ 1973 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_S0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1442 ], + "C": [ 1974 ], + "D": [ 1004 ], + "Z": [ 1901 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_S1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1272 ], + "C": [ 1241 ], + "D": [ 1451 ], + "Z": [ 1975 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1976 ], + "CLK": [ 3 ], + "DI": [ 1977 ], + "LSR": [ 71 ], + "Q": [ 1902 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1897 ], + "B": [ 1898 ], + "C": [ 1899 ], + "D": [ 1900 ], + "Z": [ 1976 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1897 ], + "B": [ 1899 ], + "C": [ 1898 ], + "D": [ 1893 ], + "Z": [ 1977 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:74.161-74.220" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1978 ], + "LSR": [ 71 ], + "Q": [ 177 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1979 ], + "LSR": [ 71 ], + "Q": [ 111 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1980 ], + "LSR": [ 71 ], + "Q": [ 78 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1981 ], + "LSR": [ 71 ], + "Q": [ 81 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1982 ], + "LSR": [ 71 ], + "Q": [ 84 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1983 ], + "LSR": [ 71 ], + "Q": [ 87 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1984 ], + "LSR": [ 71 ], + "Q": [ 90 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1985 ], + "LSR": [ 71 ], + "Q": [ 93 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1986 ], + "LSR": [ 71 ], + "Q": [ 97 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1987 ], + "LSR": [ 71 ], + "Q": [ 101 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1988 ], + "LSR": [ 71 ], + "Q": [ 105 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1989 ], + "LSR": [ 71 ], + "Q": [ 109 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1990 ], + "LSR": [ 71 ], + "Q": [ 154 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1991 ], + "LSR": [ 71 ], + "Q": [ 116 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1992 ], + "LSR": [ 71 ], + "Q": [ 120 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1993 ], + "LSR": [ 71 ], + "Q": [ 124 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1994 ], + "LSR": [ 71 ], + "Q": [ 128 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1995 ], + "LSR": [ 71 ], + "Q": [ 132 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1996 ], + "LSR": [ 71 ], + "Q": [ 136 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1997 ], + "LSR": [ 71 ], + "Q": [ 140 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1998 ], + "LSR": [ 71 ], + "Q": [ 144 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 1999 ], + "LSR": [ 71 ], + "Q": [ 148 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 2000 ], + "LSR": [ 71 ], + "Q": [ 152 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 2001 ], + "LSR": [ 71 ], + "Q": [ 157 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 2002 ], + "LSR": [ 71 ], + "Q": [ 160 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 2003 ], + "LSR": [ 71 ], + "Q": [ 163 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 2004 ], + "LSR": [ 71 ], + "Q": [ 166 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 2005 ], + "LSR": [ 71 ], + "Q": [ 169 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 2006 ], + "LSR": [ 71 ], + "Q": [ 172 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1896 ], + "CLK": [ 3 ], + "DI": [ 2007 ], + "LSR": [ 71 ], + "Q": [ 175 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2008 ], + "BLUT": [ 2009 ], + "C0": [ 1900 ], + "Z": [ 1978 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2010 ], + "BLUT": [ 2011 ], + "C0": [ 1900 ], + "Z": [ 1979 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_10": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2012 ], + "BLUT": [ 2013 ], + "C0": [ 1900 ], + "Z": [ 1980 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_10_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1964 ], + "Z": [ 2012 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_10_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2014 ], + "D": [ 1966 ], + "Z": [ 2013 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_11": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2015 ], + "BLUT": [ 2016 ], + "C0": [ 1900 ], + "Z": [ 1981 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_11_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1963 ], + "Z": [ 2015 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_11_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2017 ], + "D": [ 1965 ], + "Z": [ 2016 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_12": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2018 ], + "BLUT": [ 2019 ], + "C0": [ 1900 ], + "Z": [ 1982 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_12_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1968 ], + "Z": [ 2018 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_12_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2020 ], + "D": [ 1970 ], + "Z": [ 2019 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_13": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2021 ], + "BLUT": [ 2022 ], + "C0": [ 1900 ], + "Z": [ 1983 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_13_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1967 ], + "Z": [ 2021 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_13_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2023 ], + "D": [ 1969 ], + "Z": [ 2022 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_14": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2024 ], + "BLUT": [ 2025 ], + "C0": [ 1900 ], + "Z": [ 1984 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_14_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1916 ], + "Z": [ 2024 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_14_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2026 ], + "D": [ 1918 ], + "Z": [ 2025 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_15": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2027 ], + "BLUT": [ 2028 ], + "C0": [ 1900 ], + "Z": [ 1985 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_15_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1915 ], + "Z": [ 2027 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_15_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2029 ], + "D": [ 1917 ], + "Z": [ 2028 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_16": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2030 ], + "BLUT": [ 2031 ], + "C0": [ 1900 ], + "Z": [ 1986 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_16_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1921 ], + "Z": [ 2030 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_16_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2032 ], + "D": [ 1923 ], + "Z": [ 2031 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_17": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2033 ], + "BLUT": [ 2034 ], + "C0": [ 1900 ], + "Z": [ 1987 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_17_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1920 ], + "Z": [ 2033 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_17_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2035 ], + "D": [ 1922 ], + "Z": [ 2034 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_18": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2036 ], + "BLUT": [ 2037 ], + "C0": [ 1900 ], + "Z": [ 1988 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_18_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1925 ], + "Z": [ 2036 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_18_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2038 ], + "D": [ 1929 ], + "Z": [ 2037 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_19": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2039 ], + "BLUT": [ 2040 ], + "C0": [ 1900 ], + "Z": [ 1989 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_19_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1924 ], + "Z": [ 2039 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_19_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1926 ], + "C": [ 1454 ], + "D": [ 1928 ], + "Z": [ 2040 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2041 ], + "Z": [ 2010 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1926 ], + "C": [ 1467 ], + "D": [ 2042 ], + "Z": [ 2011 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_1_BLUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1901 ], + "B": [ 2043 ], + "C": [ 1451 ], + "D": [ 1201 ], + "Z": [ 2042 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_2": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2044 ], + "BLUT": [ 2045 ], + "C0": [ 1900 ], + "Z": [ 1990 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_20": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2046 ], + "BLUT": [ 2047 ], + "C0": [ 1900 ], + "Z": [ 1991 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_20_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1909 ], + "Z": [ 2046 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_20_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2048 ], + "D": [ 1972 ], + "Z": [ 2047 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_21": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2049 ], + "BLUT": [ 2050 ], + "C0": [ 1900 ], + "Z": [ 1992 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_21_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1908 ], + "Z": [ 2049 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_21_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2051 ], + "D": [ 1971 ], + "Z": [ 2050 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_22": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2052 ], + "BLUT": [ 2053 ], + "C0": [ 1900 ], + "Z": [ 1993 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_22_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1912 ], + "Z": [ 2052 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_22_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2054 ], + "D": [ 1931 ], + "Z": [ 2053 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_23": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2055 ], + "BLUT": [ 2056 ], + "C0": [ 1900 ], + "Z": [ 1994 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_23_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1911 ], + "Z": [ 2055 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_23_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2057 ], + "D": [ 1930 ], + "Z": [ 2056 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_24": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2058 ], + "BLUT": [ 2059 ], + "C0": [ 1900 ], + "Z": [ 1995 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_24_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1934 ], + "Z": [ 2058 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_24_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2060 ], + "D": [ 1936 ], + "Z": [ 2059 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_25": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2061 ], + "BLUT": [ 2062 ], + "C0": [ 1900 ], + "Z": [ 1996 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_25_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1933 ], + "Z": [ 2061 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_25_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2063 ], + "D": [ 1935 ], + "Z": [ 2062 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_26": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2064 ], + "BLUT": [ 2065 ], + "C0": [ 1900 ], + "Z": [ 1997 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_26_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1938 ], + "Z": [ 2064 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_26_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2066 ], + "D": [ 1940 ], + "Z": [ 2065 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_27": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2067 ], + "BLUT": [ 2068 ], + "C0": [ 1900 ], + "Z": [ 1998 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_27_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1937 ], + "Z": [ 2067 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_27_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2069 ], + "D": [ 1939 ], + "Z": [ 2068 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_28": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2070 ], + "BLUT": [ 2071 ], + "C0": [ 1900 ], + "Z": [ 1999 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_28_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1905 ], + "Z": [ 2070 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_28_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2072 ], + "D": [ 1975 ], + "Z": [ 2071 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_29": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2073 ], + "BLUT": [ 2074 ], + "C0": [ 1900 ], + "Z": [ 2000 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_29_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1904 ], + "Z": [ 2073 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_29_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2075 ], + "D": [ 1973 ], + "Z": [ 2074 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_2_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1944 ], + "Z": [ 2044 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_2_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2076 ], + "D": [ 1946 ], + "Z": [ 2045 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_3": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2077 ], + "BLUT": [ 2078 ], + "C0": [ 1900 ], + "Z": [ 2001 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_3_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1943 ], + "Z": [ 2077 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_3_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2079 ], + "D": [ 1945 ], + "Z": [ 2078 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_4": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2080 ], + "BLUT": [ 2081 ], + "C0": [ 1900 ], + "Z": [ 2002 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_4_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1949 ], + "Z": [ 2080 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_4_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2082 ], + "D": [ 1951 ], + "Z": [ 2081 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_5": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2083 ], + "BLUT": [ 2084 ], + "C0": [ 1900 ], + "Z": [ 2003 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_5_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1948 ], + "Z": [ 2083 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_5_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2085 ], + "D": [ 1950 ], + "Z": [ 2084 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_6": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2086 ], + "BLUT": [ 2087 ], + "C0": [ 1900 ], + "Z": [ 2004 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_6_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1954 ], + "Z": [ 2086 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_6_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2088 ], + "D": [ 1956 ], + "Z": [ 2087 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_7": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2089 ], + "BLUT": [ 2090 ], + "C0": [ 1900 ], + "Z": [ 2005 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_7_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1953 ], + "Z": [ 2089 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_7_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2091 ], + "D": [ 1955 ], + "Z": [ 2090 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_8": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2092 ], + "BLUT": [ 2093 ], + "C0": [ 1900 ], + "Z": [ 2006 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_8_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1959 ], + "Z": [ 2092 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_8_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2094 ], + "D": [ 1961 ], + "Z": [ 2093 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_9": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2095 ], + "BLUT": [ 2096 ], + "C0": [ 1900 ], + "Z": [ 2007 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_9_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1958 ], + "Z": [ 2095 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_9_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2097 ], + "D": [ 1960 ], + "Z": [ 2096 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2098 ], + "Z": [ 2008 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1901 ], + "C": [ 2099 ], + "D": [ 2100 ], + "Z": [ 2009 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_BLUT_LUT4_Z_D_CCU2C_S1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 111 ], + "B1": [ 177 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 1942 ], + "COUT": [ 2101 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2041 ], + "S1": [ 2098 ] + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1004 ], + "B": [ 1465 ], + "C": [ 1199 ], + "D": [ 1451 ], + "Z": [ 2100 ] + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_stages_1_output_ready_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1897 ], + "D": [ 1899 ], + "Z": [ 2102 ] + } + }, + "core_cpu.IBusSimplePlugin_pending_next_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1101001010110100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 73 ], + "B": [ 2103 ], + "C": [ 2104 ], + "D": [ 2105 ], + "Z": [ 2106 ] + } + }, + "core_cpu.IBusSimplePlugin_pending_next_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 73 ], + "C": [ 2103 ], + "D": [ 2105 ], + "Z": [ 2107 ] + } + }, + "core_cpu.IBusSimplePlugin_pending_next_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2108 ], + "BLUT": [ 2109 ], + "C0": [ 2105 ], + "Z": [ 2110 ] + } + }, + "core_cpu.IBusSimplePlugin_pending_next_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111011100001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 73 ], + "B": [ 2104 ], + "C": [ 2103 ], + "D": [ 2111 ], + "Z": [ 2108 ] + } + }, + "core_cpu.IBusSimplePlugin_pending_next_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111100010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 73 ], + "B": [ 2104 ], + "C": [ 2103 ], + "D": [ 2111 ], + "Z": [ 2109 ] + } + }, + "core_cpu.IBusSimplePlugin_pending_value_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2110 ], + "LSR": [ 71 ], + "Q": [ 2111 ] + } + }, + "core_cpu.IBusSimplePlugin_pending_value_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2106 ], + "LSR": [ 71 ], + "Q": [ 2104 ] + } + }, + "core_cpu.IBusSimplePlugin_pending_value_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2107 ], + "LSR": [ 71 ], + "Q": [ 2105 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_1__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2112 ], + "D": [ 2113 ], + "Z": [ 2114 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2115 ], + "LSR": [ "0" ], + "Q": [ 2116 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2117 ], + "LSR": [ "0" ], + "Q": [ 2118 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2119 ], + "LSR": [ "0" ], + "Q": [ 2120 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2121 ], + "LSR": [ "0" ], + "Q": [ 2122 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2123 ], + "LSR": [ "0" ], + "Q": [ 2124 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2125 ], + "LSR": [ "0" ], + "Q": [ 2126 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2127 ], + "LSR": [ "0" ], + "Q": [ 2128 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2129 ], + "LSR": [ "0" ], + "Q": [ 2130 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2131 ], + "LSR": [ "0" ], + "Q": [ 2132 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2133 ], + "LSR": [ "0" ], + "Q": [ 2134 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2135 ], + "LSR": [ "0" ], + "Q": [ 2136 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2137 ], + "LSR": [ "0" ], + "Q": [ 2138 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2139 ], + "LSR": [ "0" ], + "Q": [ 2140 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2141 ], + "LSR": [ "0" ], + "Q": [ 2142 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2143 ], + "LSR": [ "0" ], + "Q": [ 2144 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2145 ], + "LSR": [ "0" ], + "Q": [ 2146 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2147 ], + "LSR": [ "0" ], + "Q": [ 2148 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2149 ], + "LSR": [ "0" ], + "Q": [ 2150 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2151 ], + "LSR": [ "0" ], + "Q": [ 2152 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2153 ], + "LSR": [ "0" ], + "Q": [ 2154 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2155 ], + "LSR": [ "0" ], + "Q": [ 2156 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2157 ], + "LSR": [ "0" ], + "Q": [ 2158 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2159 ], + "LSR": [ "0" ], + "Q": [ 2160 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2161 ], + "LSR": [ "0" ], + "Q": [ 2162 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2163 ], + "LSR": [ "0" ], + "Q": [ 2164 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2165 ], + "LSR": [ "0" ], + "Q": [ 2166 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2167 ], + "LSR": [ "0" ], + "Q": [ 2168 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2169 ], + "LSR": [ "0" ], + "Q": [ 2170 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2171 ], + "LSR": [ "0" ], + "Q": [ 2172 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3__TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:719.3-723.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2114 ], + "CLK": [ 3 ], + "DI": [ 2173 ], + "LSR": [ "0" ], + "Q": [ 2174 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2175 ], + "C": [ 2176 ], + "D": [ 38 ], + "Z": [ 2115 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2177 ], + "C": [ 2178 ], + "D": [ 38 ], + "Z": [ 2117 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2179 ], + "C": [ 2180 ], + "D": [ 38 ], + "Z": [ 2119 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2181 ], + "C": [ 2182 ], + "D": [ 38 ], + "Z": [ 2121 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2183 ], + "C": [ 2184 ], + "D": [ 38 ], + "Z": [ 2123 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2185 ], + "C": [ 2186 ], + "D": [ 38 ], + "Z": [ 2125 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2187 ], + "C": [ 2188 ], + "D": [ 38 ], + "Z": [ 2127 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2189 ], + "C": [ 2190 ], + "D": [ 38 ], + "Z": [ 2129 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2191 ], + "C": [ 2192 ], + "D": [ 38 ], + "Z": [ 2131 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2193 ], + "C": [ 2194 ], + "D": [ 38 ], + "Z": [ 2133 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2195 ], + "C": [ 2196 ], + "D": [ 38 ], + "Z": [ 2135 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2197 ], + "C": [ 2198 ], + "D": [ 38 ], + "Z": [ 2137 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2199 ], + "C": [ 2200 ], + "D": [ 38 ], + "Z": [ 2139 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2201 ], + "C": [ 2202 ], + "D": [ 38 ], + "Z": [ 2141 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2203 ], + "C": [ 2204 ], + "D": [ 38 ], + "Z": [ 2143 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2205 ], + "C": [ 2206 ], + "D": [ 38 ], + "Z": [ 2145 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2207 ], + "C": [ 2208 ], + "D": [ 38 ], + "Z": [ 2147 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2209 ], + "C": [ 2210 ], + "D": [ 38 ], + "Z": [ 2149 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2211 ], + "C": [ 2212 ], + "D": [ 38 ], + "Z": [ 2151 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2213 ], + "C": [ 2214 ], + "D": [ 38 ], + "Z": [ 2153 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2215 ], + "C": [ 2216 ], + "D": [ 38 ], + "Z": [ 2155 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2217 ], + "C": [ 2218 ], + "D": [ 38 ], + "Z": [ 2157 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2219 ], + "C": [ 2220 ], + "D": [ 38 ], + "Z": [ 2159 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2221 ], + "C": [ 2222 ], + "D": [ 38 ], + "Z": [ 2161 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2223 ], + "C": [ 2224 ], + "D": [ 38 ], + "Z": [ 2163 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2225 ], + "C": [ 2226 ], + "D": [ 38 ], + "Z": [ 2165 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2227 ], + "C": [ 2228 ], + "D": [ 38 ], + "Z": [ 2167 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2229 ], + "C": [ 2230 ], + "D": [ 38 ], + "Z": [ 2169 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2231 ], + "C": [ 2232 ], + "D": [ 38 ], + "Z": [ 2171 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst_LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2233 ], + "C": [ 2234 ], + "D": [ 38 ], + "Z": [ 2173 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.risingOccupancy_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:706.3-717.6|PQVexRiscvUlx3s.v:2702.24-2715.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2235 ], + "CLK": [ 3 ], + "DI": [ 2114 ], + "LSR": [ 71 ], + "Q": [ 2113 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.risingOccupancy_TRELLIS_FF_Q_CE_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2236 ], + "BLUT": [ 2237 ], + "C0": [ 2238 ], + "Z": [ 2235 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.risingOccupancy_TRELLIS_FF_Q_CE_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111101000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1897 ], + "B": [ 2113 ], + "C": [ 2239 ], + "D": [ 2114 ], + "Z": [ 2236 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.risingOccupancy_TRELLIS_FF_Q_CE_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2113 ], + "Z": [ 2237 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2240 ], + "LSR": [ 71 ], + "Q": [ 2241 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2242 ], + "LSR": [ 71 ], + "Q": [ 2243 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2244 ], + "D1": [ 2245 ], + "SD": [ 395 ], + "Z": [ 2242 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2246 ], + "BLUT": [ 2247 ], + "C0": [ 2105 ], + "Z": [ 2244 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111001100001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 73 ], + "C": [ 2103 ], + "D": [ 2104 ], + "Z": [ 2246 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 73 ], + "C": [ 2103 ], + "D": [ 2104 ], + "Z": [ 2247 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2248 ], + "BLUT": [ 2249 ], + "C0": [ 2105 ], + "Z": [ 2245 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2250 ], + "Z": [ 2248 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2250 ], + "Z": [ 2249 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001111111101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2241 ], + "B": [ 2251 ], + "C": [ 2252 ], + "D": [ 2243 ], + "Z": [ 2250 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2253 ], + "LSR": [ 71 ], + "Q": [ 2252 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_2_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2254 ], + "BLUT": [ 2255 ], + "C0": [ 395 ], + "Z": [ 2253 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_2_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2256 ], + "Z": [ 2254 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_2_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 73 ], + "C": [ 2103 ], + "D": [ 2105 ], + "Z": [ 2255 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2257 ], + "D1": [ 2258 ], + "SD": [ 395 ], + "Z": [ 2240 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2259 ], + "D1": [ 2260 ], + "SD": [ 2105 ], + "Z": [ 2257 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2261 ], + "BLUT": [ 2262 ], + "C0": [ 2111 ], + "Z": [ 2259 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 73 ], + "C": [ 2104 ], + "D": [ 2103 ], + "Z": [ 2261 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 73 ], + "C": [ 2104 ], + "D": [ 2103 ], + "Z": [ 2262 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2263 ], + "BLUT": [ 2264 ], + "C0": [ 2111 ], + "Z": [ 2260 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 73 ], + "C": [ 2104 ], + "D": [ 2103 ], + "Z": [ 2263 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 73 ], + "C": [ 2104 ], + "D": [ 2103 ], + "Z": [ 2264 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 2265 ], + "D1": [ 2266 ], + "SD": [ 2105 ], + "Z": [ 2258 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2267 ], + "BLUT": [ 2268 ], + "C0": [ 2111 ], + "Z": [ 2265 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2269 ], + "Z": [ 2267 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2269 ], + "Z": [ 2268 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2243 ], + "B": [ 2252 ], + "C": [ 2251 ], + "D": [ 2241 ], + "Z": [ 2269 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2270 ], + "BLUT": [ 2271 ], + "C0": [ 2111 ], + "Z": [ 2266 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2269 ], + "Z": [ 2270 ] + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2269 ], + "Z": [ 2271 ] + } + }, + "core_cpu.RegFilePlugin_regFile.0.0.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1557, 1554, 1651, 1647 ], + "DO": [ 2272, 2273, 2274, 2275 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.0.0.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1557, 1554, 1651, 1647 ], + "DO": [ 2285, 2286, 2287, 2288 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.0.1.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1557, 1554, 1651, 1647 ], + "DO": [ 2293, 2294, 2295, 2296 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.0.1.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1557, 1554, 1651, 1647 ], + "DO": [ 2298, 2299, 2300, 2301 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.1.0.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1639, 1635, 1631, 1627 ], + "DO": [ 2302, 2303, 2304, 2305 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.1.0.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1639, 1635, 1631, 1627 ], + "DO": [ 2306, 2307, 2308, 2309 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.1.1.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1639, 1635, 1631, 1627 ], + "DO": [ 2310, 2311, 2312, 2313 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.1.1.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1639, 1635, 1631, 1627 ], + "DO": [ 2314, 2315, 2316, 2317 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1623, 1619, 1615, 1611 ], + "DO": [ 2318, 2319, 2320, 2321 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1623, 1619, 1615, 1611 ], + "DO": [ 2322, 2323, 2324, 2325 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.1_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2322 ], + "LSR": [ "0" ], + "Q": [ 2326 ] + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.1_DO_TRELLIS_FF_DI_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2327 ], + "C": [ 2326 ], + "D": [ 2328 ], + "Z": [ 2329 ] + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.1_DO_TRELLIS_FF_DI_Q_LUT4_B_Z_PFUMX_BLUT": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2330 ], + "BLUT": [ 2329 ], + "C0": [ 2331 ], + "Z": [ 2332 ] + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.1_DO_TRELLIS_FF_DI_Q_LUT4_B_Z_PFUMX_BLUT_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2333 ], + "Z": [ 2330 ] + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.1_DO_TRELLIS_FF_DI_Q_LUT4_B_Z_PFUMX_BLUT_Z_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000010100000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1623 ], + "B": [ 2332 ], + "C": [ 2334 ], + "D": [ 2335 ], + "Z": [ 2336 ] + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.1_DO_TRELLIS_FF_DI_Q_LUT4_B_Z_PFUMX_BLUT_Z_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2337 ], + "D": [ 2334 ], + "Z": [ 2338 ] + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.1_DO_TRELLIS_FF_DI_Q_LUT4_B_Z_PFUMX_BLUT_Z_LUT4_B_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2339 ], + "B": [ 2340 ], + "C": [ 2341 ], + "D": [ 2342 ], + "Z": [ 2343 ] + } + }, + "core_cpu.RegFilePlugin_regFile.2.1.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1623, 1619, 1615, 1611 ], + "DO": [ 2344, 2345, 2346, 2347 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.2.1.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1623, 1619, 1615, 1611 ], + "DO": [ 2348, 2349, 2350, 2351 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.2.1.1_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2348 ], + "LSR": [ "0" ], + "Q": [ 2327 ] + } + }, + "core_cpu.RegFilePlugin_regFile.3.0.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1607, 1603, 1597, 1593 ], + "DO": [ 2352, 2353, 2354, 2355 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.3.0.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1607, 1603, 1597, 1593 ], + "DO": [ 2356, 2357, 2358, 2359 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.3.1.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1607, 1603, 1597, 1593 ], + "DO": [ 2360, 2361, 2362, 2363 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.3.1.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1607, 1603, 1597, 1593 ], + "DO": [ 2364, 2365, 2366, 2367 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.0.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1589, 1585, 1581, 1577 ], + "DO": [ 2368, 2369, 2370, 2371 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.0.0_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2371 ], + "LSR": [ "0" ], + "Q": [ 2372 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.0.0_DO_TRELLIS_FF_DI_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2370 ], + "LSR": [ "0" ], + "Q": [ 2373 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.0.0_DO_TRELLIS_FF_DI_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2369 ], + "LSR": [ "0" ], + "Q": [ 2374 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.0.0_DO_TRELLIS_FF_DI_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2368 ], + "LSR": [ "0" ], + "Q": [ 2375 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.0.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1589, 1585, 1581, 1577 ], + "DO": [ 2376, 2377, 2378, 2379 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.0.1_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2379 ], + "LSR": [ "0" ], + "Q": [ 2380 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.0.1_DO_TRELLIS_FF_DI_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2378 ], + "LSR": [ "0" ], + "Q": [ 2381 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.0.1_DO_TRELLIS_FF_DI_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2377 ], + "LSR": [ "0" ], + "Q": [ 2382 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.0.1_DO_TRELLIS_FF_DI_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2376 ], + "LSR": [ "0" ], + "Q": [ 2383 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1589, 1585, 1581, 1577 ], + "DO": [ 2384, 2385, 2386, 2387 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2387 ], + "LSR": [ "0" ], + "Q": [ 2388 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2386 ], + "LSR": [ "0" ], + "Q": [ 2389 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI_1_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2373 ], + "C": [ 2389 ], + "D": [ 2390 ], + "Z": [ 2391 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2385 ], + "LSR": [ "0" ], + "Q": [ 2392 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI_2_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2374 ], + "C": [ 2392 ], + "D": [ 2390 ], + "Z": [ 2393 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2384 ], + "LSR": [ "0" ], + "Q": [ 2394 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI_3_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2375 ], + "C": [ 2394 ], + "D": [ 2390 ], + "Z": [ 2395 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2372 ], + "C": [ 2388 ], + "D": [ 2390 ], + "Z": [ 2396 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1589, 1585, 1581, 1577 ], + "DO": [ 2397, 2398, 2399, 2400 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2400 ], + "LSR": [ "0" ], + "Q": [ 2401 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2399 ], + "LSR": [ "0" ], + "Q": [ 2402 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI_1_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2381 ], + "C": [ 2402 ], + "D": [ 2328 ], + "Z": [ 2403 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2398 ], + "LSR": [ "0" ], + "Q": [ 2404 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI_2_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2382 ], + "C": [ 2404 ], + "D": [ 2328 ], + "Z": [ 2405 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2397 ], + "LSR": [ "0" ], + "Q": [ 2406 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI_3_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2383 ], + "C": [ 2406 ], + "D": [ 2328 ], + "Z": [ 2407 ] + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2380 ], + "C": [ 2401 ], + "D": [ 2328 ], + "Z": [ 2408 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.0.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1573, 1569, 1565, 1561 ], + "DO": [ 2409, 2410, 2411, 2412 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.0.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1573, 1569, 1565, 1561 ], + "DO": [ 2413, 2414, 2415, 2416 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.0.1_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2416 ], + "LSR": [ "0" ], + "Q": [ 2417 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.0.1_DO_TRELLIS_FF_DI_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2415 ], + "LSR": [ "0" ], + "Q": [ 2418 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.0.1_DO_TRELLIS_FF_DI_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2414 ], + "LSR": [ "0" ], + "Q": [ 2419 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.0.1_DO_TRELLIS_FF_DI_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2413 ], + "LSR": [ "0" ], + "Q": [ 2420 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1573, 1569, 1565, 1561 ], + "DO": [ 2421, 2422, 2423, 2424 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1573, 1569, 1565, 1561 ], + "DO": [ 2425, 2426, 2427, 2428 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2428 ], + "LSR": [ "0" ], + "Q": [ 2429 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1_DO_TRELLIS_FF_DI_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2427 ], + "LSR": [ "0" ], + "Q": [ 2430 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1_DO_TRELLIS_FF_DI_1_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2418 ], + "C": [ 2430 ], + "D": [ 2328 ], + "Z": [ 2431 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1_DO_TRELLIS_FF_DI_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2426 ], + "LSR": [ "0" ], + "Q": [ 2432 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1_DO_TRELLIS_FF_DI_2_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2419 ], + "C": [ 2432 ], + "D": [ 2328 ], + "Z": [ 2433 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1_DO_TRELLIS_FF_DI_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2425 ], + "LSR": [ "0" ], + "Q": [ 2434 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1_DO_TRELLIS_FF_DI_3_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2420 ], + "C": [ 2434 ], + "D": [ 2328 ], + "Z": [ 2435 ] + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1_DO_TRELLIS_FF_DI_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2417 ], + "C": [ 2429 ], + "D": [ 2328 ], + "Z": [ 2436 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.0.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1677, 1673, 1669, 1665 ], + "DO": [ 2437, 2438, 2439, 2440 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.0.0_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2440 ], + "LSR": [ "0" ], + "Q": [ 2441 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.0.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1677, 1673, 1669, 1665 ], + "DO": [ 2442, 2443, 2444, 2445 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.0.1_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2445 ], + "LSR": [ "0" ], + "Q": [ 2446 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.0.1_DO_TRELLIS_FF_DI_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2444 ], + "LSR": [ "0" ], + "Q": [ 2447 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.0.1_DO_TRELLIS_FF_DI_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2443 ], + "LSR": [ "0" ], + "Q": [ 2448 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.0.1_DO_TRELLIS_FF_DI_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2442 ], + "LSR": [ "0" ], + "Q": [ 2449 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1677, 1673, 1669, 1665 ], + "DO": [ 2450, 2451, 2452, 2453 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.0_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2453 ], + "LSR": [ "0" ], + "Q": [ 2454 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.0_DO_TRELLIS_FF_DI_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2441 ], + "C": [ 2454 ], + "D": [ 2390 ], + "Z": [ 2455 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1677, 1673, 1669, 1665 ], + "DO": [ 2456, 2457, 2458, 2459 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2459 ], + "LSR": [ "0" ], + "Q": [ 2460 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1_DO_TRELLIS_FF_DI_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2458 ], + "LSR": [ "0" ], + "Q": [ 2461 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1_DO_TRELLIS_FF_DI_1_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2447 ], + "C": [ 2461 ], + "D": [ 2328 ], + "Z": [ 2462 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1_DO_TRELLIS_FF_DI_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2457 ], + "LSR": [ "0" ], + "Q": [ 2463 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1_DO_TRELLIS_FF_DI_2_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2448 ], + "C": [ 2463 ], + "D": [ 2328 ], + "Z": [ 2464 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1_DO_TRELLIS_FF_DI_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2456 ], + "LSR": [ "0" ], + "Q": [ 2465 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1_DO_TRELLIS_FF_DI_3_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2449 ], + "C": [ 2465 ], + "D": [ 2328 ], + "Z": [ 2466 ] + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1_DO_TRELLIS_FF_DI_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2446 ], + "C": [ 2460 ], + "D": [ 2328 ], + "Z": [ 2467 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.0.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1661, 1657, 1653, 1641 ], + "DO": [ 2468, 2469, 2470, 2471 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.0.0_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2469 ], + "LSR": [ "0" ], + "Q": [ 2472 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.0.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1661, 1657, 1653, 1641 ], + "DO": [ 2473, 2474, 2475, 2476 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2284 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.0.1_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2476 ], + "LSR": [ "0" ], + "Q": [ 2477 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.0.1_DO_TRELLIS_FF_DI_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2475 ], + "LSR": [ "0" ], + "Q": [ 2478 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.0.1_DO_TRELLIS_FF_DI_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2474 ], + "LSR": [ "0" ], + "Q": [ 2479 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.0.1_DO_TRELLIS_FF_DI_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2473 ], + "LSR": [ "0" ], + "Q": [ 2480 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1661, 1657, 1653, 1641 ], + "DO": [ 2481, 2482, 2483, 2484 ], + "RAD": [ 2276, 2277, 2278, 2279 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.0_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2482 ], + "LSR": [ "0" ], + "Q": [ 2485 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.0_DO_TRELLIS_FF_DI_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2472 ], + "C": [ 2485 ], + "D": [ 2390 ], + "Z": [ 2486 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 1661, 1657, 1653, 1641 ], + "DO": [ 2487, 2488, 2489, 2490 ], + "RAD": [ 2289, 2290, 2291, 2292 ], + "WAD": [ 2280, 2281, 2282, 2283 ], + "WCK": [ 3 ], + "WRE": [ 2297 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1_DO_TRELLIS_FF_DI": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2490 ], + "LSR": [ "0" ], + "Q": [ 2491 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1_DO_TRELLIS_FF_DI_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2489 ], + "LSR": [ "0" ], + "Q": [ 2492 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1_DO_TRELLIS_FF_DI_1_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2478 ], + "C": [ 2492 ], + "D": [ 2328 ], + "Z": [ 2493 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1_DO_TRELLIS_FF_DI_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2488 ], + "LSR": [ "0" ], + "Q": [ 2494 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1_DO_TRELLIS_FF_DI_2_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2479 ], + "C": [ 2494 ], + "D": [ 2328 ], + "Z": [ 2495 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1_DO_TRELLIS_FF_DI_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2487 ], + "LSR": [ "0" ], + "Q": [ 2496 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1_DO_TRELLIS_FF_DI_3_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2480 ], + "C": [ 2496 ], + "D": [ 2328 ], + "Z": [ 2497 ] + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1_DO_TRELLIS_FF_DI_Q_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2477 ], + "C": [ 2491 ], + "D": [ 2328 ], + "Z": [ 2498 ] + } + }, + "core_cpu._zz_100__LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2499 ], + "B": [ 2500 ], + "C": [ 2501 ], + "D": [ 2502 ], + "Z": [ 2503 ] + } + }, + "core_cpu._zz_100__LUT4_D_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2504 ], + "B": [ 2500 ], + "C": [ 2505 ], + "D": [ 2502 ], + "Z": [ 2506 ] + } + }, + "core_cpu._zz_100__LUT4_D_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2507 ], + "B": [ 2508 ], + "C": [ 2509 ], + "D": [ 2510 ], + "Z": [ 2511 ] + } + }, + "core_cpu._zz_100__LUT4_D_1_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2512 ], + "B": [ 2513 ], + "C": [ 2514 ], + "D": [ 2515 ], + "Z": [ 2505 ] + } + }, + "core_cpu._zz_100__LUT4_D_1_Z_PFUMX_ALUT": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2506 ], + "BLUT": [ 2516 ], + "C0": [ 2511 ], + "Z": [ 2517 ] + } + }, + "core_cpu._zz_100__LUT4_D_1_Z_PFUMX_ALUT_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 2516 ] + } + }, + "core_cpu._zz_100__LUT4_D_1_Z_PFUMX_ALUT_Z_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2272 ], + "LSR": [ "0" ], + "Q": [ 2518 ] + } + }, + "core_cpu._zz_100__LUT4_D_1_Z_PFUMX_ALUT_Z_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2519 ], + "LSR": [ "0" ], + "Q": [ 2390 ] + } + }, + "core_cpu._zz_100__LUT4_D_1_Z_PFUMX_ALUT_Z_TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2509 ], + "C": [ 2520 ], + "D": [ 1897 ], + "Z": [ 2519 ] + } + }, + "core_cpu._zz_100__LUT4_D_1_Z_PFUMX_ALUT_Z_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2293 ], + "LSR": [ "0" ], + "Q": [ 2521 ] + } + }, + "core_cpu._zz_100__LUT4_D_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2522 ], + "B": [ 2508 ], + "C": [ 2523 ], + "D": [ 2510 ], + "Z": [ 2524 ] + } + }, + "core_cpu._zz_100__LUT4_D_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2525 ], + "B": [ 2513 ], + "C": [ 2526 ], + "D": [ 2515 ], + "Z": [ 2501 ] + } + }, + "core_cpu._zz_100__LUT4_D_Z_PFUMX_ALUT": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2503 ], + "BLUT": [ 2527 ], + "C0": [ 2524 ], + "Z": [ 2331 ] + } + }, + "core_cpu._zz_100__LUT4_D_Z_PFUMX_ALUT_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 2527 ] + } + }, + "core_cpu._zz_100__LUT4_D_Z_PFUMX_ALUT_Z_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2285 ], + "LSR": [ "0" ], + "Q": [ 2528 ] + } + }, + "core_cpu._zz_100__LUT4_D_Z_PFUMX_ALUT_Z_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2298 ], + "LSR": [ "0" ], + "Q": [ 2529 ] + } + }, + "core_cpu._zz_100__LUT4_D_Z_PFUMX_ALUT_Z_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2530 ], + "LSR": [ "0" ], + "Q": [ 2328 ] + } + }, + "core_cpu._zz_100__LUT4_D_Z_PFUMX_ALUT_Z_TRELLIS_FF_Q_2_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2523 ], + "C": [ 2531 ], + "D": [ 1897 ], + "Z": [ 2530 ] + } + }, + "core_cpu._zz_100__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2532 ], + "LSR": [ 71 ], + "Q": [ 2502 ] + } + }, + "core_cpu._zz_101__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2533 ], + "LSR": [ "0" ], + "Q": [ 2510 ] + } + }, + "core_cpu._zz_101__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2283 ], + "LSR": [ "0" ], + "Q": [ 2515 ] + } + }, + "core_cpu._zz_101__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2282 ], + "LSR": [ "0" ], + "Q": [ 2508 ] + } + }, + "core_cpu._zz_101__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2281 ], + "LSR": [ "0" ], + "Q": [ 2513 ] + } + }, + "core_cpu._zz_101__TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2280 ], + "LSR": [ "0" ], + "Q": [ 2500 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1641 ], + "LSR": [ "0" ], + "Q": [ 2534 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1653 ], + "LSR": [ "0" ], + "Q": [ 2535 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1569 ], + "LSR": [ "0" ], + "Q": [ 2536 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1573 ], + "LSR": [ "0" ], + "Q": [ 2537 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1577 ], + "LSR": [ "0" ], + "Q": [ 2538 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1581 ], + "LSR": [ "0" ], + "Q": [ 2539 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1585 ], + "LSR": [ "0" ], + "Q": [ 2540 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1589 ], + "LSR": [ "0" ], + "Q": [ 2541 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1593 ], + "LSR": [ "0" ], + "Q": [ 2542 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1597 ], + "LSR": [ "0" ], + "Q": [ 2543 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1603 ], + "LSR": [ "0" ], + "Q": [ 2544 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1607 ], + "LSR": [ "0" ], + "Q": [ 2545 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1657 ], + "LSR": [ "0" ], + "Q": [ 2546 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1611 ], + "LSR": [ "0" ], + "Q": [ 2547 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1615 ], + "LSR": [ "0" ], + "Q": [ 2548 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1619 ], + "LSR": [ "0" ], + "Q": [ 2549 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1623 ], + "LSR": [ "0" ], + "Q": [ 2333 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1627 ], + "LSR": [ "0" ], + "Q": [ 2550 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1631 ], + "LSR": [ "0" ], + "Q": [ 2551 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1635 ], + "LSR": [ "0" ], + "Q": [ 2552 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1639 ], + "LSR": [ "0" ], + "Q": [ 2553 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1647 ], + "LSR": [ "0" ], + "Q": [ 2554 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1651 ], + "LSR": [ "0" ], + "Q": [ 2555 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1661 ], + "LSR": [ "0" ], + "Q": [ 2556 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1554 ], + "LSR": [ "0" ], + "Q": [ 2557 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1557 ], + "LSR": [ "0" ], + "Q": [ 2558 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1665 ], + "LSR": [ "0" ], + "Q": [ 2559 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1669 ], + "LSR": [ "0" ], + "Q": [ 2560 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1673 ], + "LSR": [ "0" ], + "Q": [ 2561 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1677 ], + "LSR": [ "0" ], + "Q": [ 2562 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1561 ], + "LSR": [ "0" ], + "Q": [ 2563 ] + } + }, + "core_cpu._zz_102__TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1565 ], + "LSR": [ "0" ], + "Q": [ 2564 ] + } + }, + "core_cpu._zz_112__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 2565 ], + "LSR": [ "0" ], + "Q": [ 2566 ] + } + }, + "core_cpu._zz_118__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100101011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2567 ], + "B": [ 2566 ], + "C": [ 2568 ], + "D": [ 2569 ], + "Z": [ 2570 ] + } + }, + "core_cpu._zz_118__LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100101011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2571 ], + "B": [ 2566 ], + "C": [ 2568 ], + "D": [ 2569 ], + "Z": [ 2572 ] + } + }, + "core_cpu._zz_118__LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2573 ], + "C": [ 2574 ], + "D": [ 2569 ], + "Z": [ 2575 ] + } + }, + "core_cpu._zz_118__LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2576 ], + "C": [ 2577 ], + "D": [ 2569 ], + "Z": [ 2578 ] + } + }, + "core_cpu._zz_118__LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2569 ], + "C": [ 2568 ], + "D": [ 2579 ], + "Z": [ 2580 ] + } + }, + "core_cpu._zz_118__LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100101011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2581 ], + "B": [ 2566 ], + "C": [ 2568 ], + "D": [ 2569 ], + "Z": [ 2582 ] + } + }, + "core_cpu._zz_118__LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100101011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2583 ], + "B": [ 2566 ], + "C": [ 2568 ], + "D": [ 2569 ], + "Z": [ 2584 ] + } + }, + "core_cpu._zz_118__LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100101011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2585 ], + "B": [ 2566 ], + "C": [ 2568 ], + "D": [ 2569 ], + "Z": [ 2586 ] + } + }, + "core_cpu._zz_118__LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100101011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2587 ], + "B": [ 2566 ], + "C": [ 2568 ], + "D": [ 2569 ], + "Z": [ 2588 ] + } + }, + "core_cpu._zz_118__LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100101011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 180 ], + "B": [ 2566 ], + "C": [ 2568 ], + "D": [ 2569 ], + "Z": [ 2589 ] + } + }, + "core_cpu._zz_118__LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100101011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 187 ], + "B": [ 2566 ], + "C": [ 2568 ], + "D": [ 2569 ], + "Z": [ 2590 ] + } + }, + "core_cpu._zz_118__LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2591 ], + "C": [ 2592 ], + "D": [ 2569 ], + "Z": [ 2593 ] + } + }, + "core_cpu._zz_118__LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2594 ], + "C": [ 2595 ], + "D": [ 2569 ], + "Z": [ 2596 ] + } + }, + "core_cpu._zz_118__PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2597 ], + "BLUT": [ 2598 ], + "C0": [ 2569 ], + "Z": [ 2599 ] + } + }, + "core_cpu._zz_118__PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2566 ], + "C": [ 2579 ], + "D": [ 2568 ], + "Z": [ 2597 ] + } + }, + "core_cpu._zz_118__PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2600 ], + "Z": [ 2598 ] + } + }, + "core_cpu._zz_121__CCU2C_A0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2601 ], + "A1": [ "0" ], + "B0": [ 2602 ], + "B1": [ 2603 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 2604 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2605 ], + "S1": [ 2606 ] + } + }, + "core_cpu._zz_121__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 251 ], + "D": [ 2607 ], + "Z": [ 2601 ] + } + }, + "core_cpu._zz_122__CCU2C_A0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2608 ], + "A1": [ "0" ], + "B0": [ 2609 ], + "B1": [ 2610 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 2611 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2612 ], + "S1": [ 2613 ] + } + }, + "core_cpu._zz_122__CCU2C_A0_COUT_CCU2C_COUT": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 2614 ], + "B1": [ 2615 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2616 ], + "COUT": [ 2617 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2618 ], + "S1": [ 2619 ] + } + }, + "core_cpu._zz_122__CCU2C_A0_COUT_CCU2C_COUT_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 2620 ], + "B1": [ 2621 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2622 ], + "COUT": [ 2616 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2623 ], + "S1": [ 2624 ] + } + }, + "core_cpu._zz_122__CCU2C_A0_COUT_CCU2C_COUT_10": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 2625 ], + "B1": [ 2626 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2627 ], + "COUT": [ 2628 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2629 ], + "S1": [ 2630 ] + } + }, + "core_cpu._zz_122__CCU2C_A0_COUT_CCU2C_COUT_11": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 2631 ], + "B1": [ 2632 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2633 ], + "COUT": [ 2627 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2634 ], + "S1": [ 2635 ] + } + }, + "core_cpu._zz_122__CCU2C_A0_COUT_CCU2C_COUT_12": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 2636 ], + "B1": [ 2637 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2638 ], + "COUT": [ 2633 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2639 ], + "S1": [ 2640 ] + } + }, + "core_cpu._zz_122__CCU2C_A0_COUT_CCU2C_COUT_13": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 2641 ], + "B1": [ 2642 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2617 ], + "COUT": [ 2638 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2643 ], + "S1": [ 2644 ] + } + }, + "core_cpu._zz_122__CCU2C_A0_COUT_CCU2C_COUT_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 2645 ], + "B1": [ 2646 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2647 ], + "COUT": [ 2622 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2648 ], + "S1": [ 2649 ] + } + }, + "core_cpu._zz_122__CCU2C_A0_COUT_CCU2C_COUT_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 2650 ], + "B1": [ 2651 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2611 ], + "COUT": [ 2647 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2652 ], + "S1": [ 2653 ] + } + }, + "core_cpu._zz_122__CCU2C_A0_COUT_CCU2C_COUT_4": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 2654 ], + "B1": [ 2655 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2656 ], + "COUT": [ 2657 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2658 ], + "S1": [ 2659 ] + } + }, + "core_cpu._zz_122__CCU2C_A0_COUT_CCU2C_COUT_5": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 2660 ], + "B1": [ 2661 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2662 ], + "COUT": [ 2656 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2663 ], + "S1": [ 2664 ] + } + }, + "core_cpu._zz_122__CCU2C_A0_COUT_CCU2C_COUT_6": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 2665 ], + "B1": [ 2666 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2667 ], + "COUT": [ 2662 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2668 ], + "S1": [ 2669 ] + } + }, + "core_cpu._zz_122__CCU2C_A0_COUT_CCU2C_COUT_7": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 2670 ], + "B1": [ 2671 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2672 ], + "COUT": [ 2667 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2673 ], + "S1": [ 2674 ] + } + }, + "core_cpu._zz_122__CCU2C_A0_COUT_CCU2C_COUT_8": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 2675 ], + "B1": [ 2676 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2677 ], + "COUT": [ 2672 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2678 ], + "S1": [ 2679 ] + } + }, + "core_cpu._zz_122__CCU2C_A0_COUT_CCU2C_COUT_9": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 2680 ], + "B1": [ 2681 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2628 ], + "COUT": [ 2677 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2682 ], + "S1": [ 2683 ] + } + }, + "core_cpu._zz_122__LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2684 ], + "Z": [ 2685 ] + } + }, + "core_cpu._zz_122__LUT4_C_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2686 ], + "Z": [ 2687 ] + } + }, + "core_cpu._zz_122__LUT4_C_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2688 ], + "Z": [ 2676 ] + } + }, + "core_cpu._zz_122__LUT4_C_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2689 ], + "Z": [ 2675 ] + } + }, + "core_cpu._zz_122__LUT4_C_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2690 ], + "Z": [ 2681 ] + } + }, + "core_cpu._zz_122__LUT4_C_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2691 ], + "Z": [ 2680 ] + } + }, + "core_cpu._zz_122__LUT4_C_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2692 ], + "Z": [ 2626 ] + } + }, + "core_cpu._zz_122__LUT4_C_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2693 ], + "Z": [ 2625 ] + } + }, + "core_cpu._zz_122__LUT4_C_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2694 ], + "Z": [ 2632 ] + } + }, + "core_cpu._zz_122__LUT4_C_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2695 ], + "Z": [ 2631 ] + } + }, + "core_cpu._zz_122__LUT4_C_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2696 ], + "Z": [ 2637 ] + } + }, + "core_cpu._zz_122__LUT4_C_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2697 ], + "Z": [ 2636 ] + } + }, + "core_cpu._zz_122__LUT4_C_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2698 ], + "Z": [ 2655 ] + } + }, + "core_cpu._zz_122__LUT4_C_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2699 ], + "Z": [ 2642 ] + } + }, + "core_cpu._zz_122__LUT4_C_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2700 ], + "Z": [ 2641 ] + } + }, + "core_cpu._zz_122__LUT4_C_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2701 ], + "Z": [ 2615 ] + } + }, + "core_cpu._zz_122__LUT4_C_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2702 ], + "Z": [ 2614 ] + } + }, + "core_cpu._zz_122__LUT4_C_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2703 ], + "Z": [ 2621 ] + } + }, + "core_cpu._zz_122__LUT4_C_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2704 ], + "Z": [ 2620 ] + } + }, + "core_cpu._zz_122__LUT4_C_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2705 ], + "Z": [ 2646 ] + } + }, + "core_cpu._zz_122__LUT4_C_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2706 ], + "Z": [ 2645 ] + } + }, + "core_cpu._zz_122__LUT4_C_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2707 ], + "Z": [ 2651 ] + } + }, + "core_cpu._zz_122__LUT4_C_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2708 ], + "Z": [ 2650 ] + } + }, + "core_cpu._zz_122__LUT4_C_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2709 ], + "Z": [ 2654 ] + } + }, + "core_cpu._zz_122__LUT4_C_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2710 ], + "Z": [ 2610 ] + } + }, + "core_cpu._zz_122__LUT4_C_31": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2711 ], + "Z": [ 2609 ] + } + }, + "core_cpu._zz_122__LUT4_C_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2712 ], + "Z": [ 2661 ] + } + }, + "core_cpu._zz_122__LUT4_C_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2713 ], + "Z": [ 2660 ] + } + }, + "core_cpu._zz_122__LUT4_C_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2714 ], + "Z": [ 2666 ] + } + }, + "core_cpu._zz_122__LUT4_C_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2715 ], + "Z": [ 2665 ] + } + }, + "core_cpu._zz_122__LUT4_C_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2716 ], + "Z": [ 2671 ] + } + }, + "core_cpu._zz_122__LUT4_C_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2608 ], + "D": [ 2717 ], + "Z": [ 2670 ] + } + }, + "core_cpu._zz_122__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2607 ], + "C": [ 2718 ], + "D": [ 2684 ], + "Z": [ 2608 ] + } + }, + "core_cpu._zz_124__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2719 ], + "LSR": [ "0" ], + "Q": [ 2720 ] + } + }, + "core_cpu._zz_125__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2721 ], + "CLK": [ 3 ], + "DI": [ 2722 ], + "LSR": [ 71 ], + "Q": [ 527 ] + } + }, + "core_cpu._zz_125__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2721 ], + "CLK": [ 3 ], + "DI": [ 2723 ], + "LSR": [ 71 ], + "Q": [ 1019 ] + } + }, + "core_cpu._zz_125__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2721 ], + "CLK": [ 3 ], + "DI": [ 2724 ], + "LSR": [ 71 ], + "Q": [ 1018 ] + } + }, + "core_cpu._zz_125__TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1897 ], + "C": [ 2722 ], + "D": [ 2725 ], + "Z": [ 2721 ] + } + }, + "core_cpu._zz_125__TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 529 ], + "B": [ 530 ], + "C": [ 527 ], + "D": [ 528 ], + "Z": [ 2725 ] + } + }, + "core_cpu._zz_125__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 527 ], + "C": [ 1019 ], + "D": [ 1018 ], + "Z": [ 2723 ] + } + }, + "core_cpu._zz_125__TRELLIS_FF_Q_DI_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1019 ], + "C": [ 1018 ], + "D": [ 527 ], + "Z": [ 2724 ] + } + }, + "core_cpu._zz_125__TRELLIS_FF_Q_DI_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 527 ], + "C": [ 1018 ], + "D": [ 1019 ], + "Z": [ 2722 ] + } + }, + "core_cpu._zz_139__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1442 ], + "D": [ 2726 ], + "Z": [ 2532 ] + } + }, + "core_cpu._zz_13__PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2727 ], + "BLUT": [ 2728 ], + "C0": [ 2729 ], + "Z": [ 2730 ] + } + }, + "core_cpu._zz_13__PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 2727 ] + } + }, + "core_cpu._zz_13__PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111101000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2731 ], + "B": [ 2342 ], + "C": [ 2732 ], + "D": [ 2733 ], + "Z": [ 2728 ] + } + }, + "core_cpu._zz_166__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 2729 ], + "LSR": [ "0" ], + "Q": [ 180 ] + } + }, + "core_cpu._zz_172__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 2735 ], + "LSR": [ "0" ], + "Q": [ 2731 ] + } + }, + "core_cpu._zz_172__TRELLIS_FF_Q_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2736 ], + "BLUT": [ 2737 ], + "C0": [ 2738 ], + "Z": [ 2735 ] + } + }, + "core_cpu._zz_172__TRELLIS_FF_Q_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2153 ], + "C": [ 2154 ], + "D": [ 2113 ], + "Z": [ 2736 ] + } + }, + "core_cpu._zz_172__TRELLIS_FF_Q_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1726 ], + "Z": [ 2737 ] + } + }, + "core_cpu._zz_174__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2739 ], + "C": [ 2740 ], + "D": [ 2741 ], + "Z": [ 2742 ] + } + }, + "core_cpu._zz_174__LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2743 ], + "C": [ 2741 ], + "D": [ 2739 ], + "Z": [ 2744 ] + } + }, + "core_cpu._zz_174__LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2745 ], + "C": [ 2739 ], + "D": [ 2746 ], + "Z": [ 2747 ] + } + }, + "core_cpu._zz_174__LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2748 ], + "C": [ 2739 ], + "D": [ 2746 ], + "Z": [ 2749 ] + } + }, + "core_cpu._zz_174__LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2750 ], + "C": [ 2739 ], + "D": [ 2746 ], + "Z": [ 2751 ] + } + }, + "core_cpu._zz_174__LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2752 ], + "C": [ 2739 ], + "D": [ 2746 ], + "Z": [ 2753 ] + } + }, + "core_cpu._zz_174__LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2754 ], + "C": [ 2739 ], + "D": [ 2746 ], + "Z": [ 2755 ] + } + }, + "core_cpu._zz_174__LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2756 ], + "C": [ 2739 ], + "D": [ 2746 ], + "Z": [ 2757 ] + } + }, + "core_cpu._zz_174__LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2740 ], + "C": [ 2746 ], + "D": [ 2758 ], + "Z": [ 2759 ] + } + }, + "core_cpu._zz_174__LUT4_Z_16_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000110000001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2760 ], + "B": [ 2761 ], + "C": [ 2739 ], + "D": [ 2762 ], + "Z": [ 2758 ] + } + }, + "core_cpu._zz_174__LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2763 ], + "C": [ 2764 ], + "D": [ 2739 ], + "Z": [ 2765 ] + } + }, + "core_cpu._zz_174__LUT4_Z_17_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2766 ], + "BLUT": [ 2767 ], + "C0": [ 2762 ], + "Z": [ 2763 ] + } + }, + "core_cpu._zz_174__LUT4_Z_17_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2768 ], + "Z": [ 2766 ] + } + }, + "core_cpu._zz_174__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2769 ], + "C": [ 2770 ], + "D": [ 2771 ], + "Z": [ 2767 ] + } + }, + "core_cpu._zz_174__LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2772 ], + "C": [ 2773 ], + "D": [ 2739 ], + "Z": [ 2774 ] + } + }, + "core_cpu._zz_174__LUT4_Z_18_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2775 ], + "BLUT": [ 2776 ], + "C0": [ 2762 ], + "Z": [ 2772 ] + } + }, + "core_cpu._zz_174__LUT4_Z_18_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2777 ], + "Z": [ 2775 ] + } + }, + "core_cpu._zz_174__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2778 ], + "C": [ 2779 ], + "D": [ 2771 ], + "Z": [ 2776 ] + } + }, + "core_cpu._zz_174__LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2780 ], + "C": [ 2781 ], + "D": [ 2739 ], + "Z": [ 2782 ] + } + }, + "core_cpu._zz_174__LUT4_Z_19_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2783 ], + "BLUT": [ 2784 ], + "C0": [ 2762 ], + "Z": [ 2780 ] + } + }, + "core_cpu._zz_174__LUT4_Z_19_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2760 ], + "Z": [ 2783 ] + } + }, + "core_cpu._zz_174__LUT4_Z_19_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2785 ], + "C": [ 2769 ], + "D": [ 2771 ], + "Z": [ 2784 ] + } + }, + "core_cpu._zz_174__LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2786 ], + "C": [ 2739 ], + "D": [ 2746 ], + "Z": [ 2787 ] + } + }, + "core_cpu._zz_174__LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2788 ], + "C": [ 2789 ], + "D": [ 2739 ], + "Z": [ 2790 ] + } + }, + "core_cpu._zz_174__LUT4_Z_20_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2791 ], + "BLUT": [ 2792 ], + "C0": [ 2762 ], + "Z": [ 2788 ] + } + }, + "core_cpu._zz_174__LUT4_Z_20_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2793 ], + "Z": [ 2791 ] + } + }, + "core_cpu._zz_174__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2794 ], + "C": [ 2795 ], + "D": [ 2771 ], + "Z": [ 2792 ] + } + }, + "core_cpu._zz_174__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2796 ], + "C": [ 2797 ], + "D": [ 1527 ], + "Z": [ 2794 ] + } + }, + "core_cpu._zz_174__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2798 ], + "C": [ 2799 ], + "D": [ 1542 ], + "Z": [ 2800 ] + } + }, + "core_cpu._zz_174__LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2801 ], + "C": [ 2745 ], + "D": [ 2739 ], + "Z": [ 2802 ] + } + }, + "core_cpu._zz_174__LUT4_Z_21_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2803 ], + "BLUT": [ 2804 ], + "C0": [ 2762 ], + "Z": [ 2801 ] + } + }, + "core_cpu._zz_174__LUT4_Z_21_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2805 ], + "Z": [ 2803 ] + } + }, + "core_cpu._zz_174__LUT4_Z_21_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2806 ], + "C": [ 2778 ], + "D": [ 2771 ], + "Z": [ 2804 ] + } + }, + "core_cpu._zz_174__LUT4_Z_21_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2807 ], + "C": [ 2808 ], + "D": [ 2762 ], + "Z": [ 2745 ] + } + }, + "core_cpu._zz_174__LUT4_Z_21_C_LUT4_Z_C_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2808 ], + "C": [ 2741 ], + "D": [ 2762 ], + "Z": [ 2786 ] + } + }, + "core_cpu._zz_174__LUT4_Z_21_C_LUT4_Z_C_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2809 ], + "C": [ 2810 ], + "D": [ 2771 ], + "Z": [ 2807 ] + } + }, + "core_cpu._zz_174__LUT4_Z_21_C_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2811 ], + "C": [ 2741 ], + "D": [ 2771 ], + "Z": [ 2808 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2812 ], + "C": [ 2748 ], + "D": [ 2739 ], + "Z": [ 2813 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2814 ], + "BLUT": [ 2815 ], + "C0": [ 2762 ], + "Z": [ 2812 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2816 ], + "Z": [ 2814 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2817 ], + "C": [ 2818 ], + "D": [ 2771 ], + "Z": [ 2815 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2819 ], + "C": [ 2820 ], + "D": [ 2762 ], + "Z": [ 2748 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2820 ], + "C": [ 2741 ], + "D": [ 2762 ], + "Z": [ 2821 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2822 ], + "C": [ 2823 ], + "D": [ 2771 ], + "Z": [ 2819 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2824 ], + "C": [ 2741 ], + "D": [ 2771 ], + "Z": [ 2820 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2823 ], + "C": [ 2824 ], + "D": [ 2771 ], + "Z": [ 2825 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2826 ], + "C": [ 2827 ], + "D": [ 1527 ], + "Z": [ 2823 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2828 ], + "C": [ 2829 ], + "D": [ 1527 ], + "Z": [ 2822 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2825 ], + "C": [ 2741 ], + "D": [ 2762 ], + "Z": [ 2830 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2831 ], + "C": [ 2822 ], + "D": [ 2771 ], + "Z": [ 2832 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2833 ], + "C": [ 2834 ], + "D": [ 1527 ], + "Z": [ 2831 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_B_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2835 ], + "C": [ 2836 ], + "D": [ 1542 ], + "Z": [ 2834 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_B_LUT4_Z_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2837 ], + "BLUT": [ 2838 ], + "C0": [ 1542 ], + "Z": [ 2833 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_B_LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2839 ], + "Z": [ 2837 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_B_LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z_D_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2839 ], + "C": [ 2835 ], + "D": [ 1542 ], + "Z": [ 2840 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_B_LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2841 ], + "B": [ 2842 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2839 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_B_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2845 ], + "C": [ 2846 ], + "D": [ 2847 ], + "Z": [ 2838 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2832 ], + "C": [ 2825 ], + "D": [ 2762 ], + "Z": [ 2756 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2818 ], + "C": [ 2848 ], + "D": [ 2771 ], + "Z": [ 2849 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2850 ], + "C": [ 2817 ], + "D": [ 2771 ], + "Z": [ 2851 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_1_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2852 ], + "BLUT": [ 2853 ], + "C0": [ 1527 ], + "Z": [ 2850 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_1_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2854 ], + "Z": [ 2852 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2855 ], + "C": [ 1542 ], + "D": [ 2856 ], + "Z": [ 2853 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2848 ], + "C": [ 2831 ], + "D": [ 2771 ], + "Z": [ 2816 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2797 ], + "C": [ 2857 ], + "D": [ 1527 ], + "Z": [ 2818 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2800 ], + "C": [ 2796 ], + "D": [ 1527 ], + "Z": [ 2817 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2858 ], + "C": [ 2859 ], + "D": [ 1542 ], + "Z": [ 2797 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2860 ], + "C": [ 2861 ], + "D": [ 1542 ], + "Z": [ 2796 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2862 ], + "C": [ 2863 ], + "D": [ 1542 ], + "Z": [ 2857 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2859 ], + "C": [ 2862 ], + "D": [ 1542 ], + "Z": [ 2864 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2864 ], + "C": [ 2865 ], + "D": [ 1527 ], + "Z": [ 2778 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2863 ], + "C": [ 2866 ], + "D": [ 1542 ], + "Z": [ 2865 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2779 ], + "C": [ 2867 ], + "D": [ 2771 ], + "Z": [ 2805 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2868 ], + "C": [ 2869 ], + "D": [ 1527 ], + "Z": [ 2806 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_C_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2867 ], + "C": [ 2809 ], + "D": [ 2771 ], + "Z": [ 2777 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2840 ], + "C": [ 2870 ], + "D": [ 1527 ], + "Z": [ 2867 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2869 ], + "C": [ 2864 ], + "D": [ 1527 ], + "Z": [ 2785 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2770 ], + "C": [ 2871 ], + "D": [ 2771 ], + "Z": [ 2760 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2865 ], + "C": [ 2872 ], + "D": [ 1527 ], + "Z": [ 2769 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2873 ], + "C": [ 2874 ], + "D": [ 2847 ], + "Z": [ 2862 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2875 ], + "C": [ 2876 ], + "D": [ 1527 ], + "Z": [ 2848 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2857 ], + "C": [ 2875 ], + "D": [ 1527 ], + "Z": [ 2795 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2877 ], + "C": [ 2878 ], + "D": [ 2771 ], + "Z": [ 2793 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2866 ], + "C": [ 2879 ], + "D": [ 1542 ], + "Z": [ 2875 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2880 ], + "B": [ 2881 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2866 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2882 ], + "C": [ 2883 ], + "D": [ 2847 ], + "Z": [ 2863 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_B_LUT4_Z_C_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2879 ], + "C": [ 2884 ], + "D": [ 1542 ], + "Z": [ 2872 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_B_LUT4_Z_C_LUT4_B_Z_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2872 ], + "C": [ 2885 ], + "D": [ 1527 ], + "Z": [ 2779 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010101010011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2846 ], + "B": [ 2845 ], + "C": [ 2847 ], + "D": [ 1542 ], + "Z": [ 2885 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2886 ], + "B": [ 2887 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2879 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2876 ], + "C": [ 2833 ], + "D": [ 1527 ], + "Z": [ 2877 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C_LUT4_B_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2795 ], + "C": [ 2877 ], + "D": [ 2771 ], + "Z": [ 2888 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C_LUT4_B_Z_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2889 ], + "C": [ 2890 ], + "D": [ 2762 ], + "Z": [ 2752 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C_LUT4_B_Z_LUT4_C_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2891 ], + "BLUT": [ 2892 ], + "C0": [ 2771 ], + "Z": [ 2893 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C_LUT4_B_Z_LUT4_C_Z_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2794 ], + "Z": [ 2891 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C_LUT4_B_Z_LUT4_C_Z_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2854 ], + "C": [ 2800 ], + "D": [ 1527 ], + "Z": [ 2892 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2894 ], + "BLUT": [ 2895 ], + "C0": [ 1542 ], + "Z": [ 2876 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2846 ], + "C": [ 2845 ], + "D": [ 2847 ], + "Z": [ 2894 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2884 ], + "Z": [ 2895 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2896 ], + "C": [ 2897 ], + "D": [ 1527 ], + "Z": [ 2824 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2827 ], + "C": [ 2896 ], + "D": [ 1527 ], + "Z": [ 2898 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2899 ], + "C": [ 2900 ], + "D": [ 1542 ], + "Z": [ 2896 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2901 ], + "C": [ 2902 ], + "D": [ 1542 ], + "Z": [ 2827 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1_B_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2903 ], + "C": [ 2901 ], + "D": [ 1542 ], + "Z": [ 2904 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1_B_LUT4_C_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2905 ], + "B": [ 2906 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2903 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1_B_LUT4_C_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2907 ], + "B": [ 2908 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2909 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1_B_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2910 ], + "C": [ 2909 ], + "D": [ 1542 ], + "Z": [ 2911 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2912 ], + "B": [ 2913 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2901 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_C_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2900 ], + "C": [ 2914 ], + "D": [ 1542 ], + "Z": [ 2915 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_C_LUT4_B_C_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2914 ], + "C": [ 2916 ], + "D": [ 1542 ], + "Z": [ 2897 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_C_LUT4_B_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1526 ], + "B": [ 2917 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2914 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_C_LUT4_B_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2741 ], + "D": [ 2739 ], + "Z": [ 2746 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2844 ], + "C": [ 2843 ], + "D": [ 2918 ], + "Z": [ 2741 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1541 ], + "B": [ 2918 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2916 ] + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2919 ], + "B": [ 2920 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2900 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111101110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2921 ], + "B": [ 2922 ], + "C": [ 2750 ], + "D": [ 2739 ], + "Z": [ 2923 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2769 ], + "B": [ 2770 ], + "C": [ 2771 ], + "D": [ 2762 ], + "Z": [ 2922 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2924 ], + "B": [ 2785 ], + "C": [ 2762 ], + "D": [ 2771 ], + "Z": [ 2921 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2925 ], + "C": [ 2868 ], + "D": [ 1527 ], + "Z": [ 2924 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2926 ], + "C": [ 2798 ], + "D": [ 1542 ], + "Z": [ 2925 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2920 ], + "C": [ 2919 ], + "D": [ 2847 ], + "Z": [ 2927 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_B_LUT4_Z_1_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2842 ], + "B": [ 2841 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2884 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_B_LUT4_Z_1_D_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2847 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_B_LUT4_Z_B_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2927 ], + "C": [ 2926 ], + "D": [ 1542 ], + "Z": [ 2854 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_B_LUT4_Z_B_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111101011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2918 ], + "B": [ 1541 ], + "C": [ 1542 ], + "D": [ 2847 ], + "Z": [ 2856 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_B_LUT4_Z_B_LUT4_C_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2917 ], + "C": [ 1526 ], + "D": [ 2847 ], + "Z": [ 2855 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_B_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2928 ], + "C": [ 2929 ], + "D": [ 2847 ], + "Z": [ 2926 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2930 ], + "C": [ 2931 ], + "D": [ 2847 ], + "Z": [ 2798 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2861 ], + "C": [ 2858 ], + "D": [ 1542 ], + "Z": [ 2869 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2799 ], + "C": [ 2860 ], + "D": [ 1542 ], + "Z": [ 2868 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_C_LUT4_Z_1_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2906 ], + "C": [ 2905 ], + "D": [ 2847 ], + "Z": [ 2860 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_C_LUT4_Z_1_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2913 ], + "C": [ 2912 ], + "D": [ 2847 ], + "Z": [ 2799 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_C_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2908 ], + "C": [ 2907 ], + "D": [ 2847 ], + "Z": [ 2861 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_C_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2932 ], + "C": [ 2933 ], + "D": [ 2847 ], + "Z": [ 2859 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_C_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2934 ], + "C": [ 2935 ], + "D": [ 2847 ], + "Z": [ 2858 ] + } + }, + "core_cpu._zz_174__LUT4_Z_23_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2768 ], + "C": [ 2936 ], + "D": [ 2762 ], + "Z": [ 2750 ] + } + }, + "core_cpu._zz_174__LUT4_Z_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111101110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2937 ], + "B": [ 2938 ], + "C": [ 2754 ], + "D": [ 2739 ], + "Z": [ 2939 ] + } + }, + "core_cpu._zz_174__LUT4_Z_24_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2778 ], + "B": [ 2779 ], + "C": [ 2771 ], + "D": [ 2762 ], + "Z": [ 2938 ] + } + }, + "core_cpu._zz_174__LUT4_Z_24_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2940 ], + "B": [ 2806 ], + "C": [ 2762 ], + "D": [ 2771 ], + "Z": [ 2937 ] + } + }, + "core_cpu._zz_174__LUT4_Z_24_A_LUT4_Z_1_A_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2941 ], + "BLUT": [ 2942 ], + "C0": [ 1527 ], + "Z": [ 2940 ] + } + }, + "core_cpu._zz_174__LUT4_Z_24_A_LUT4_Z_1_A_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2925 ], + "Z": [ 2941 ] + } + }, + "core_cpu._zz_174__LUT4_Z_24_A_LUT4_Z_1_A_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2855 ], + "C": [ 2927 ], + "D": [ 1542 ], + "Z": [ 2942 ] + } + }, + "core_cpu._zz_174__LUT4_Z_24_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2777 ], + "C": [ 2943 ], + "D": [ 2762 ], + "Z": [ 2754 ] + } + }, + "core_cpu._zz_174__LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2821 ], + "C": [ 2739 ], + "D": [ 2746 ], + "Z": [ 2944 ] + } + }, + "core_cpu._zz_174__LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2764 ], + "C": [ 2739 ], + "D": [ 2746 ], + "Z": [ 2945 ] + } + }, + "core_cpu._zz_174__LUT4_Z_4_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2936 ], + "C": [ 2741 ], + "D": [ 2762 ], + "Z": [ 2764 ] + } + }, + "core_cpu._zz_174__LUT4_Z_4_B_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2946 ], + "C": [ 2947 ], + "D": [ 2771 ], + "Z": [ 2936 ] + } + }, + "core_cpu._zz_174__LUT4_Z_4_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1542 ], + "B": [ 1527 ], + "C": [ 2916 ], + "D": [ 2741 ], + "Z": [ 2947 ] + } + }, + "core_cpu._zz_174__LUT4_Z_4_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2948 ], + "C": [ 2915 ], + "D": [ 1527 ], + "Z": [ 2946 ] + } + }, + "core_cpu._zz_174__LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2949 ], + "C": [ 2739 ], + "D": [ 2746 ], + "Z": [ 2950 ] + } + }, + "core_cpu._zz_174__LUT4_Z_5_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2890 ], + "C": [ 2741 ], + "D": [ 2762 ], + "Z": [ 2949 ] + } + }, + "core_cpu._zz_174__LUT4_Z_5_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2878 ], + "C": [ 2951 ], + "D": [ 2771 ], + "Z": [ 2889 ] + } + }, + "core_cpu._zz_174__LUT4_Z_5_B_LUT4_Z_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2952 ], + "BLUT": [ 2953 ], + "C0": [ 2771 ], + "Z": [ 2890 ] + } + }, + "core_cpu._zz_174__LUT4_Z_5_B_LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2897 ], + "C": [ 2741 ], + "D": [ 1527 ], + "Z": [ 2952 ] + } + }, + "core_cpu._zz_174__LUT4_Z_5_B_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2898 ], + "Z": [ 2953 ] + } + }, + "core_cpu._zz_174__LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2773 ], + "C": [ 2739 ], + "D": [ 2746 ], + "Z": [ 2954 ] + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2943 ], + "C": [ 2741 ], + "D": [ 2762 ], + "Z": [ 2773 ] + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2810 ], + "C": [ 2811 ], + "D": [ 2771 ], + "Z": [ 2943 ] + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2904 ], + "C": [ 2948 ], + "D": [ 1527 ], + "Z": [ 2810 ] + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2955 ], + "C": [ 2911 ], + "D": [ 1527 ], + "Z": [ 2809 ] + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2956 ], + "C": [ 2957 ], + "D": [ 1542 ], + "Z": [ 2955 ] + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1_B_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2874 ], + "B": [ 2873 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2956 ] + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2935 ], + "B": [ 2934 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2910 ] + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2933 ], + "B": [ 2932 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2957 ] + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2902 ], + "C": [ 2899 ], + "D": [ 1542 ], + "Z": [ 2948 ] + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z_C_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2929 ], + "B": [ 2928 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2899 ] + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z_C_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2931 ], + "B": [ 2930 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2902 ] + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2958 ], + "BLUT": [ 2959 ], + "C0": [ 1527 ], + "Z": [ 2811 ] + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2916 ], + "C": [ 1542 ], + "D": [ 2741 ], + "Z": [ 2958 ] + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2915 ], + "Z": [ 2959 ] + } + }, + "core_cpu._zz_174__LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2830 ], + "C": [ 2739 ], + "D": [ 2746 ], + "Z": [ 2960 ] + } + }, + "core_cpu._zz_174__LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2781 ], + "C": [ 2739 ], + "D": [ 2746 ], + "Z": [ 2961 ] + } + }, + "core_cpu._zz_174__LUT4_Z_8_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2741 ], + "B": [ 2962 ], + "C": [ 2761 ], + "D": [ 2762 ], + "Z": [ 2781 ] + } + }, + "core_cpu._zz_174__LUT4_Z_8_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2963 ], + "C": [ 2946 ], + "D": [ 2771 ], + "Z": [ 2761 ] + } + }, + "core_cpu._zz_174__LUT4_Z_8_B_LUT4_Z_C_LUT4_Z_B_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2871 ], + "C": [ 2963 ], + "D": [ 2771 ], + "Z": [ 2768 ] + } + }, + "core_cpu._zz_174__LUT4_Z_8_B_LUT4_Z_C_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2911 ], + "C": [ 2904 ], + "D": [ 1527 ], + "Z": [ 2963 ] + } + }, + "core_cpu._zz_174__LUT4_Z_8_B_LUT4_Z_C_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2870 ], + "C": [ 2955 ], + "D": [ 1527 ], + "Z": [ 2871 ] + } + }, + "core_cpu._zz_174__LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2789 ], + "C": [ 2739 ], + "D": [ 2746 ], + "Z": [ 2964 ] + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2965 ], + "C": [ 2966 ], + "D": [ 2762 ], + "Z": [ 2789 ] + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2966 ], + "C": [ 2741 ], + "D": [ 2762 ], + "Z": [ 2743 ] + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2951 ], + "C": [ 2898 ], + "D": [ 2771 ], + "Z": [ 2965 ] + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2829 ], + "C": [ 2826 ], + "D": [ 1527 ], + "Z": [ 2951 ] + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2834 ], + "C": [ 2828 ], + "D": [ 1527 ], + "Z": [ 2878 ] + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2967 ], + "C": [ 2956 ], + "D": [ 1542 ], + "Z": [ 2828 ] + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z_1_C_LUT4_Z_B_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2836 ], + "C": [ 2967 ], + "D": [ 1542 ], + "Z": [ 2870 ] + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z_1_C_LUT4_Z_B_LUT4_C_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2881 ], + "B": [ 2880 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2836 ] + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z_1_C_LUT4_Z_B_LUT4_C_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2887 ], + "B": [ 2886 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2835 ] + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z_1_C_LUT4_Z_B_LUT4_C_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2885 ], + "C": [ 2840 ], + "D": [ 1527 ], + "Z": [ 2770 ] + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z_1_C_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2883 ], + "B": [ 2882 ], + "C": [ 2843 ], + "D": [ 2844 ], + "Z": [ 2967 ] + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2909 ], + "C": [ 2903 ], + "D": [ 1542 ], + "Z": [ 2826 ] + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2957 ], + "C": [ 2910 ], + "D": [ 1542 ], + "Z": [ 2829 ] + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100111010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2897 ], + "B": [ 2741 ], + "C": [ 1527 ], + "D": [ 2771 ], + "Z": [ 2966 ] + } + }, + "core_cpu._zz_174__LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2762 ], + "D": [ 2962 ], + "Z": [ 2740 ] + } + }, + "core_cpu._zz_174__LUT4_Z_C_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2916 ], + "B": [ 1542 ], + "C": [ 1527 ], + "D": [ 2771 ], + "Z": [ 2962 ] + } + }, + "core_cpu._zz_174__PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2968 ], + "BLUT": [ 2969 ], + "C0": [ 2739 ], + "Z": [ 2970 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2971 ], + "BLUT": [ 2972 ], + "C0": [ 2739 ], + "Z": [ 2973 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2786 ], + "Z": [ 2971 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2805 ], + "C": [ 2807 ], + "D": [ 2762 ], + "Z": [ 2972 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_2": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2974 ], + "BLUT": [ 2975 ], + "C0": [ 2739 ], + "Z": [ 2976 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_2_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2821 ], + "Z": [ 2974 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_2_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2816 ], + "C": [ 2819 ], + "D": [ 2762 ], + "Z": [ 2975 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_3": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2977 ], + "BLUT": [ 2978 ], + "C0": [ 2739 ], + "Z": [ 2979 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_3_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2949 ], + "Z": [ 2977 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_3_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2888 ], + "C": [ 2889 ], + "D": [ 2762 ], + "Z": [ 2978 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_4": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2980 ], + "BLUT": [ 2981 ], + "C0": [ 2739 ], + "Z": [ 2982 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_4_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2830 ], + "Z": [ 2980 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_4_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2849 ], + "C": [ 2832 ], + "D": [ 2762 ], + "Z": [ 2981 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_5": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2983 ], + "BLUT": [ 2984 ], + "C0": [ 2739 ], + "Z": [ 2985 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_5_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2752 ], + "Z": [ 2983 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_5_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2893 ], + "C": [ 2888 ], + "D": [ 2762 ], + "Z": [ 2984 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_6": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 2986 ], + "BLUT": [ 2987 ], + "C0": [ 2739 ], + "Z": [ 2988 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_6_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2756 ], + "Z": [ 2986 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_6_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2851 ], + "C": [ 2849 ], + "D": [ 2762 ], + "Z": [ 2987 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2743 ], + "Z": [ 2968 ] + } + }, + "core_cpu._zz_174__PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2793 ], + "C": [ 2965 ], + "D": [ 2762 ], + "Z": [ 2969 ] + } + }, + "core_cpu._zz_178__CCU2C_S0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2989 ], + "A1": [ 2990 ], + "B0": [ 2991 ], + "B1": [ 2992 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2993 ], + "COUT": [ 2994 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 2995 ], + "S1": [ 2996 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2997 ], + "A1": [ 2998 ], + "B0": [ 2999 ], + "B1": [ 3000 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3001 ], + "COUT": [ 2993 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3002 ], + "S1": [ 3003 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_10": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3004 ], + "A1": [ 3005 ], + "B0": [ 3006 ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3007 ], + "COUT": [ 3008 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3009 ], + "S1": [ 3010 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_11": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3011 ], + "A1": [ 3012 ], + "B0": [ 3013 ], + "B1": [ 3014 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3015 ], + "COUT": [ 3007 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3016 ], + "S1": [ 3017 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_12": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3018 ], + "A1": [ 3019 ], + "B0": [ 3020 ], + "B1": [ 3021 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3022 ], + "COUT": [ 3023 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3024 ], + "S1": [ 3025 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_13": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3026 ], + "A1": [ 3027 ], + "B0": [ 3028 ], + "B1": [ 3029 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3030 ], + "COUT": [ 3015 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3031 ], + "S1": [ 3032 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_14": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3033 ], + "A1": [ 3034 ], + "B0": [ 3035 ], + "B1": [ 3036 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3037 ], + "COUT": [ 3030 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3038 ], + "S1": [ 3039 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_15": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3040 ], + "A1": [ 3041 ], + "B0": [ 3042 ], + "B1": [ 3043 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3044 ], + "COUT": [ 3037 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3045 ], + "S1": [ 3046 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_16": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3047 ], + "A1": [ 3048 ], + "B0": [ 3049 ], + "B1": [ 3050 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3051 ], + "COUT": [ 3044 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3052 ], + "S1": [ 3053 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_17": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3054 ], + "A1": [ 3055 ], + "B0": [ 3056 ], + "B1": [ 3057 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3058 ], + "COUT": [ 3051 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3059 ], + "S1": [ 3060 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_18": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3061 ], + "A1": [ 3062 ], + "B0": [ 3063 ], + "B1": [ 3064 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3065 ], + "COUT": [ 3058 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3066 ], + "S1": [ 3067 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_19": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3068 ], + "A1": [ 3069 ], + "B0": [ 3070 ], + "B1": [ 3071 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3072 ], + "COUT": [ 3065 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3073 ], + "S1": [ 3074 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3075 ], + "A1": [ 3076 ], + "B0": [ 3077 ], + "B1": [ 3078 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3023 ], + "COUT": [ 3001 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3079 ], + "S1": [ 3080 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_20": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3081 ], + "A1": [ 3082 ], + "B0": [ 3083 ], + "B1": [ 3084 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3085 ], + "COUT": [ 3072 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3086 ], + "S1": [ 3087 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_21": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3088 ], + "A1": [ 3089 ], + "B0": [ 3090 ], + "B1": [ 3091 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3092 ], + "COUT": [ 3085 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3093 ], + "S1": [ 3094 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_22": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3095 ], + "A1": [ 3096 ], + "B0": [ 3097 ], + "B1": [ 3098 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2994 ], + "COUT": [ 3092 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3099 ], + "S1": [ 3100 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_23": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3101 ], + "A1": [ 3102 ], + "B0": [ "0" ], + "B1": [ 3103 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 3022 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3104 ], + "S1": [ 3105 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3106 ], + "A1": [ 3107 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3108 ], + "COUT": [ 3109 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3110 ], + "S1": [ 3111 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_4": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3112 ], + "A1": [ 3113 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3114 ], + "COUT": [ 3108 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3115 ], + "S1": [ 3116 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_5": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3117 ], + "A1": [ 3118 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3119 ], + "COUT": [ 3114 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3120 ], + "S1": [ 3121 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_6": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3122 ], + "A1": [ 3123 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3124 ], + "COUT": [ 3119 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3125 ], + "S1": [ 3126 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_7": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3127 ], + "A1": [ 3128 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3129 ], + "COUT": [ 3124 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3130 ], + "S1": [ 3131 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_8": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3132 ], + "A1": [ 3133 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3134 ], + "COUT": [ 3129 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3135 ], + "S1": [ 3136 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_9": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3137 ], + "A1": [ 3138 ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3008 ], + "COUT": [ 3134 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3139 ], + "S1": [ 3140 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3141 ], + "C": [ 3142 ], + "D": [ 3143 ], + "Z": [ 3012 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3144 ], + "C": [ 3145 ], + "D": [ 3146 ], + "Z": [ 3011 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3147 ], + "C": [ 3148 ], + "D": [ 3149 ], + "Z": [ 3055 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3150 ], + "C": [ 3151 ], + "D": [ 3152 ], + "Z": [ 3054 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3153 ], + "C": [ 3154 ], + "D": [ 3155 ], + "Z": [ 3062 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3156 ], + "C": [ 3157 ], + "D": [ 3158 ], + "Z": [ 3061 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3159 ], + "C": [ 3160 ], + "D": [ 3161 ], + "Z": [ 3069 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3162 ], + "C": [ 3163 ], + "D": [ 3164 ], + "Z": [ 3068 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3165 ], + "C": [ 3166 ], + "D": [ 3167 ], + "Z": [ 3082 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3168 ], + "C": [ 3169 ], + "D": [ 3170 ], + "Z": [ 3081 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3171 ], + "C": [ 3172 ], + "D": [ 3173 ], + "Z": [ 3089 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3174 ], + "C": [ 3175 ], + "D": [ 3176 ], + "Z": [ 3088 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3177 ], + "C": [ 3178 ], + "D": [ 3179 ], + "Z": [ 3027 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3180 ], + "C": [ 3181 ], + "D": [ 3182 ], + "Z": [ 3096 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3183 ], + "C": [ 3184 ], + "D": [ 3185 ], + "Z": [ 3095 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3186 ], + "C": [ 3187 ], + "D": [ 3188 ], + "Z": [ 2990 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3189 ], + "C": [ 3190 ], + "D": [ 3191 ], + "Z": [ 2989 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3192 ], + "C": [ 3193 ], + "D": [ 3194 ], + "Z": [ 2998 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3195 ], + "C": [ 3196 ], + "D": [ 3197 ], + "Z": [ 2997 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3198 ], + "C": [ 3199 ], + "D": [ 3200 ], + "Z": [ 3076 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3201 ], + "C": [ 3202 ], + "D": [ 3203 ], + "Z": [ 3075 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3204 ], + "C": [ 3205 ], + "D": [ 3206 ], + "Z": [ 3019 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3207 ], + "C": [ 3208 ], + "D": [ 3209 ], + "Z": [ 3018 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3210 ], + "C": [ 3211 ], + "D": [ 3212 ], + "Z": [ 3026 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3213 ], + "C": [ 3214 ], + "D": [ 3215 ], + "Z": [ 3102 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_31": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3216 ], + "C": [ 3217 ], + "D": [ 3218 ], + "Z": [ 3101 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3219 ], + "C": [ 3220 ], + "D": [ 3221 ], + "Z": [ 3034 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3222 ], + "C": [ 3223 ], + "D": [ 3224 ], + "Z": [ 3033 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3225 ], + "C": [ 3226 ], + "D": [ 3227 ], + "Z": [ 3041 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3228 ], + "C": [ 3229 ], + "D": [ 3230 ], + "Z": [ 3040 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3231 ], + "C": [ 3232 ], + "D": [ 3233 ], + "Z": [ 3048 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_A0_LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3234 ], + "C": [ 3235 ], + "D": [ 3236 ], + "Z": [ 3047 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3141 ], + "C": [ 3142 ], + "D": [ 3143 ], + "Z": [ 3006 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3144 ], + "C": [ 3145 ], + "D": [ 3146 ], + "Z": [ 3014 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3147 ], + "C": [ 3148 ], + "D": [ 3149 ], + "Z": [ 3049 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3150 ], + "C": [ 3151 ], + "D": [ 3152 ], + "Z": [ 3057 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3153 ], + "C": [ 3154 ], + "D": [ 3155 ], + "Z": [ 3056 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3156 ], + "C": [ 3157 ], + "D": [ 3158 ], + "Z": [ 3064 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3159 ], + "C": [ 3160 ], + "D": [ 3161 ], + "Z": [ 3063 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3162 ], + "C": [ 3163 ], + "D": [ 3164 ], + "Z": [ 3071 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3165 ], + "C": [ 3166 ], + "D": [ 3167 ], + "Z": [ 3070 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3168 ], + "C": [ 3169 ], + "D": [ 3170 ], + "Z": [ 3084 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3171 ], + "C": [ 3172 ], + "D": [ 3173 ], + "Z": [ 3083 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3174 ], + "C": [ 3175 ], + "D": [ 3176 ], + "Z": [ 3091 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3177 ], + "C": [ 3178 ], + "D": [ 3179 ], + "Z": [ 3013 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3180 ], + "C": [ 3181 ], + "D": [ 3182 ], + "Z": [ 3090 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3183 ], + "C": [ 3184 ], + "D": [ 3185 ], + "Z": [ 3098 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3186 ], + "C": [ 3187 ], + "D": [ 3188 ], + "Z": [ 3097 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3189 ], + "C": [ 3190 ], + "D": [ 3191 ], + "Z": [ 2992 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3192 ], + "C": [ 3193 ], + "D": [ 3194 ], + "Z": [ 2991 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3195 ], + "C": [ 3196 ], + "D": [ 3197 ], + "Z": [ 3000 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3198 ], + "C": [ 3199 ], + "D": [ 3200 ], + "Z": [ 2999 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3201 ], + "C": [ 3202 ], + "D": [ 3203 ], + "Z": [ 3078 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3204 ], + "C": [ 3205 ], + "D": [ 3206 ], + "Z": [ 3077 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3207 ], + "C": [ 3208 ], + "D": [ 3209 ], + "Z": [ 3021 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3210 ], + "C": [ 3211 ], + "D": [ 3212 ], + "Z": [ 3029 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3213 ], + "C": [ 3214 ], + "D": [ 3215 ], + "Z": [ 3020 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_31": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3216 ], + "C": [ 3217 ], + "D": [ 3218 ], + "Z": [ 3103 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3219 ], + "C": [ 3220 ], + "D": [ 3221 ], + "Z": [ 3028 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3222 ], + "C": [ 3223 ], + "D": [ 3224 ], + "Z": [ 3036 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3225 ], + "C": [ 3226 ], + "D": [ 3227 ], + "Z": [ 3035 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3228 ], + "C": [ 3229 ], + "D": [ 3230 ], + "Z": [ 3043 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3231 ], + "C": [ 3232 ], + "D": [ 3233 ], + "Z": [ 3042 ] + } + }, + "core_cpu._zz_178__CCU2C_S0_B0_LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3234 ], + "C": [ 3235 ], + "D": [ 3236 ], + "Z": [ 3050 ] + } + }, + "core_cpu._zz_191__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2340 ], + "B": [ 2731 ], + "C": [ 3237 ], + "D": [ 3238 ], + "Z": [ 3239 ] + } + }, + "core_cpu._zz_191__LUT4_Z_D_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3240 ], + "BLUT": [ 3241 ], + "C0": [ 2341 ], + "Z": [ 3238 ] + } + }, + "core_cpu._zz_191__LUT4_Z_D_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000011111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3242 ], + "B": [ 2729 ], + "C": [ 2342 ], + "D": [ 3237 ], + "Z": [ 3240 ] + } + }, + "core_cpu._zz_191__LUT4_Z_D_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2342 ], + "Z": [ 3241 ] + } + }, + "core_cpu._zz_208__CCU2C_S0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2935 ], + "A1": [ 2933 ], + "B0": [ 3243 ], + "B1": [ 3244 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3245 ], + "COUT": [ 3246 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3247 ], + "S1": [ 3248 ] + } + }, + "core_cpu._zz_208__CCU2C_S0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2905 ], + "A1": [ 2907 ], + "B0": [ 3249 ], + "B1": [ 3250 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3251 ], + "COUT": [ 3245 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3252 ], + "S1": [ 3253 ] + } + }, + "core_cpu._zz_208__CCU2C_S0_10": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2886 ], + "A1": [ 2880 ], + "B0": [ 3254 ], + "B1": [ 3255 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3256 ], + "COUT": [ 3257 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3258 ], + "S1": [ 3259 ] + } + }, + "core_cpu._zz_208__CCU2C_S0_11": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2846 ], + "A1": [ 2842 ], + "B0": [ 3260 ], + "B1": [ 3261 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3262 ], + "COUT": [ 3256 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3263 ], + "S1": [ 3264 ] + } + }, + "core_cpu._zz_208__CCU2C_S0_12": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2841 ], + "A1": [ 2845 ], + "B0": [ 3265 ], + "B1": [ 3266 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3267 ], + "COUT": [ 3262 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3268 ], + "S1": [ 3269 ] + } + }, + "core_cpu._zz_208__CCU2C_S0_13": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2881 ], + "A1": [ 2887 ], + "B0": [ 3270 ], + "B1": [ 3271 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3272 ], + "COUT": [ 3267 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3273 ], + "S1": [ 3274 ] + } + }, + "core_cpu._zz_208__CCU2C_S0_14": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2874 ], + "A1": [ 2883 ], + "B0": [ 3275 ], + "B1": [ 3276 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3246 ], + "COUT": [ 3272 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3277 ], + "S1": [ 3278 ] + } + }, + "core_cpu._zz_208__CCU2C_S0_15": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3279 ], + "A1": [ 1526 ], + "B0": [ 1541 ], + "B1": [ 3280 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3281 ], + "COUT": [ 3282 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3283 ], + "S1": [ 3284 ] + } + }, + "core_cpu._zz_208__CCU2C_S0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2931 ], + "A1": [ 2912 ], + "B0": [ 3285 ], + "B1": [ 3286 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3287 ], + "COUT": [ 3251 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3288 ], + "S1": [ 3289 ] + } + }, + "core_cpu._zz_208__CCU2C_S0_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2917 ], + "A1": [ 2918 ], + "B0": [ 3290 ], + "B1": [ 3291 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3292 ], + "COUT": [ 3293 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3294 ], + "S1": [ 3295 ] + } + }, + "core_cpu._zz_208__CCU2C_S0_4": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2919 ], + "A1": [ 2929 ], + "B0": [ 3296 ], + "B1": [ 3297 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3282 ], + "COUT": [ 3287 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3298 ], + "S1": [ 3299 ] + } + }, + "core_cpu._zz_208__CCU2C_S0_5": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2928 ], + "A1": [ 2920 ], + "B0": [ 3300 ], + "B1": [ 3301 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3302 ], + "COUT": [ 3292 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3303 ], + "S1": [ 3304 ] + } + }, + "core_cpu._zz_208__CCU2C_S0_6": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2913 ], + "A1": [ 2930 ], + "B0": [ 3305 ], + "B1": [ 3306 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3307 ], + "COUT": [ 3302 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3308 ], + "S1": [ 3309 ] + } + }, + "core_cpu._zz_208__CCU2C_S0_7": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2908 ], + "A1": [ 2906 ], + "B0": [ 3310 ], + "B1": [ 3311 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3312 ], + "COUT": [ 3307 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3313 ], + "S1": [ 3314 ] + } + }, + "core_cpu._zz_208__CCU2C_S0_8": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2932 ], + "A1": [ 2934 ], + "B0": [ 3315 ], + "B1": [ 3316 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3317 ], + "COUT": [ 3312 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3318 ], + "S1": [ 3319 ] + } + }, + "core_cpu._zz_208__CCU2C_S0_9": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 2882 ], + "A1": [ 2873 ], + "B0": [ 3320 ], + "B1": [ 3321 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3257 ], + "COUT": [ 3317 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3322 ], + "S1": [ 3323 ] + } + }, + "core_cpu._zz_20__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3242 ], + "B": [ 2504 ], + "C": [ 2729 ], + "D": [ 3324 ], + "Z": [ 3325 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3242 ], + "B": [ 2729 ], + "C": [ 3326 ], + "D": [ 3324 ], + "Z": [ 3327 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3328 ], + "B": [ 3329 ], + "C": [ 3330 ], + "D": [ 3331 ], + "Z": [ 3332 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1814 ], + "B": [ 1810 ], + "C": [ 1820 ], + "D": [ 1811 ], + "Z": [ 3333 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1873 ], + "B": [ 1867 ], + "C": [ 1865 ], + "D": [ 1862 ], + "Z": [ 3334 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3335 ], + "B": [ 3336 ], + "C": [ 3337 ], + "D": [ 3338 ], + "Z": [ 3339 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1246 ], + "B": [ 1801 ], + "C": [ 1250 ], + "D": [ 1803 ], + "Z": [ 3338 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1242 ], + "B": [ 1798 ], + "C": [ 1210 ], + "D": [ 1782 ], + "Z": [ 3337 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1101000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1240 ], + "B": [ 1797 ], + "C": [ 3340 ], + "D": [ 3341 ], + "Z": [ 3336 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_2_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1238 ], + "B": [ 1796 ], + "C": [ 1234 ], + "D": [ 1794 ], + "Z": [ 3341 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_2_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1794 ], + "B": [ 1234 ], + "C": [ 1792 ], + "D": [ 1230 ], + "Z": [ 3340 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3342 ], + "B": [ 3343 ], + "C": [ 3344 ], + "D": [ 3345 ], + "Z": [ 3335 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1214 ], + "B": [ 1784 ], + "C": [ 1218 ], + "D": [ 1786 ], + "Z": [ 3345 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1784 ], + "B": [ 1214 ], + "C": [ 1226 ], + "D": [ 1790 ], + "Z": [ 3344 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3346 ], + "C": [ 3347 ], + "D": [ 3348 ], + "Z": [ 3343 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A_LUT4_Z_2_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1791 ], + "B": [ 1228 ], + "C": [ 1788 ], + "D": [ 1222 ], + "Z": [ 3348 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A_LUT4_Z_2_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1228 ], + "B": [ 1791 ], + "C": [ 1224 ], + "D": [ 1789 ], + "Z": [ 3347 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A_LUT4_Z_2_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1200 ], + "B": [ 1777 ], + "C": [ 1248 ], + "D": [ 1802 ], + "Z": [ 3346 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1206 ], + "B": [ 1780 ], + "C": [ 3349 ], + "D": [ 3350 ], + "Z": [ 3342 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A_LUT4_Z_3_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1202 ], + "C": [ 1778 ], + "D": [ 3351 ], + "Z": [ 3350 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A_LUT4_Z_3_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1208 ], + "C": [ 1781 ], + "D": [ 3352 ], + "Z": [ 3349 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A_LUT4_Z_3_C_LUT4_Z_1_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1212 ], + "B": [ 1783 ], + "C": [ 1779 ], + "D": [ 1204 ], + "Z": [ 3352 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A_LUT4_Z_3_C_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1244 ], + "B": [ 1799 ], + "C": [ 1777 ], + "D": [ 1200 ], + "Z": [ 3351 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1759 ], + "B": [ 3353 ], + "C": [ 3354 ], + "D": [ 3355 ], + "Z": [ 3356 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3357 ], + "C": [ 3358 ], + "D": [ 3359 ], + "Z": [ 3353 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1222 ], + "B": [ 1713 ], + "C": [ 1198 ], + "D": [ 1690 ], + "Z": [ 3359 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1240 ], + "B": [ 1731 ], + "C": [ 1230 ], + "D": [ 1721 ], + "Z": [ 3358 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_LUT4_Z_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1206 ], + "B": [ 1698 ], + "C": [ 3360 ], + "D": [ 3361 ], + "Z": [ 3357 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_LUT4_Z_B_LUT4_Z_2_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1741 ], + "B": [ 1248 ], + "C": [ 1246 ], + "D": [ 1739 ], + "Z": [ 3361 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_LUT4_Z_B_LUT4_Z_2_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1204 ], + "B": [ 1696 ], + "C": [ 1744 ], + "D": [ 1252 ], + "Z": [ 3360 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3362 ], + "BLUT": [ 3363 ], + "C0": [ 3364 ], + "Z": [ 3355 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3365 ], + "BLUT": [ 3366 ], + "C0": [ 3367 ], + "Z": [ 3354 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011101100001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1220 ], + "B": [ 1711 ], + "C": [ 1212 ], + "D": [ 1703 ], + "Z": [ 3365 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 3366 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_PFUMX_Z_1_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1731 ], + "B": [ 1240 ], + "C": [ 1244 ], + "D": [ 1735 ], + "Z": [ 3367 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011101100001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1238 ], + "B": [ 1729 ], + "C": [ 1725 ], + "D": [ 1234 ], + "Z": [ 3362 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 3363 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1721 ], + "B": [ 1230 ], + "C": [ 1692 ], + "D": [ 1200 ], + "Z": [ 3364 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1212 ], + "B": [ 1833 ], + "C": [ 1829 ], + "D": [ 1204 ], + "Z": [ 3331 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1214 ], + "B": [ 1834 ], + "C": [ 1218 ], + "D": [ 1836 ], + "Z": [ 3330 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1240 ], + "B": [ 1847 ], + "C": [ 3368 ], + "D": [ 3369 ], + "Z": [ 3329 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_A_LUT4_Z_2_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1220 ], + "B": [ 1837 ], + "C": [ 1833 ], + "D": [ 1212 ], + "Z": [ 3369 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_A_LUT4_Z_2_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1846 ], + "B": [ 1238 ], + "C": [ 1842 ], + "D": [ 1230 ], + "Z": [ 3368 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_A_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3370 ], + "B": [ 3371 ], + "C": [ 3372 ], + "D": [ 3373 ], + "Z": [ 3328 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_A_LUT4_Z_3_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1210 ], + "B": [ 1832 ], + "C": [ 1206 ], + "D": [ 1830 ], + "Z": [ 3373 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_A_LUT4_Z_3_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1852 ], + "B": [ 1248 ], + "C": [ 1246 ], + "D": [ 1851 ], + "Z": [ 3372 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_A_LUT4_Z_3_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1222 ], + "B": [ 1838 ], + "C": [ 1198 ], + "D": [ 1826 ], + "Z": [ 3371 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_A_LUT4_Z_3_A_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1200 ], + "C": [ 1827 ], + "D": [ 3374 ], + "Z": [ 3370 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_A_LUT4_Z_3_A_LUT4_Z_3_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1204 ], + "B": [ 1829 ], + "C": [ 1854 ], + "D": [ 1252 ], + "Z": [ 3374 ] + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2341 ], + "D": [ 2342 ], + "Z": [ 3324 ] + } + }, + "core_cpu._zz_211__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3375 ], + "D": [ 3279 ], + "Z": [ 3291 ] + } + }, + "core_cpu._zz_211__LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3376 ], + "Z": [ 3290 ] + } + }, + "core_cpu._zz_211__LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3377 ], + "Z": [ 3321 ] + } + }, + "core_cpu._zz_211__LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3378 ], + "Z": [ 3320 ] + } + }, + "core_cpu._zz_211__LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3379 ], + "Z": [ 3255 ] + } + }, + "core_cpu._zz_211__LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3380 ], + "Z": [ 3254 ] + } + }, + "core_cpu._zz_211__LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3381 ], + "Z": [ 3261 ] + } + }, + "core_cpu._zz_211__LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3382 ], + "Z": [ 3260 ] + } + }, + "core_cpu._zz_211__LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3383 ], + "Z": [ 3266 ] + } + }, + "core_cpu._zz_211__LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3384 ], + "Z": [ 3265 ] + } + }, + "core_cpu._zz_211__LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3385 ], + "Z": [ 3271 ] + } + }, + "core_cpu._zz_211__LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3386 ], + "Z": [ 3270 ] + } + }, + "core_cpu._zz_211__LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3387 ], + "Z": [ 3301 ] + } + }, + "core_cpu._zz_211__LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3388 ], + "Z": [ 3276 ] + } + }, + "core_cpu._zz_211__LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3389 ], + "Z": [ 3275 ] + } + }, + "core_cpu._zz_211__LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3390 ], + "Z": [ 3244 ] + } + }, + "core_cpu._zz_211__LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3391 ], + "Z": [ 3243 ] + } + }, + "core_cpu._zz_211__LUT4_Z_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3392 ], + "Z": [ 3250 ] + } + }, + "core_cpu._zz_211__LUT4_Z_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3393 ], + "Z": [ 3249 ] + } + }, + "core_cpu._zz_211__LUT4_Z_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3394 ], + "Z": [ 3286 ] + } + }, + "core_cpu._zz_211__LUT4_Z_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 2739 ], + "Z": [ 3285 ] + } + }, + "core_cpu._zz_211__LUT4_Z_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 2762 ], + "Z": [ 3297 ] + } + }, + "core_cpu._zz_211__LUT4_Z_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 2771 ], + "Z": [ 3296 ] + } + }, + "core_cpu._zz_211__LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3395 ], + "Z": [ 3300 ] + } + }, + "core_cpu._zz_211__LUT4_Z_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 1527 ], + "Z": [ 3280 ] + } + }, + "core_cpu._zz_211__LUT4_Z_31": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1542 ], + "D": [ 3279 ], + "Z": [ 3281 ] + } + }, + "core_cpu._zz_211__LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3396 ], + "Z": [ 3306 ] + } + }, + "core_cpu._zz_211__LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3397 ], + "Z": [ 3305 ] + } + }, + "core_cpu._zz_211__LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3398 ], + "Z": [ 3311 ] + } + }, + "core_cpu._zz_211__LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3399 ], + "Z": [ 3310 ] + } + }, + "core_cpu._zz_211__LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3400 ], + "Z": [ 3316 ] + } + }, + "core_cpu._zz_211__LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3279 ], + "D": [ 3401 ], + "Z": [ 3315 ] + } + }, + "core_cpu._zz_219__CCU2C_S0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3402 ], + "A1": [ 3403 ], + "B0": [ 3404 ], + "B1": [ 3405 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3406 ], + "COUT": [ 3407 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3408 ], + "S1": [ 3409 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3410 ], + "A1": [ 3411 ], + "B0": [ 3412 ], + "B1": [ 3413 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3414 ], + "COUT": [ 3406 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3415 ], + "S1": [ 3416 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_10": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3417 ], + "A1": [ 3418 ], + "B0": [ 3419 ], + "B1": [ 3420 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3421 ], + "COUT": [ 3422 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3423 ], + "S1": [ 3424 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_11": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3425 ], + "A1": [ 3426 ], + "B0": [ 3427 ], + "B1": [ 3428 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3429 ], + "COUT": [ 3421 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3430 ], + "S1": [ 3431 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_12": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3432 ], + "A1": [ 3433 ], + "B0": [ 3434 ], + "B1": [ 3435 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3436 ], + "COUT": [ 3429 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3437 ], + "S1": [ 3438 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_13": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3439 ], + "A1": [ 3440 ], + "B0": [ 3441 ], + "B1": [ 3442 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3443 ], + "COUT": [ 3436 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3444 ], + "S1": [ 3445 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_14": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3446 ], + "A1": [ 3447 ], + "B0": [ 3448 ], + "B1": [ 3449 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3407 ], + "COUT": [ 3443 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3450 ], + "S1": [ 3451 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_15": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3452 ], + "A1": [ 3453 ], + "B0": [ 3454 ], + "B1": [ 3455 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3454 ], + "COUT": [ 3456 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3457 ], + "S1": [ 3458 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3459 ], + "A1": [ 3460 ], + "B0": [ 3461 ], + "B1": [ 3462 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3463 ], + "COUT": [ 3414 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3464 ], + "S1": [ 3465 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3466 ], + "A1": [ 3467 ], + "B0": [ 3468 ], + "B1": [ 3469 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3470 ], + "COUT": [ 3471 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3472 ], + "S1": [ 3473 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_4": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3474 ], + "A1": [ 3475 ], + "B0": [ 3476 ], + "B1": [ 3477 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3456 ], + "COUT": [ 3463 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3478 ], + "S1": [ 3479 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_5": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3480 ], + "A1": [ 3481 ], + "B0": [ 3482 ], + "B1": [ 3483 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3484 ], + "COUT": [ 3470 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3485 ], + "S1": [ 3486 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_6": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3487 ], + "A1": [ 3488 ], + "B0": [ 3489 ], + "B1": [ 3490 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3491 ], + "COUT": [ 3484 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3492 ], + "S1": [ 3493 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_7": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3494 ], + "A1": [ 3495 ], + "B0": [ 3496 ], + "B1": [ 3497 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3498 ], + "COUT": [ 3491 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3499 ], + "S1": [ 3500 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_8": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3501 ], + "A1": [ 3502 ], + "B0": [ 3503 ], + "B1": [ 3504 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3505 ], + "COUT": [ 3498 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3506 ], + "S1": [ 3507 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_9": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3508 ], + "A1": [ 3509 ], + "B0": [ 3510 ], + "B1": [ 3511 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3422 ], + "COUT": [ 3505 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3512 ], + "S1": [ 3513 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3515 ], + "C": [ 3516 ], + "D": [ 3517 ], + "Z": [ 3466 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3518 ], + "C": [ 3519 ], + "D": [ 3520 ], + "Z": [ 3481 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3521 ], + "C": [ 3522 ], + "D": [ 3523 ], + "Z": [ 3508 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3524 ], + "C": [ 3525 ], + "D": [ 3526 ], + "Z": [ 3418 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3527 ], + "C": [ 3528 ], + "D": [ 3529 ], + "Z": [ 3417 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3530 ], + "C": [ 3531 ], + "D": [ 3532 ], + "Z": [ 3426 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3533 ], + "C": [ 3534 ], + "D": [ 3535 ], + "Z": [ 3425 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3536 ], + "C": [ 3537 ], + "D": [ 3538 ], + "Z": [ 3433 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3539 ], + "C": [ 3540 ], + "D": [ 3541 ], + "Z": [ 3432 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3542 ], + "C": [ 3543 ], + "D": [ 3544 ], + "Z": [ 3440 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3545 ], + "C": [ 3546 ], + "D": [ 3547 ], + "Z": [ 3439 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3548 ], + "C": [ 3549 ], + "D": [ 3550 ], + "Z": [ 3447 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3551 ], + "C": [ 3552 ], + "D": [ 3553 ], + "Z": [ 3480 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3554 ], + "C": [ 3555 ], + "D": [ 3556 ], + "Z": [ 3446 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3557 ], + "C": [ 3558 ], + "D": [ 3559 ], + "Z": [ 3403 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3560 ], + "C": [ 3561 ], + "D": [ 3562 ], + "Z": [ 3402 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3563 ], + "C": [ 3564 ], + "D": [ 3565 ], + "Z": [ 3411 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3566 ], + "C": [ 3567 ], + "D": [ 3568 ], + "Z": [ 3410 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3569 ], + "C": [ 3570 ], + "D": [ 3571 ], + "Z": [ 3460 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3572 ], + "C": [ 3573 ], + "D": [ 3574 ], + "Z": [ 3459 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3575 ], + "C": [ 3576 ], + "D": [ 3577 ], + "Z": [ 3475 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3578 ], + "C": [ 3579 ], + "D": [ 3580 ], + "Z": [ 3474 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3581 ], + "C": [ 3582 ], + "D": [ 3583 ], + "Z": [ 3453 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3584 ], + "C": [ 3585 ], + "D": [ 3586 ], + "Z": [ 3488 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3587 ], + "C": [ 3588 ], + "D": [ 3589 ], + "Z": [ 3452 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3590 ], + "C": [ 3591 ], + "D": [ 3592 ], + "Z": [ 3487 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3593 ], + "C": [ 3594 ], + "D": [ 3595 ], + "Z": [ 3495 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3596 ], + "C": [ 3597 ], + "D": [ 3598 ], + "Z": [ 3494 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3599 ], + "C": [ 3600 ], + "D": [ 3601 ], + "Z": [ 3502 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3602 ], + "C": [ 3603 ], + "D": [ 3604 ], + "Z": [ 3501 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000011101111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3605 ], + "C": [ 3606 ], + "D": [ 3607 ], + "Z": [ 3509 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3608 ], + "BLUT": [ 3609 ], + "C0": [ 3610 ], + "Z": [ 3467 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3611 ], + "B": [ 3612 ], + "C": [ 3613 ], + "D": [ 3614 ], + "Z": [ 3608 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3611 ], + "B": [ 3612 ], + "C": [ 3613 ], + "D": [ 3614 ], + "Z": [ 3609 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3516 ], + "B": [ 3517 ], + "C": [ 3515 ], + "D": [ 3514 ], + "Z": [ 3469 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3519 ], + "B": [ 3520 ], + "C": [ 3518 ], + "D": [ 3514 ], + "Z": [ 3468 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3522 ], + "B": [ 3523 ], + "C": [ 3521 ], + "D": [ 3514 ], + "Z": [ 3511 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_10_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3615 ], + "Z": [ 3522 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3525 ], + "B": [ 3526 ], + "C": [ 3524 ], + "D": [ 3514 ], + "Z": [ 3510 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_11_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3616 ], + "Z": [ 3525 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3528 ], + "B": [ 3529 ], + "C": [ 3527 ], + "D": [ 3514 ], + "Z": [ 3420 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_12_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3617 ], + "Z": [ 3528 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3531 ], + "B": [ 3532 ], + "C": [ 3530 ], + "D": [ 3514 ], + "Z": [ 3419 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_13_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3618 ], + "Z": [ 3531 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3534 ], + "B": [ 3535 ], + "C": [ 3533 ], + "D": [ 3514 ], + "Z": [ 3428 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_14_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3619 ], + "Z": [ 3534 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3537 ], + "B": [ 3538 ], + "C": [ 3536 ], + "D": [ 3514 ], + "Z": [ 3427 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_15_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3620 ], + "Z": [ 3537 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3540 ], + "B": [ 3541 ], + "C": [ 3539 ], + "D": [ 3514 ], + "Z": [ 3435 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_16_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3621 ], + "Z": [ 3540 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3543 ], + "B": [ 3544 ], + "C": [ 3542 ], + "D": [ 3514 ], + "Z": [ 3434 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_17_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3622 ], + "Z": [ 3543 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3546 ], + "B": [ 3547 ], + "C": [ 3545 ], + "D": [ 3514 ], + "Z": [ 3442 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_18_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3623 ], + "Z": [ 3546 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3549 ], + "B": [ 3550 ], + "C": [ 3548 ], + "D": [ 3514 ], + "Z": [ 3441 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_19_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3624 ], + "Z": [ 3549 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3625 ], + "Z": [ 3519 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3552 ], + "B": [ 3553 ], + "C": [ 3551 ], + "D": [ 3514 ], + "Z": [ 3483 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3555 ], + "B": [ 3556 ], + "C": [ 3554 ], + "D": [ 3514 ], + "Z": [ 3449 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_20_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3626 ], + "Z": [ 3555 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3558 ], + "B": [ 3559 ], + "C": [ 3557 ], + "D": [ 3514 ], + "Z": [ 3448 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_21_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3627 ], + "Z": [ 3558 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3561 ], + "B": [ 3562 ], + "C": [ 3560 ], + "D": [ 3514 ], + "Z": [ 3405 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_22_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3628 ], + "Z": [ 3561 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3564 ], + "B": [ 3565 ], + "C": [ 3563 ], + "D": [ 3514 ], + "Z": [ 3404 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_23_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3629 ], + "Z": [ 3564 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3567 ], + "B": [ 3568 ], + "C": [ 3566 ], + "D": [ 3514 ], + "Z": [ 3413 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_24_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3630 ], + "Z": [ 3567 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3570 ], + "B": [ 3571 ], + "C": [ 3569 ], + "D": [ 3514 ], + "Z": [ 3412 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_25_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3631 ], + "Z": [ 3570 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3573 ], + "B": [ 3574 ], + "C": [ 3572 ], + "D": [ 3514 ], + "Z": [ 3462 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_26_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3632 ], + "Z": [ 3573 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3576 ], + "B": [ 3577 ], + "C": [ 3575 ], + "D": [ 3514 ], + "Z": [ 3461 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_27_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3633 ], + "Z": [ 3576 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3579 ], + "B": [ 3580 ], + "C": [ 3578 ], + "D": [ 3514 ], + "Z": [ 3477 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_28_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3634 ], + "Z": [ 3579 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3514 ], + "B": [ 3582 ], + "C": [ 3581 ], + "D": [ 3583 ], + "Z": [ 3476 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_29_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3611 ], + "C": [ 3612 ], + "D": [ 3614 ], + "Z": [ 3582 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_2_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3635 ], + "Z": [ 3552 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3585 ], + "B": [ 3586 ], + "C": [ 3584 ], + "D": [ 3514 ], + "Z": [ 3482 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3588 ], + "B": [ 3589 ], + "C": [ 3587 ], + "D": [ 3514 ], + "Z": [ 3455 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_3_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3636 ], + "Z": [ 3585 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3591 ], + "B": [ 3592 ], + "C": [ 3590 ], + "D": [ 3514 ], + "Z": [ 3490 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_4_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3637 ], + "Z": [ 3591 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3594 ], + "B": [ 3595 ], + "C": [ 3593 ], + "D": [ 3514 ], + "Z": [ 3489 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_5_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3638 ], + "Z": [ 3594 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3597 ], + "B": [ 3598 ], + "C": [ 3596 ], + "D": [ 3514 ], + "Z": [ 3497 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_6_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3639 ], + "Z": [ 3597 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3600 ], + "B": [ 3601 ], + "C": [ 3599 ], + "D": [ 3514 ], + "Z": [ 3496 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_7_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3640 ], + "Z": [ 3600 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3603 ], + "B": [ 3604 ], + "C": [ 3602 ], + "D": [ 3514 ], + "Z": [ 3504 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_8_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3641 ], + "Z": [ 3603 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110111011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3606 ], + "B": [ 3607 ], + "C": [ 3605 ], + "D": [ 3514 ], + "Z": [ 3503 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_9_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3642 ], + "Z": [ 3606 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3643 ], + "Z": [ 3516 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3612 ], + "C": [ 3611 ], + "D": [ 3613 ], + "Z": [ 3514 ] + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_D_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3582 ], + "D": [ 3644 ], + "Z": [ 3588 ] + } + }, + "core_cpu._zz_228__CCU2C_S0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 3645 ], + "B1": [ 3646 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3647 ], + "COUT": [ 3648 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3649 ], + "S1": [ 3650 ] + } + }, + "core_cpu._zz_228__CCU2C_S0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 3651 ], + "B1": [ 3652 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3653 ], + "COUT": [ 3647 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3654 ], + "S1": [ 3655 ] + } + }, + "core_cpu._zz_228__CCU2C_S0_10": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 3656 ], + "B1": [ 3657 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3658 ], + "COUT": [ 3659 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3660 ], + "S1": [ 3661 ] + } + }, + "core_cpu._zz_228__CCU2C_S0_11": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 3662 ], + "B1": [ 3663 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3664 ], + "COUT": [ 3658 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3665 ], + "S1": [ 3666 ] + } + }, + "core_cpu._zz_228__CCU2C_S0_12": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 3667 ], + "B1": [ 3668 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3669 ], + "COUT": [ 3664 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3670 ], + "S1": [ 3671 ] + } + }, + "core_cpu._zz_228__CCU2C_S0_13": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 3672 ], + "B1": [ 3673 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3674 ], + "COUT": [ 3669 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3675 ], + "S1": [ 3676 ] + } + }, + "core_cpu._zz_228__CCU2C_S0_14": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 3677 ], + "B1": [ 3678 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3648 ], + "COUT": [ 3674 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3679 ], + "S1": [ 3680 ] + } + }, + "core_cpu._zz_228__CCU2C_S0_15": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 3681 ], + "A1": [ "0" ], + "B0": [ 3682 ], + "B1": [ 3683 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 3684 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3685 ], + "S1": [ 3686 ] + } + }, + "core_cpu._zz_228__CCU2C_S0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 3687 ], + "B1": [ 3688 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3689 ], + "COUT": [ 3653 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3690 ], + "S1": [ 3691 ] + } + }, + "core_cpu._zz_228__CCU2C_S0_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 3692 ], + "B1": [ 3693 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3694 ], + "COUT": [ 3695 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3696 ], + "S1": [ 3697 ] + } + }, + "core_cpu._zz_228__CCU2C_S0_4": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 3698 ], + "B1": [ 3699 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3684 ], + "COUT": [ 3689 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3700 ], + "S1": [ 3701 ] + } + }, + "core_cpu._zz_228__CCU2C_S0_5": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 3702 ], + "B1": [ 3703 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3704 ], + "COUT": [ 3694 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3705 ], + "S1": [ 3706 ] + } + }, + "core_cpu._zz_228__CCU2C_S0_6": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 3707 ], + "B1": [ 3708 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3709 ], + "COUT": [ 3704 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3710 ], + "S1": [ 3711 ] + } + }, + "core_cpu._zz_228__CCU2C_S0_7": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 3712 ], + "B1": [ 3713 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3714 ], + "COUT": [ 3709 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3715 ], + "S1": [ 3716 ] + } + }, + "core_cpu._zz_228__CCU2C_S0_8": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 3717 ], + "B1": [ 3718 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3719 ], + "COUT": [ 3714 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3720 ], + "S1": [ 3721 ] + } + }, + "core_cpu._zz_228__CCU2C_S0_9": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 3722 ], + "B1": [ 3723 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 3659 ], + "COUT": [ 3719 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 3724 ], + "S1": [ 3725 ] + } + }, + "core_cpu._zz_237__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1736 ], + "LSR": [ "0" ], + "Q": [ 1774 ] + } + }, + "core_cpu._zz_23__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3727 ], + "C": [ 3728 ], + "D": [ 3729 ], + "Z": [ 3730 ] + } + }, + "core_cpu._zz_23__LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3731 ], + "C": [ 3732 ], + "D": [ 3729 ], + "Z": [ 3733 ] + } + }, + "core_cpu._zz_23__LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3734 ], + "C": [ 3735 ], + "D": [ 3729 ], + "Z": [ 3736 ] + } + }, + "core_cpu._zz_23__LUT4_Z_10_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3737 ], + "BLUT": [ 3738 ], + "C0": [ 3739 ], + "Z": [ 3734 ] + } + }, + "core_cpu._zz_23__LUT4_Z_10_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3742 ], + "D": [ 1881 ], + "Z": [ 3737 ] + } + }, + "core_cpu._zz_23__LUT4_Z_10_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3742 ], + "D": [ 1881 ], + "Z": [ 3738 ] + } + }, + "core_cpu._zz_23__LUT4_Z_10_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3743 ], + "B": [ 3740 ], + "C": [ 3744 ], + "D": [ 3741 ], + "Z": [ 3742 ] + } + }, + "core_cpu._zz_23__LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3745 ], + "C": [ 3746 ], + "D": [ 3729 ], + "Z": [ 3747 ] + } + }, + "core_cpu._zz_23__LUT4_Z_11_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3748 ], + "BLUT": [ 3749 ], + "C0": [ 3750 ], + "Z": [ 3745 ] + } + }, + "core_cpu._zz_23__LUT4_Z_11_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3751 ], + "D": [ 1881 ], + "Z": [ 3748 ] + } + }, + "core_cpu._zz_23__LUT4_Z_11_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3751 ], + "D": [ 1881 ], + "Z": [ 3749 ] + } + }, + "core_cpu._zz_23__LUT4_Z_11_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3752 ], + "B": [ 3740 ], + "C": [ 3753 ], + "D": [ 3741 ], + "Z": [ 3751 ] + } + }, + "core_cpu._zz_23__LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3754 ], + "C": [ 3755 ], + "D": [ 3729 ], + "Z": [ 3756 ] + } + }, + "core_cpu._zz_23__LUT4_Z_12_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3757 ], + "BLUT": [ 3758 ], + "C0": [ 3759 ], + "Z": [ 3754 ] + } + }, + "core_cpu._zz_23__LUT4_Z_12_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3760 ], + "D": [ 1881 ], + "Z": [ 3757 ] + } + }, + "core_cpu._zz_23__LUT4_Z_12_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3760 ], + "D": [ 1881 ], + "Z": [ 3758 ] + } + }, + "core_cpu._zz_23__LUT4_Z_12_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3761 ], + "B": [ 3740 ], + "C": [ 3762 ], + "D": [ 3741 ], + "Z": [ 3760 ] + } + }, + "core_cpu._zz_23__LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3763 ], + "C": [ 3764 ], + "D": [ 3729 ], + "Z": [ 3765 ] + } + }, + "core_cpu._zz_23__LUT4_Z_13_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3766 ], + "BLUT": [ 3767 ], + "C0": [ 3768 ], + "Z": [ 3763 ] + } + }, + "core_cpu._zz_23__LUT4_Z_13_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3769 ], + "D": [ 1881 ], + "Z": [ 3766 ] + } + }, + "core_cpu._zz_23__LUT4_Z_13_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3769 ], + "D": [ 1881 ], + "Z": [ 3767 ] + } + }, + "core_cpu._zz_23__LUT4_Z_13_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3770 ], + "B": [ 3740 ], + "C": [ 3771 ], + "D": [ 3741 ], + "Z": [ 3769 ] + } + }, + "core_cpu._zz_23__LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3772 ], + "C": [ 3773 ], + "D": [ 3729 ], + "Z": [ 3774 ] + } + }, + "core_cpu._zz_23__LUT4_Z_14_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3775 ], + "BLUT": [ 3776 ], + "C0": [ 3777 ], + "Z": [ 3772 ] + } + }, + "core_cpu._zz_23__LUT4_Z_14_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3778 ], + "D": [ 1881 ], + "Z": [ 3775 ] + } + }, + "core_cpu._zz_23__LUT4_Z_14_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3778 ], + "D": [ 1881 ], + "Z": [ 3776 ] + } + }, + "core_cpu._zz_23__LUT4_Z_14_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3779 ], + "B": [ 3740 ], + "C": [ 3780 ], + "D": [ 3741 ], + "Z": [ 3778 ] + } + }, + "core_cpu._zz_23__LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3781 ], + "C": [ 3782 ], + "D": [ 3729 ], + "Z": [ 3783 ] + } + }, + "core_cpu._zz_23__LUT4_Z_15_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3784 ], + "BLUT": [ 3785 ], + "C0": [ 3786 ], + "Z": [ 3781 ] + } + }, + "core_cpu._zz_23__LUT4_Z_15_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3787 ], + "D": [ 1881 ], + "Z": [ 3784 ] + } + }, + "core_cpu._zz_23__LUT4_Z_15_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3787 ], + "D": [ 1881 ], + "Z": [ 3785 ] + } + }, + "core_cpu._zz_23__LUT4_Z_15_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3788 ], + "B": [ 3740 ], + "C": [ 3789 ], + "D": [ 3741 ], + "Z": [ 3787 ] + } + }, + "core_cpu._zz_23__LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3790 ], + "C": [ 3791 ], + "D": [ 3729 ], + "Z": [ 3792 ] + } + }, + "core_cpu._zz_23__LUT4_Z_16_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3793 ], + "BLUT": [ 3794 ], + "C0": [ 3795 ], + "Z": [ 3790 ] + } + }, + "core_cpu._zz_23__LUT4_Z_16_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3796 ], + "D": [ 1881 ], + "Z": [ 3793 ] + } + }, + "core_cpu._zz_23__LUT4_Z_16_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3796 ], + "D": [ 1881 ], + "Z": [ 3794 ] + } + }, + "core_cpu._zz_23__LUT4_Z_16_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3789 ], + "B": [ 3740 ], + "C": [ 3788 ], + "D": [ 3741 ], + "Z": [ 3796 ] + } + }, + "core_cpu._zz_23__LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3797 ], + "C": [ 3798 ], + "D": [ 3729 ], + "Z": [ 3799 ] + } + }, + "core_cpu._zz_23__LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3800 ], + "C": [ 3801 ], + "D": [ 3729 ], + "Z": [ 3802 ] + } + }, + "core_cpu._zz_23__LUT4_Z_18_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3803 ], + "BLUT": [ 3804 ], + "C0": [ 3805 ], + "Z": [ 3800 ] + } + }, + "core_cpu._zz_23__LUT4_Z_18_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3806 ], + "D": [ 1881 ], + "Z": [ 3803 ] + } + }, + "core_cpu._zz_23__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3806 ], + "D": [ 1881 ], + "Z": [ 3804 ] + } + }, + "core_cpu._zz_23__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3771 ], + "B": [ 3740 ], + "C": [ 3770 ], + "D": [ 3741 ], + "Z": [ 3806 ] + } + }, + "core_cpu._zz_23__LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3807 ], + "C": [ 3808 ], + "D": [ 3729 ], + "Z": [ 3809 ] + } + }, + "core_cpu._zz_23__LUT4_Z_19_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3810 ], + "BLUT": [ 3811 ], + "C0": [ 3812 ], + "Z": [ 3807 ] + } + }, + "core_cpu._zz_23__LUT4_Z_19_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3813 ], + "D": [ 1881 ], + "Z": [ 3810 ] + } + }, + "core_cpu._zz_23__LUT4_Z_19_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3813 ], + "D": [ 1881 ], + "Z": [ 3811 ] + } + }, + "core_cpu._zz_23__LUT4_Z_19_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3762 ], + "B": [ 3740 ], + "C": [ 3761 ], + "D": [ 3741 ], + "Z": [ 3813 ] + } + }, + "core_cpu._zz_23__LUT4_Z_1_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3814 ], + "BLUT": [ 3815 ], + "C0": [ 3816 ], + "Z": [ 3731 ] + } + }, + "core_cpu._zz_23__LUT4_Z_1_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3817 ], + "D": [ 1881 ], + "Z": [ 3814 ] + } + }, + "core_cpu._zz_23__LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3817 ], + "D": [ 1881 ], + "Z": [ 3815 ] + } + }, + "core_cpu._zz_23__LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3818 ], + "B": [ 3740 ], + "C": [ 3819 ], + "D": [ 3741 ], + "Z": [ 3817 ] + } + }, + "core_cpu._zz_23__LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3820 ], + "C": [ 3821 ], + "D": [ 3729 ], + "Z": [ 3822 ] + } + }, + "core_cpu._zz_23__LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3823 ], + "C": [ 3824 ], + "D": [ 3729 ], + "Z": [ 3825 ] + } + }, + "core_cpu._zz_23__LUT4_Z_20_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3826 ], + "BLUT": [ 3827 ], + "C0": [ 3828 ], + "Z": [ 3823 ] + } + }, + "core_cpu._zz_23__LUT4_Z_20_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3829 ], + "D": [ 1881 ], + "Z": [ 3826 ] + } + }, + "core_cpu._zz_23__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3829 ], + "D": [ 1881 ], + "Z": [ 3827 ] + } + }, + "core_cpu._zz_23__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3753 ], + "B": [ 3740 ], + "C": [ 3752 ], + "D": [ 3741 ], + "Z": [ 3829 ] + } + }, + "core_cpu._zz_23__LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3830 ], + "C": [ 3831 ], + "D": [ 3729 ], + "Z": [ 3832 ] + } + }, + "core_cpu._zz_23__LUT4_Z_21_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3833 ], + "BLUT": [ 3834 ], + "C0": [ 3835 ], + "Z": [ 3830 ] + } + }, + "core_cpu._zz_23__LUT4_Z_21_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3836 ], + "D": [ 1881 ], + "Z": [ 3833 ] + } + }, + "core_cpu._zz_23__LUT4_Z_21_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3836 ], + "D": [ 1881 ], + "Z": [ 3834 ] + } + }, + "core_cpu._zz_23__LUT4_Z_21_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3744 ], + "B": [ 3740 ], + "C": [ 3743 ], + "D": [ 3741 ], + "Z": [ 3836 ] + } + }, + "core_cpu._zz_23__LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3837 ], + "C": [ 3838 ], + "D": [ 3729 ], + "Z": [ 3839 ] + } + }, + "core_cpu._zz_23__LUT4_Z_22_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3840 ], + "BLUT": [ 3841 ], + "C0": [ 3842 ], + "Z": [ 3837 ] + } + }, + "core_cpu._zz_23__LUT4_Z_22_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3843 ], + "D": [ 1881 ], + "Z": [ 3840 ] + } + }, + "core_cpu._zz_23__LUT4_Z_22_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3843 ], + "D": [ 1881 ], + "Z": [ 3841 ] + } + }, + "core_cpu._zz_23__LUT4_Z_22_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3844 ], + "B": [ 3740 ], + "C": [ 3845 ], + "D": [ 3741 ], + "Z": [ 3843 ] + } + }, + "core_cpu._zz_23__LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3846 ], + "C": [ 3847 ], + "D": [ 3729 ], + "Z": [ 2337 ] + } + }, + "core_cpu._zz_23__LUT4_Z_23_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3848 ], + "BLUT": [ 3849 ], + "C0": [ 3850 ], + "Z": [ 3846 ] + } + }, + "core_cpu._zz_23__LUT4_Z_23_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3851 ], + "D": [ 1881 ], + "Z": [ 3848 ] + } + }, + "core_cpu._zz_23__LUT4_Z_23_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3851 ], + "D": [ 1881 ], + "Z": [ 3849 ] + } + }, + "core_cpu._zz_23__LUT4_Z_23_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3852 ], + "B": [ 3740 ], + "C": [ 3853 ], + "D": [ 3741 ], + "Z": [ 3851 ] + } + }, + "core_cpu._zz_23__LUT4_Z_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3854 ], + "C": [ 3855 ], + "D": [ 3729 ], + "Z": [ 3856 ] + } + }, + "core_cpu._zz_23__LUT4_Z_24_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3857 ], + "BLUT": [ 3858 ], + "C0": [ 3859 ], + "Z": [ 3854 ] + } + }, + "core_cpu._zz_23__LUT4_Z_24_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3860 ], + "D": [ 1881 ], + "Z": [ 3857 ] + } + }, + "core_cpu._zz_23__LUT4_Z_24_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3860 ], + "D": [ 1881 ], + "Z": [ 3858 ] + } + }, + "core_cpu._zz_23__LUT4_Z_24_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3861 ], + "B": [ 3740 ], + "C": [ 3862 ], + "D": [ 3741 ], + "Z": [ 3860 ] + } + }, + "core_cpu._zz_23__LUT4_Z_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3863 ], + "C": [ 3864 ], + "D": [ 3729 ], + "Z": [ 3865 ] + } + }, + "core_cpu._zz_23__LUT4_Z_25_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3866 ], + "BLUT": [ 3867 ], + "C0": [ 3868 ], + "Z": [ 3863 ] + } + }, + "core_cpu._zz_23__LUT4_Z_25_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3869 ], + "D": [ 1881 ], + "Z": [ 3866 ] + } + }, + "core_cpu._zz_23__LUT4_Z_25_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3869 ], + "D": [ 1881 ], + "Z": [ 3867 ] + } + }, + "core_cpu._zz_23__LUT4_Z_25_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3870 ], + "B": [ 3740 ], + "C": [ 3871 ], + "D": [ 3741 ], + "Z": [ 3869 ] + } + }, + "core_cpu._zz_23__LUT4_Z_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3872 ], + "C": [ 3873 ], + "D": [ 3729 ], + "Z": [ 3874 ] + } + }, + "core_cpu._zz_23__LUT4_Z_26_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3875 ], + "BLUT": [ 3876 ], + "C0": [ 3877 ], + "Z": [ 3872 ] + } + }, + "core_cpu._zz_23__LUT4_Z_26_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3878 ], + "D": [ 1881 ], + "Z": [ 3875 ] + } + }, + "core_cpu._zz_23__LUT4_Z_26_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3878 ], + "D": [ 1881 ], + "Z": [ 3876 ] + } + }, + "core_cpu._zz_23__LUT4_Z_26_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3879 ], + "B": [ 3740 ], + "C": [ 3880 ], + "D": [ 3741 ], + "Z": [ 3878 ] + } + }, + "core_cpu._zz_23__LUT4_Z_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3881 ], + "C": [ 3882 ], + "D": [ 3729 ], + "Z": [ 3883 ] + } + }, + "core_cpu._zz_23__LUT4_Z_27_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3884 ], + "BLUT": [ 3885 ], + "C0": [ 3886 ], + "Z": [ 3881 ] + } + }, + "core_cpu._zz_23__LUT4_Z_27_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3887 ], + "D": [ 1881 ], + "Z": [ 3884 ] + } + }, + "core_cpu._zz_23__LUT4_Z_27_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3887 ], + "D": [ 1881 ], + "Z": [ 3885 ] + } + }, + "core_cpu._zz_23__LUT4_Z_27_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3888 ], + "B": [ 3740 ], + "C": [ 3889 ], + "D": [ 3741 ], + "Z": [ 3887 ] + } + }, + "core_cpu._zz_23__LUT4_Z_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3890 ], + "C": [ 3891 ], + "D": [ 3729 ], + "Z": [ 3892 ] + } + }, + "core_cpu._zz_23__LUT4_Z_28_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3893 ], + "BLUT": [ 3894 ], + "C0": [ 3895 ], + "Z": [ 3890 ] + } + }, + "core_cpu._zz_23__LUT4_Z_28_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3896 ], + "D": [ 1881 ], + "Z": [ 3893 ] + } + }, + "core_cpu._zz_23__LUT4_Z_28_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3896 ], + "D": [ 1881 ], + "Z": [ 3894 ] + } + }, + "core_cpu._zz_23__LUT4_Z_28_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3897 ], + "B": [ 3740 ], + "C": [ 3898 ], + "D": [ 3741 ], + "Z": [ 3896 ] + } + }, + "core_cpu._zz_23__LUT4_Z_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3899 ], + "C": [ 3900 ], + "D": [ 3729 ], + "Z": [ 3901 ] + } + }, + "core_cpu._zz_23__LUT4_Z_29_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3902 ], + "BLUT": [ 3903 ], + "C0": [ 3904 ], + "Z": [ 3899 ] + } + }, + "core_cpu._zz_23__LUT4_Z_29_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3905 ], + "D": [ 1881 ], + "Z": [ 3902 ] + } + }, + "core_cpu._zz_23__LUT4_Z_29_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3905 ], + "D": [ 1881 ], + "Z": [ 3903 ] + } + }, + "core_cpu._zz_23__LUT4_Z_29_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3906 ], + "B": [ 3740 ], + "C": [ 3907 ], + "D": [ 3741 ], + "Z": [ 3905 ] + } + }, + "core_cpu._zz_23__LUT4_Z_2_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3908 ], + "BLUT": [ 3909 ], + "C0": [ 3910 ], + "Z": [ 3820 ] + } + }, + "core_cpu._zz_23__LUT4_Z_2_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3911 ], + "D": [ 1881 ], + "Z": [ 3908 ] + } + }, + "core_cpu._zz_23__LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3911 ], + "D": [ 1881 ], + "Z": [ 3909 ] + } + }, + "core_cpu._zz_23__LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3907 ], + "B": [ 3740 ], + "C": [ 3906 ], + "D": [ 3741 ], + "Z": [ 3911 ] + } + }, + "core_cpu._zz_23__LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3912 ], + "C": [ 3913 ], + "D": [ 3729 ], + "Z": [ 3914 ] + } + }, + "core_cpu._zz_23__LUT4_Z_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3915 ], + "C": [ 3916 ], + "D": [ 3729 ], + "Z": [ 3917 ] + } + }, + "core_cpu._zz_23__LUT4_Z_30_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3918 ], + "BLUT": [ 3919 ], + "C0": [ 3920 ], + "Z": [ 3915 ] + } + }, + "core_cpu._zz_23__LUT4_Z_30_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3921 ], + "D": [ 1881 ], + "Z": [ 3918 ] + } + }, + "core_cpu._zz_23__LUT4_Z_30_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3921 ], + "D": [ 1881 ], + "Z": [ 3919 ] + } + }, + "core_cpu._zz_23__LUT4_Z_30_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3819 ], + "B": [ 3740 ], + "C": [ 3818 ], + "D": [ 3741 ], + "Z": [ 3921 ] + } + }, + "core_cpu._zz_23__LUT4_Z_31": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3922 ], + "C": [ 3923 ], + "D": [ 3729 ], + "Z": [ 3924 ] + } + }, + "core_cpu._zz_23__LUT4_Z_31_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3925 ], + "BLUT": [ 3926 ], + "C0": [ 3927 ], + "Z": [ 3922 ] + } + }, + "core_cpu._zz_23__LUT4_Z_31_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3928 ], + "D": [ 1881 ], + "Z": [ 3925 ] + } + }, + "core_cpu._zz_23__LUT4_Z_31_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3928 ], + "D": [ 1881 ], + "Z": [ 3926 ] + } + }, + "core_cpu._zz_23__LUT4_Z_31_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3929 ], + "B": [ 3740 ], + "C": [ 3930 ], + "D": [ 3741 ], + "Z": [ 3928 ] + } + }, + "core_cpu._zz_23__LUT4_Z_3_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3931 ], + "BLUT": [ 3932 ], + "C0": [ 3933 ], + "Z": [ 3912 ] + } + }, + "core_cpu._zz_23__LUT4_Z_3_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3934 ], + "D": [ 1881 ], + "Z": [ 3931 ] + } + }, + "core_cpu._zz_23__LUT4_Z_3_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3934 ], + "D": [ 1881 ], + "Z": [ 3932 ] + } + }, + "core_cpu._zz_23__LUT4_Z_3_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3898 ], + "B": [ 3740 ], + "C": [ 3897 ], + "D": [ 3741 ], + "Z": [ 3934 ] + } + }, + "core_cpu._zz_23__LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3935 ], + "C": [ 3936 ], + "D": [ 3729 ], + "Z": [ 3937 ] + } + }, + "core_cpu._zz_23__LUT4_Z_4_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3938 ], + "BLUT": [ 3939 ], + "C0": [ 3940 ], + "Z": [ 3935 ] + } + }, + "core_cpu._zz_23__LUT4_Z_4_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3941 ], + "D": [ 1881 ], + "Z": [ 3938 ] + } + }, + "core_cpu._zz_23__LUT4_Z_4_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3941 ], + "D": [ 1881 ], + "Z": [ 3939 ] + } + }, + "core_cpu._zz_23__LUT4_Z_4_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3889 ], + "B": [ 3740 ], + "C": [ 3888 ], + "D": [ 3741 ], + "Z": [ 3941 ] + } + }, + "core_cpu._zz_23__LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3942 ], + "C": [ 3943 ], + "D": [ 3729 ], + "Z": [ 3944 ] + } + }, + "core_cpu._zz_23__LUT4_Z_5_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3945 ], + "BLUT": [ 3946 ], + "C0": [ 3947 ], + "Z": [ 3942 ] + } + }, + "core_cpu._zz_23__LUT4_Z_5_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3948 ], + "D": [ 1881 ], + "Z": [ 3945 ] + } + }, + "core_cpu._zz_23__LUT4_Z_5_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3948 ], + "D": [ 1881 ], + "Z": [ 3946 ] + } + }, + "core_cpu._zz_23__LUT4_Z_5_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3880 ], + "B": [ 3740 ], + "C": [ 3879 ], + "D": [ 3741 ], + "Z": [ 3948 ] + } + }, + "core_cpu._zz_23__LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3949 ], + "C": [ 3950 ], + "D": [ 3729 ], + "Z": [ 3951 ] + } + }, + "core_cpu._zz_23__LUT4_Z_6_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3952 ], + "BLUT": [ 3953 ], + "C0": [ 3954 ], + "Z": [ 3949 ] + } + }, + "core_cpu._zz_23__LUT4_Z_6_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3955 ], + "D": [ 1881 ], + "Z": [ 3952 ] + } + }, + "core_cpu._zz_23__LUT4_Z_6_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3955 ], + "D": [ 1881 ], + "Z": [ 3953 ] + } + }, + "core_cpu._zz_23__LUT4_Z_6_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3871 ], + "B": [ 3740 ], + "C": [ 3870 ], + "D": [ 3741 ], + "Z": [ 3955 ] + } + }, + "core_cpu._zz_23__LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3956 ], + "C": [ 3957 ], + "D": [ 3729 ], + "Z": [ 3958 ] + } + }, + "core_cpu._zz_23__LUT4_Z_7_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3959 ], + "BLUT": [ 3960 ], + "C0": [ 3961 ], + "Z": [ 3956 ] + } + }, + "core_cpu._zz_23__LUT4_Z_7_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3962 ], + "D": [ 1881 ], + "Z": [ 3959 ] + } + }, + "core_cpu._zz_23__LUT4_Z_7_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3962 ], + "D": [ 1881 ], + "Z": [ 3960 ] + } + }, + "core_cpu._zz_23__LUT4_Z_7_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3862 ], + "B": [ 3740 ], + "C": [ 3861 ], + "D": [ 3741 ], + "Z": [ 3962 ] + } + }, + "core_cpu._zz_23__LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3963 ], + "C": [ 3964 ], + "D": [ 3729 ], + "Z": [ 3965 ] + } + }, + "core_cpu._zz_23__LUT4_Z_8_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3966 ], + "BLUT": [ 3967 ], + "C0": [ 3968 ], + "Z": [ 3963 ] + } + }, + "core_cpu._zz_23__LUT4_Z_8_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3969 ], + "D": [ 1881 ], + "Z": [ 3966 ] + } + }, + "core_cpu._zz_23__LUT4_Z_8_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3969 ], + "D": [ 1881 ], + "Z": [ 3967 ] + } + }, + "core_cpu._zz_23__LUT4_Z_8_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3853 ], + "B": [ 3740 ], + "C": [ 3852 ], + "D": [ 3741 ], + "Z": [ 3969 ] + } + }, + "core_cpu._zz_23__LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3970 ], + "C": [ 3971 ], + "D": [ 3729 ], + "Z": [ 3972 ] + } + }, + "core_cpu._zz_23__LUT4_Z_9_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3973 ], + "BLUT": [ 3974 ], + "C0": [ 3975 ], + "Z": [ 3970 ] + } + }, + "core_cpu._zz_23__LUT4_Z_9_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3976 ], + "D": [ 1881 ], + "Z": [ 3973 ] + } + }, + "core_cpu._zz_23__LUT4_Z_9_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3976 ], + "D": [ 1881 ], + "Z": [ 3974 ] + } + }, + "core_cpu._zz_23__LUT4_Z_9_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3845 ], + "B": [ 3740 ], + "C": [ 3844 ], + "D": [ 3741 ], + "Z": [ 3976 ] + } + }, + "core_cpu._zz_23__LUT4_Z_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 3977 ], + "BLUT": [ 3978 ], + "C0": [ 3979 ], + "Z": [ 3727 ] + } + }, + "core_cpu._zz_23__LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 3980 ], + "D": [ 1881 ], + "Z": [ 3977 ] + } + }, + "core_cpu._zz_23__LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3980 ], + "D": [ 1881 ], + "Z": [ 3978 ] + } + }, + "core_cpu._zz_23__LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3930 ], + "B": [ 3740 ], + "C": [ 3929 ], + "D": [ 3741 ], + "Z": [ 3980 ] + } + }, + "core_cpu._zz_240__LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010001100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1289 ], + "B": [ 1452 ], + "C": [ 1280 ], + "D": [ 1262 ], + "Z": [ 1453 ] + } + }, + "core_cpu._zz_240__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3981 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2907 ], + "Z": [ 1289 ] + } + }, + "core_cpu._zz_240__LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1282 ], + "B": [ 1447 ], + "C": [ 1288 ], + "D": [ 1285 ], + "Z": [ 3981 ] + } + }, + "core_cpu._zz_240__LUT4_Z_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3982 ], + "B": [ 329 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 3983 ] + } + }, + "core_cpu._zz_240__LUT4_Z_A_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2907 ], + "D": [ 3392 ], + "Z": [ 3982 ] + } + }, + "core_cpu._zz_241__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3984 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2929 ], + "Z": [ 1279 ] + } + }, + "core_cpu._zz_241__LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3985 ], + "B": [ 337 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 3986 ] + } + }, + "core_cpu._zz_241__LUT4_Z_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1278 ], + "B": [ 1275 ], + "C": [ 1271 ], + "D": [ 1273 ], + "Z": [ 3984 ] + } + }, + "core_cpu._zz_241__LUT4_Z_A_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2929 ], + "D": [ 2762 ], + "Z": [ 3985 ] + } + }, + "core_cpu._zz_243__LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1267 ], + "B": [ 3987 ], + "C": [ 1280 ], + "D": [ 1262 ], + "Z": [ 1460 ] + } + }, + "core_cpu._zz_243__LUT4_A_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1004 ], + "C": [ 1261 ], + "D": [ 1451 ], + "Z": [ 3987 ] + } + }, + "core_cpu._zz_243__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3988 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2883 ], + "Z": [ 1267 ] + } + }, + "core_cpu._zz_243__LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1265 ], + "B": [ 1260 ], + "C": [ 1264 ], + "D": [ 1444 ], + "Z": [ 3988 ] + } + }, + "core_cpu._zz_243__LUT4_Z_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3989 ], + "B": [ 321 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 3990 ] + } + }, + "core_cpu._zz_243__LUT4_Z_A_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2883 ], + "D": [ 3388 ], + "Z": [ 3989 ] + } + }, + "core_cpu._zz_252__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2340 ], + "D": [ 2339 ], + "Z": [ 3991 ] + } + }, + "core_cpu._zz_253__LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2732 ], + "C": [ 3992 ], + "D": [ 3993 ], + "Z": [ 3994 ] + } + }, + "core_cpu._zz_253__LUT4_C_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3995 ], + "B": [ 2339 ], + "C": [ 3996 ], + "D": [ 3997 ], + "Z": [ 2733 ] + } + }, + "core_cpu._zz_253__LUT4_C_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2339 ], + "C": [ 2340 ], + "D": [ 3242 ], + "Z": [ 2732 ] + } + }, + "core_cpu._zz_253__LUT4_C_B_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2340 ], + "B": [ 2341 ], + "C": [ 3242 ], + "D": [ 2342 ], + "Z": [ 3997 ] + } + }, + "core_cpu._zz_253__LUT4_C_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111011100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2340 ], + "B": [ 2341 ], + "C": [ 3237 ], + "D": [ 2342 ], + "Z": [ 3993 ] + } + }, + "core_cpu._zz_253__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2339 ], + "C": [ 2340 ], + "D": [ 2729 ], + "Z": [ 3992 ] + } + }, + "core_cpu._zz_255__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000001000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2342 ], + "B": [ 2340 ], + "C": [ 2341 ], + "D": [ 3237 ], + "Z": [ 3998 ] + } + }, + "core_cpu._zz_256__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3237 ], + "C": [ 2342 ], + "D": [ 2341 ], + "Z": [ 3999 ] + } + }, + "core_cpu._zz_277__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4000 ], + "B": [ 2729 ], + "C": [ 3242 ], + "D": [ 3991 ], + "Z": [ 4001 ] + } + }, + "core_cpu._zz_277__LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3995 ], + "B": [ 2341 ], + "C": [ 2342 ], + "D": [ 2731 ], + "Z": [ 4000 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4002 ], + "D1": [ 4003 ], + "SD": [ 4004 ], + "Z": [ 4005 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4006 ], + "D1": [ 4007 ], + "SD": [ 4004 ], + "Z": [ 4008 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4009 ], + "D1": [ 4010 ], + "SD": [ 4011 ], + "Z": [ 4006 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4012 ], + "BLUT": [ 4013 ], + "C0": [ 4014 ], + "Z": [ 4009 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4012 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1607 ], + "D": [ 4015 ], + "Z": [ 4013 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000010100000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2545 ], + "B": [ 4016 ], + "C": [ 4015 ], + "D": [ 2517 ], + "Z": [ 4014 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4017 ], + "C": [ 4018 ], + "D": [ 2390 ], + "Z": [ 4016 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2360 ], + "LSR": [ "0" ], + "Q": [ 4018 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2352 ], + "LSR": [ "0" ], + "Q": [ 4017 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4019 ], + "BLUT": [ 4020 ], + "C0": [ 4014 ], + "Z": [ 4010 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3809 ], + "Z": [ 4019 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3809 ], + "Z": [ 4020 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4021 ], + "D1": [ 4022 ], + "SD": [ 4011 ], + "Z": [ 4007 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4023 ], + "BLUT": [ 4024 ], + "C0": [ 4014 ], + "Z": [ 4021 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4025 ], + "Z": [ 4023 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4025 ], + "Z": [ 4024 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4026 ], + "BLUT": [ 4027 ], + "C0": [ 4014 ], + "Z": [ 4022 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4025 ], + "Z": [ 4026 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4025 ], + "Z": [ 4027 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_2": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4028 ], + "D1": [ 4029 ], + "SD": [ 4004 ], + "Z": [ 4030 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4031 ], + "D1": [ 4032 ], + "SD": [ 4011 ], + "Z": [ 4028 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4033 ], + "BLUT": [ 4034 ], + "C0": [ 4035 ], + "Z": [ 4031 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4033 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1619 ], + "D": [ 4015 ], + "Z": [ 4034 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4036 ], + "BLUT": [ 4037 ], + "C0": [ 4035 ], + "Z": [ 4032 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3839 ], + "Z": [ 4036 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3839 ], + "Z": [ 4037 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4038 ], + "D1": [ 4039 ], + "SD": [ 4011 ], + "Z": [ 4029 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4040 ], + "BLUT": [ 4041 ], + "C0": [ 4035 ], + "Z": [ 4038 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4042 ], + "Z": [ 4040 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4042 ], + "Z": [ 4041 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4043 ], + "BLUT": [ 4044 ], + "C0": [ 4035 ], + "Z": [ 4039 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4042 ], + "Z": [ 4043 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4042 ], + "Z": [ 4044 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4045 ], + "D1": [ 4046 ], + "SD": [ 4011 ], + "Z": [ 4002 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4047 ], + "BLUT": [ 4048 ], + "C0": [ 4049 ], + "Z": [ 4045 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4047 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1597 ], + "D": [ 4015 ], + "Z": [ 4048 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000010100000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2543 ], + "B": [ 4050 ], + "C": [ 4015 ], + "D": [ 2517 ], + "Z": [ 4049 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4051 ], + "C": [ 4052 ], + "D": [ 2390 ], + "Z": [ 4050 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2362 ], + "LSR": [ "0" ], + "Q": [ 4052 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2354 ], + "LSR": [ "0" ], + "Q": [ 4051 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4053 ], + "BLUT": [ 4054 ], + "C0": [ 4049 ], + "Z": [ 4046 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3799 ], + "Z": [ 4053 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3799 ], + "Z": [ 4054 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4055 ], + "D1": [ 4056 ], + "SD": [ 4011 ], + "Z": [ 4003 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4057 ], + "BLUT": [ 4058 ], + "C0": [ 4049 ], + "Z": [ 4055 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4059 ], + "Z": [ 4057 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4059 ], + "Z": [ 4058 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4060 ], + "BLUT": [ 4061 ], + "C0": [ 4049 ], + "Z": [ 4056 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4059 ], + "Z": [ 4060 ] + } + }, + "core_cpu._zz_27__L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4059 ], + "Z": [ 4061 ] + } + }, + "core_cpu._zz_27__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4062 ], + "C": [ 4063 ], + "D": [ 4004 ], + "Z": [ 4064 ] + } + }, + "core_cpu._zz_27__LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4065 ], + "C": [ 4066 ], + "D": [ 4004 ], + "Z": [ 4067 ] + } + }, + "core_cpu._zz_27__LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4068 ], + "C": [ 4069 ], + "D": [ 4004 ], + "Z": [ 4070 ] + } + }, + "core_cpu._zz_27__LUT4_Z_10_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1202 ], + "D": [ 4071 ], + "Z": [ 4072 ] + } + }, + "core_cpu._zz_27__LUT4_Z_10_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4073 ], + "BLUT": [ 4074 ], + "C0": [ 4011 ], + "Z": [ 4068 ] + } + }, + "core_cpu._zz_27__LUT4_Z_10_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3736 ], + "Z": [ 4073 ] + } + }, + "core_cpu._zz_27__LUT4_Z_10_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1569 ], + "C": [ 4075 ], + "D": [ 4015 ], + "Z": [ 4074 ] + } + }, + "core_cpu._zz_27__LUT4_Z_10_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4076 ], + "BLUT": [ 4077 ], + "C0": [ 2517 ], + "Z": [ 4075 ] + } + }, + "core_cpu._zz_27__LUT4_Z_10_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2536 ], + "Z": [ 4076 ] + } + }, + "core_cpu._zz_27__LUT4_Z_10_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4078 ], + "C": [ 4079 ], + "D": [ 2390 ], + "Z": [ 4077 ] + } + }, + "core_cpu._zz_27__LUT4_Z_10_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2410 ], + "LSR": [ "0" ], + "Q": [ 4078 ] + } + }, + "core_cpu._zz_27__LUT4_Z_10_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2422 ], + "LSR": [ "0" ], + "Q": [ 4079 ] + } + }, + "core_cpu._zz_27__LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4080 ], + "C": [ 4081 ], + "D": [ 4004 ], + "Z": [ 4082 ] + } + }, + "core_cpu._zz_27__LUT4_Z_11_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1204 ], + "D": [ 4071 ], + "Z": [ 4083 ] + } + }, + "core_cpu._zz_27__LUT4_Z_11_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4084 ], + "BLUT": [ 4085 ], + "C0": [ 4011 ], + "Z": [ 4080 ] + } + }, + "core_cpu._zz_27__LUT4_Z_11_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3747 ], + "Z": [ 4084 ] + } + }, + "core_cpu._zz_27__LUT4_Z_11_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1573 ], + "C": [ 4086 ], + "D": [ 4015 ], + "Z": [ 4085 ] + } + }, + "core_cpu._zz_27__LUT4_Z_11_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4087 ], + "BLUT": [ 4088 ], + "C0": [ 2517 ], + "Z": [ 4086 ] + } + }, + "core_cpu._zz_27__LUT4_Z_11_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2537 ], + "Z": [ 4087 ] + } + }, + "core_cpu._zz_27__LUT4_Z_11_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4089 ], + "C": [ 4090 ], + "D": [ 2390 ], + "Z": [ 4088 ] + } + }, + "core_cpu._zz_27__LUT4_Z_11_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2409 ], + "LSR": [ "0" ], + "Q": [ 4089 ] + } + }, + "core_cpu._zz_27__LUT4_Z_11_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2421 ], + "LSR": [ "0" ], + "Q": [ 4090 ] + } + }, + "core_cpu._zz_27__LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4091 ], + "C": [ 4092 ], + "D": [ 4093 ], + "Z": [ 4094 ] + } + }, + "core_cpu._zz_27__LUT4_Z_12_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1206 ], + "D": [ 4071 ], + "Z": [ 4095 ] + } + }, + "core_cpu._zz_27__LUT4_Z_12_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4004 ], + "D": [ 4096 ], + "Z": [ 4093 ] + } + }, + "core_cpu._zz_27__LUT4_Z_12_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4011 ], + "C": [ 3756 ], + "D": [ 4004 ], + "Z": [ 4092 ] + } + }, + "core_cpu._zz_27__LUT4_Z_12_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1577 ], + "B": [ 4015 ], + "C": [ 4097 ], + "D": [ 4011 ], + "Z": [ 4091 ] + } + }, + "core_cpu._zz_27__LUT4_Z_12_B_LUT4_Z_3_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2538 ], + "B": [ 2396 ], + "C": [ 4015 ], + "D": [ 2517 ], + "Z": [ 4097 ] + } + }, + "core_cpu._zz_27__LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4098 ], + "C": [ 4099 ], + "D": [ 4100 ], + "Z": [ 4101 ] + } + }, + "core_cpu._zz_27__LUT4_Z_13_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1208 ], + "D": [ 4071 ], + "Z": [ 4102 ] + } + }, + "core_cpu._zz_27__LUT4_Z_13_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4004 ], + "D": [ 4103 ], + "Z": [ 4100 ] + } + }, + "core_cpu._zz_27__LUT4_Z_13_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4011 ], + "C": [ 3765 ], + "D": [ 4004 ], + "Z": [ 4099 ] + } + }, + "core_cpu._zz_27__LUT4_Z_13_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1581 ], + "B": [ 4015 ], + "C": [ 4104 ], + "D": [ 4011 ], + "Z": [ 4098 ] + } + }, + "core_cpu._zz_27__LUT4_Z_13_B_LUT4_Z_3_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2539 ], + "B": [ 2391 ], + "C": [ 4015 ], + "D": [ 2517 ], + "Z": [ 4104 ] + } + }, + "core_cpu._zz_27__LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4105 ], + "C": [ 4106 ], + "D": [ 4107 ], + "Z": [ 4108 ] + } + }, + "core_cpu._zz_27__LUT4_Z_14_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1210 ], + "D": [ 4071 ], + "Z": [ 4109 ] + } + }, + "core_cpu._zz_27__LUT4_Z_14_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4004 ], + "D": [ 4110 ], + "Z": [ 4107 ] + } + }, + "core_cpu._zz_27__LUT4_Z_14_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4011 ], + "C": [ 3774 ], + "D": [ 4004 ], + "Z": [ 4106 ] + } + }, + "core_cpu._zz_27__LUT4_Z_14_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1585 ], + "B": [ 4015 ], + "C": [ 4111 ], + "D": [ 4011 ], + "Z": [ 4105 ] + } + }, + "core_cpu._zz_27__LUT4_Z_14_B_LUT4_Z_3_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2540 ], + "B": [ 2393 ], + "C": [ 4015 ], + "D": [ 2517 ], + "Z": [ 4111 ] + } + }, + "core_cpu._zz_27__LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4112 ], + "C": [ 4113 ], + "D": [ 4114 ], + "Z": [ 4115 ] + } + }, + "core_cpu._zz_27__LUT4_Z_15_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1212 ], + "D": [ 4071 ], + "Z": [ 4116 ] + } + }, + "core_cpu._zz_27__LUT4_Z_15_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4004 ], + "D": [ 4117 ], + "Z": [ 4114 ] + } + }, + "core_cpu._zz_27__LUT4_Z_15_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4011 ], + "C": [ 3783 ], + "D": [ 4004 ], + "Z": [ 4113 ] + } + }, + "core_cpu._zz_27__LUT4_Z_15_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1589 ], + "B": [ 4015 ], + "C": [ 4118 ], + "D": [ 4011 ], + "Z": [ 4112 ] + } + }, + "core_cpu._zz_27__LUT4_Z_15_B_LUT4_Z_3_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2541 ], + "B": [ 2395 ], + "C": [ 4015 ], + "D": [ 2517 ], + "Z": [ 4118 ] + } + }, + "core_cpu._zz_27__LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4119 ], + "C": [ 4120 ], + "D": [ 4004 ], + "Z": [ 4121 ] + } + }, + "core_cpu._zz_27__LUT4_Z_16_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4122 ], + "BLUT": [ 4123 ], + "C0": [ 4011 ], + "Z": [ 4119 ] + } + }, + "core_cpu._zz_27__LUT4_Z_16_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3856 ], + "Z": [ 4122 ] + } + }, + "core_cpu._zz_27__LUT4_Z_16_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4124 ], + "C": [ 1627 ], + "D": [ 4015 ], + "Z": [ 4123 ] + } + }, + "core_cpu._zz_27__LUT4_Z_16_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4125 ], + "BLUT": [ 4126 ], + "C0": [ 2517 ], + "Z": [ 4124 ] + } + }, + "core_cpu._zz_27__LUT4_Z_16_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2550 ], + "Z": [ 4125 ] + } + }, + "core_cpu._zz_27__LUT4_Z_16_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4127 ], + "C": [ 4128 ], + "D": [ 2390 ], + "Z": [ 4126 ] + } + }, + "core_cpu._zz_27__LUT4_Z_16_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2313 ], + "LSR": [ "0" ], + "Q": [ 4128 ] + } + }, + "core_cpu._zz_27__LUT4_Z_16_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2305 ], + "LSR": [ "0" ], + "Q": [ 4127 ] + } + }, + "core_cpu._zz_27__LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4129 ], + "C": [ 4130 ], + "D": [ 4004 ], + "Z": [ 4131 ] + } + }, + "core_cpu._zz_27__LUT4_Z_17_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4132 ], + "BLUT": [ 4133 ], + "C0": [ 4011 ], + "Z": [ 4129 ] + } + }, + "core_cpu._zz_27__LUT4_Z_17_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3865 ], + "Z": [ 4132 ] + } + }, + "core_cpu._zz_27__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4134 ], + "C": [ 1631 ], + "D": [ 4015 ], + "Z": [ 4133 ] + } + }, + "core_cpu._zz_27__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4135 ], + "BLUT": [ 4136 ], + "C0": [ 2517 ], + "Z": [ 4134 ] + } + }, + "core_cpu._zz_27__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2551 ], + "Z": [ 4135 ] + } + }, + "core_cpu._zz_27__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4137 ], + "C": [ 4138 ], + "D": [ 2390 ], + "Z": [ 4136 ] + } + }, + "core_cpu._zz_27__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2312 ], + "LSR": [ "0" ], + "Q": [ 4138 ] + } + }, + "core_cpu._zz_27__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2304 ], + "LSR": [ "0" ], + "Q": [ 4137 ] + } + }, + "core_cpu._zz_27__LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4139 ], + "C": [ 4140 ], + "D": [ 4004 ], + "Z": [ 4141 ] + } + }, + "core_cpu._zz_27__LUT4_Z_18_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4142 ], + "BLUT": [ 4143 ], + "C0": [ 4011 ], + "Z": [ 4139 ] + } + }, + "core_cpu._zz_27__LUT4_Z_18_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3874 ], + "Z": [ 4142 ] + } + }, + "core_cpu._zz_27__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4144 ], + "C": [ 1635 ], + "D": [ 4015 ], + "Z": [ 4143 ] + } + }, + "core_cpu._zz_27__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4145 ], + "BLUT": [ 4146 ], + "C0": [ 2517 ], + "Z": [ 4144 ] + } + }, + "core_cpu._zz_27__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2552 ], + "Z": [ 4145 ] + } + }, + "core_cpu._zz_27__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4147 ], + "C": [ 4148 ], + "D": [ 2390 ], + "Z": [ 4146 ] + } + }, + "core_cpu._zz_27__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2311 ], + "LSR": [ "0" ], + "Q": [ 4148 ] + } + }, + "core_cpu._zz_27__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2303 ], + "LSR": [ "0" ], + "Q": [ 4147 ] + } + }, + "core_cpu._zz_27__LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4149 ], + "C": [ 4150 ], + "D": [ 4004 ], + "Z": [ 4151 ] + } + }, + "core_cpu._zz_27__LUT4_Z_19_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4152 ], + "BLUT": [ 4153 ], + "C0": [ 4011 ], + "Z": [ 4149 ] + } + }, + "core_cpu._zz_27__LUT4_Z_19_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3883 ], + "Z": [ 4152 ] + } + }, + "core_cpu._zz_27__LUT4_Z_19_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4154 ], + "C": [ 1639 ], + "D": [ 4015 ], + "Z": [ 4153 ] + } + }, + "core_cpu._zz_27__LUT4_Z_19_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4155 ], + "BLUT": [ 4156 ], + "C0": [ 2517 ], + "Z": [ 4154 ] + } + }, + "core_cpu._zz_27__LUT4_Z_19_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2553 ], + "Z": [ 4155 ] + } + }, + "core_cpu._zz_27__LUT4_Z_19_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4157 ], + "C": [ 4158 ], + "D": [ 2390 ], + "Z": [ 4156 ] + } + }, + "core_cpu._zz_27__LUT4_Z_19_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2310 ], + "LSR": [ "0" ], + "Q": [ 4158 ] + } + }, + "core_cpu._zz_27__LUT4_Z_19_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2302 ], + "LSR": [ "0" ], + "Q": [ 4157 ] + } + }, + "core_cpu._zz_27__LUT4_Z_1_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1200 ], + "D": [ 4071 ], + "Z": [ 4159 ] + } + }, + "core_cpu._zz_27__LUT4_Z_1_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4160 ], + "BLUT": [ 4161 ], + "C0": [ 4011 ], + "Z": [ 4065 ] + } + }, + "core_cpu._zz_27__LUT4_Z_1_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3733 ], + "Z": [ 4160 ] + } + }, + "core_cpu._zz_27__LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1653 ], + "C": [ 4162 ], + "D": [ 4015 ], + "Z": [ 4161 ] + } + }, + "core_cpu._zz_27__LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4163 ], + "BLUT": [ 4164 ], + "C0": [ 2517 ], + "Z": [ 4162 ] + } + }, + "core_cpu._zz_27__LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2535 ], + "Z": [ 4163 ] + } + }, + "core_cpu._zz_27__LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4165 ], + "C": [ 4166 ], + "D": [ 2390 ], + "Z": [ 4164 ] + } + }, + "core_cpu._zz_27__LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2470 ], + "LSR": [ "0" ], + "Q": [ 4165 ] + } + }, + "core_cpu._zz_27__LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2483 ], + "LSR": [ "0" ], + "Q": [ 4166 ] + } + }, + "core_cpu._zz_27__LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4167 ], + "C": [ 4168 ], + "D": [ 4169 ], + "Z": [ 4170 ] + } + }, + "core_cpu._zz_27__LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4171 ], + "C": [ 4172 ], + "D": [ 4004 ], + "Z": [ 4173 ] + } + }, + "core_cpu._zz_27__LUT4_Z_20_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4174 ], + "BLUT": [ 4175 ], + "C0": [ 4011 ], + "Z": [ 4171 ] + } + }, + "core_cpu._zz_27__LUT4_Z_20_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3892 ], + "Z": [ 4174 ] + } + }, + "core_cpu._zz_27__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4176 ], + "C": [ 1647 ], + "D": [ 4015 ], + "Z": [ 4175 ] + } + }, + "core_cpu._zz_27__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4177 ], + "BLUT": [ 4178 ], + "C0": [ 2517 ], + "Z": [ 4176 ] + } + }, + "core_cpu._zz_27__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2554 ], + "Z": [ 4177 ] + } + }, + "core_cpu._zz_27__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4179 ], + "C": [ 4180 ], + "D": [ 2390 ], + "Z": [ 4178 ] + } + }, + "core_cpu._zz_27__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2275 ], + "LSR": [ "0" ], + "Q": [ 4179 ] + } + }, + "core_cpu._zz_27__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2296 ], + "LSR": [ "0" ], + "Q": [ 4180 ] + } + }, + "core_cpu._zz_27__LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4181 ], + "C": [ 4182 ], + "D": [ 4004 ], + "Z": [ 4183 ] + } + }, + "core_cpu._zz_27__LUT4_Z_21_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4184 ], + "BLUT": [ 4185 ], + "C0": [ 4011 ], + "Z": [ 4181 ] + } + }, + "core_cpu._zz_27__LUT4_Z_21_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3901 ], + "Z": [ 4184 ] + } + }, + "core_cpu._zz_27__LUT4_Z_21_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4186 ], + "C": [ 1651 ], + "D": [ 4015 ], + "Z": [ 4185 ] + } + }, + "core_cpu._zz_27__LUT4_Z_21_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4187 ], + "BLUT": [ 4188 ], + "C0": [ 2517 ], + "Z": [ 4186 ] + } + }, + "core_cpu._zz_27__LUT4_Z_21_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2555 ], + "Z": [ 4187 ] + } + }, + "core_cpu._zz_27__LUT4_Z_21_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4189 ], + "C": [ 4190 ], + "D": [ 2390 ], + "Z": [ 4188 ] + } + }, + "core_cpu._zz_27__LUT4_Z_21_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2274 ], + "LSR": [ "0" ], + "Q": [ 4189 ] + } + }, + "core_cpu._zz_27__LUT4_Z_21_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2295 ], + "LSR": [ "0" ], + "Q": [ 4190 ] + } + }, + "core_cpu._zz_27__LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4191 ], + "C": [ 4192 ], + "D": [ 4004 ], + "Z": [ 4193 ] + } + }, + "core_cpu._zz_27__LUT4_Z_22_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4194 ], + "BLUT": [ 4195 ], + "C0": [ 4011 ], + "Z": [ 4191 ] + } + }, + "core_cpu._zz_27__LUT4_Z_22_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3917 ], + "Z": [ 4194 ] + } + }, + "core_cpu._zz_27__LUT4_Z_22_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4196 ], + "C": [ 1554 ], + "D": [ 4015 ], + "Z": [ 4195 ] + } + }, + "core_cpu._zz_27__LUT4_Z_22_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4197 ], + "BLUT": [ 4198 ], + "C0": [ 2517 ], + "Z": [ 4196 ] + } + }, + "core_cpu._zz_27__LUT4_Z_22_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2557 ], + "Z": [ 4197 ] + } + }, + "core_cpu._zz_27__LUT4_Z_22_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4199 ], + "C": [ 4200 ], + "D": [ 2390 ], + "Z": [ 4198 ] + } + }, + "core_cpu._zz_27__LUT4_Z_22_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2273 ], + "LSR": [ "0" ], + "Q": [ 4199 ] + } + }, + "core_cpu._zz_27__LUT4_Z_22_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2294 ], + "LSR": [ "0" ], + "Q": [ 4200 ] + } + }, + "core_cpu._zz_27__LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4201 ], + "C": [ 4202 ], + "D": [ 4004 ], + "Z": [ 4203 ] + } + }, + "core_cpu._zz_27__LUT4_Z_23_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4204 ], + "BLUT": [ 4205 ], + "C0": [ 4011 ], + "Z": [ 4201 ] + } + }, + "core_cpu._zz_27__LUT4_Z_23_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3924 ], + "Z": [ 4204 ] + } + }, + "core_cpu._zz_27__LUT4_Z_23_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4206 ], + "C": [ 1557 ], + "D": [ 4015 ], + "Z": [ 4205 ] + } + }, + "core_cpu._zz_27__LUT4_Z_2_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1222 ], + "D": [ 4071 ], + "Z": [ 4207 ] + } + }, + "core_cpu._zz_27__LUT4_Z_2_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4004 ], + "D": [ 4208 ], + "Z": [ 4169 ] + } + }, + "core_cpu._zz_27__LUT4_Z_2_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4011 ], + "C": [ 3822 ], + "D": [ 4004 ], + "Z": [ 4168 ] + } + }, + "core_cpu._zz_27__LUT4_Z_2_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1657 ], + "B": [ 4015 ], + "C": [ 4209 ], + "D": [ 4011 ], + "Z": [ 4167 ] + } + }, + "core_cpu._zz_27__LUT4_Z_2_B_LUT4_Z_3_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2546 ], + "B": [ 2486 ], + "C": [ 4015 ], + "D": [ 2517 ], + "Z": [ 4209 ] + } + }, + "core_cpu._zz_27__LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4210 ], + "C": [ 4211 ], + "D": [ 4004 ], + "Z": [ 4212 ] + } + }, + "core_cpu._zz_27__LUT4_Z_3_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1244 ], + "D": [ 4071 ], + "Z": [ 4213 ] + } + }, + "core_cpu._zz_27__LUT4_Z_3_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4214 ], + "BLUT": [ 4215 ], + "C0": [ 4011 ], + "Z": [ 4210 ] + } + }, + "core_cpu._zz_27__LUT4_Z_3_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3914 ], + "Z": [ 4214 ] + } + }, + "core_cpu._zz_27__LUT4_Z_3_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1661 ], + "C": [ 4216 ], + "D": [ 4015 ], + "Z": [ 4215 ] + } + }, + "core_cpu._zz_27__LUT4_Z_3_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4217 ], + "BLUT": [ 4218 ], + "C0": [ 2517 ], + "Z": [ 4216 ] + } + }, + "core_cpu._zz_27__LUT4_Z_3_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2556 ], + "Z": [ 4217 ] + } + }, + "core_cpu._zz_27__LUT4_Z_3_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4219 ], + "C": [ 4220 ], + "D": [ 2390 ], + "Z": [ 4218 ] + } + }, + "core_cpu._zz_27__LUT4_Z_3_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2468 ], + "LSR": [ "0" ], + "Q": [ 4219 ] + } + }, + "core_cpu._zz_27__LUT4_Z_3_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2481 ], + "LSR": [ "0" ], + "Q": [ 4220 ] + } + }, + "core_cpu._zz_27__LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4221 ], + "C": [ 4222 ], + "D": [ 4223 ], + "Z": [ 4224 ] + } + }, + "core_cpu._zz_27__LUT4_Z_4_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1246 ], + "D": [ 4071 ], + "Z": [ 4225 ] + } + }, + "core_cpu._zz_27__LUT4_Z_4_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4004 ], + "D": [ 4226 ], + "Z": [ 4223 ] + } + }, + "core_cpu._zz_27__LUT4_Z_4_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4011 ], + "C": [ 3937 ], + "D": [ 4004 ], + "Z": [ 4222 ] + } + }, + "core_cpu._zz_27__LUT4_Z_4_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1665 ], + "B": [ 4015 ], + "C": [ 4227 ], + "D": [ 4011 ], + "Z": [ 4221 ] + } + }, + "core_cpu._zz_27__LUT4_Z_4_B_LUT4_Z_3_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2559 ], + "B": [ 2455 ], + "C": [ 4015 ], + "D": [ 2517 ], + "Z": [ 4227 ] + } + }, + "core_cpu._zz_27__LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4228 ], + "C": [ 4229 ], + "D": [ 4004 ], + "Z": [ 4230 ] + } + }, + "core_cpu._zz_27__LUT4_Z_5_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1248 ], + "D": [ 4071 ], + "Z": [ 4231 ] + } + }, + "core_cpu._zz_27__LUT4_Z_5_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4232 ], + "BLUT": [ 4233 ], + "C0": [ 4011 ], + "Z": [ 4228 ] + } + }, + "core_cpu._zz_27__LUT4_Z_5_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3944 ], + "Z": [ 4232 ] + } + }, + "core_cpu._zz_27__LUT4_Z_5_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1669 ], + "C": [ 4234 ], + "D": [ 4015 ], + "Z": [ 4233 ] + } + }, + "core_cpu._zz_27__LUT4_Z_5_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4235 ], + "BLUT": [ 4236 ], + "C0": [ 2517 ], + "Z": [ 4234 ] + } + }, + "core_cpu._zz_27__LUT4_Z_5_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2560 ], + "Z": [ 4235 ] + } + }, + "core_cpu._zz_27__LUT4_Z_5_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4237 ], + "C": [ 4238 ], + "D": [ 2390 ], + "Z": [ 4236 ] + } + }, + "core_cpu._zz_27__LUT4_Z_5_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2439 ], + "LSR": [ "0" ], + "Q": [ 4237 ] + } + }, + "core_cpu._zz_27__LUT4_Z_5_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2452 ], + "LSR": [ "0" ], + "Q": [ 4238 ] + } + }, + "core_cpu._zz_27__LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4239 ], + "C": [ 4240 ], + "D": [ 4004 ], + "Z": [ 4241 ] + } + }, + "core_cpu._zz_27__LUT4_Z_6_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1250 ], + "D": [ 4071 ], + "Z": [ 4242 ] + } + }, + "core_cpu._zz_27__LUT4_Z_6_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4243 ], + "BLUT": [ 4244 ], + "C0": [ 4011 ], + "Z": [ 4239 ] + } + }, + "core_cpu._zz_27__LUT4_Z_6_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3951 ], + "Z": [ 4243 ] + } + }, + "core_cpu._zz_27__LUT4_Z_6_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1673 ], + "C": [ 4245 ], + "D": [ 4015 ], + "Z": [ 4244 ] + } + }, + "core_cpu._zz_27__LUT4_Z_6_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4246 ], + "BLUT": [ 4247 ], + "C0": [ 2517 ], + "Z": [ 4245 ] + } + }, + "core_cpu._zz_27__LUT4_Z_6_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2561 ], + "Z": [ 4246 ] + } + }, + "core_cpu._zz_27__LUT4_Z_6_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4248 ], + "C": [ 4249 ], + "D": [ 2390 ], + "Z": [ 4247 ] + } + }, + "core_cpu._zz_27__LUT4_Z_6_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2438 ], + "LSR": [ "0" ], + "Q": [ 4248 ] + } + }, + "core_cpu._zz_27__LUT4_Z_6_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2451 ], + "LSR": [ "0" ], + "Q": [ 4249 ] + } + }, + "core_cpu._zz_27__LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4250 ], + "C": [ 4251 ], + "D": [ 4004 ], + "Z": [ 4252 ] + } + }, + "core_cpu._zz_27__LUT4_Z_7_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1252 ], + "D": [ 4071 ], + "Z": [ 4253 ] + } + }, + "core_cpu._zz_27__LUT4_Z_7_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4254 ], + "BLUT": [ 4255 ], + "C0": [ 4011 ], + "Z": [ 4250 ] + } + }, + "core_cpu._zz_27__LUT4_Z_7_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3958 ], + "Z": [ 4254 ] + } + }, + "core_cpu._zz_27__LUT4_Z_7_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1677 ], + "C": [ 4256 ], + "D": [ 4015 ], + "Z": [ 4255 ] + } + }, + "core_cpu._zz_27__LUT4_Z_7_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4257 ], + "BLUT": [ 4258 ], + "C0": [ 2517 ], + "Z": [ 4256 ] + } + }, + "core_cpu._zz_27__LUT4_Z_7_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2562 ], + "Z": [ 4257 ] + } + }, + "core_cpu._zz_27__LUT4_Z_7_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4259 ], + "C": [ 4260 ], + "D": [ 2390 ], + "Z": [ 4258 ] + } + }, + "core_cpu._zz_27__LUT4_Z_7_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2437 ], + "LSR": [ "0" ], + "Q": [ 4259 ] + } + }, + "core_cpu._zz_27__LUT4_Z_7_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2450 ], + "LSR": [ "0" ], + "Q": [ 4260 ] + } + }, + "core_cpu._zz_27__LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4261 ], + "C": [ 4262 ], + "D": [ 4004 ], + "Z": [ 4263 ] + } + }, + "core_cpu._zz_27__LUT4_Z_8_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1254 ], + "D": [ 4071 ], + "Z": [ 4264 ] + } + }, + "core_cpu._zz_27__LUT4_Z_8_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4265 ], + "BLUT": [ 4266 ], + "C0": [ 4011 ], + "Z": [ 4261 ] + } + }, + "core_cpu._zz_27__LUT4_Z_8_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3965 ], + "Z": [ 4265 ] + } + }, + "core_cpu._zz_27__LUT4_Z_8_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1561 ], + "C": [ 4267 ], + "D": [ 4015 ], + "Z": [ 4266 ] + } + }, + "core_cpu._zz_27__LUT4_Z_8_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4268 ], + "BLUT": [ 4269 ], + "C0": [ 2517 ], + "Z": [ 4267 ] + } + }, + "core_cpu._zz_27__LUT4_Z_8_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2563 ], + "Z": [ 4268 ] + } + }, + "core_cpu._zz_27__LUT4_Z_8_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4270 ], + "C": [ 4271 ], + "D": [ 2390 ], + "Z": [ 4269 ] + } + }, + "core_cpu._zz_27__LUT4_Z_8_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2412 ], + "LSR": [ "0" ], + "Q": [ 4270 ] + } + }, + "core_cpu._zz_27__LUT4_Z_8_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2424 ], + "LSR": [ "0" ], + "Q": [ 4271 ] + } + }, + "core_cpu._zz_27__LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4272 ], + "C": [ 4273 ], + "D": [ 4004 ], + "Z": [ 4274 ] + } + }, + "core_cpu._zz_27__LUT4_Z_9_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1256 ], + "D": [ 4071 ], + "Z": [ 4275 ] + } + }, + "core_cpu._zz_27__LUT4_Z_9_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4276 ], + "BLUT": [ 4277 ], + "C0": [ 4011 ], + "Z": [ 4272 ] + } + }, + "core_cpu._zz_27__LUT4_Z_9_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3972 ], + "Z": [ 4276 ] + } + }, + "core_cpu._zz_27__LUT4_Z_9_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1565 ], + "C": [ 4278 ], + "D": [ 4015 ], + "Z": [ 4277 ] + } + }, + "core_cpu._zz_27__LUT4_Z_9_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4279 ], + "BLUT": [ 4280 ], + "C0": [ 2517 ], + "Z": [ 4278 ] + } + }, + "core_cpu._zz_27__LUT4_Z_9_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2564 ], + "Z": [ 4279 ] + } + }, + "core_cpu._zz_27__LUT4_Z_9_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4281 ], + "C": [ 4282 ], + "D": [ 2390 ], + "Z": [ 4280 ] + } + }, + "core_cpu._zz_27__LUT4_Z_9_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2411 ], + "LSR": [ "0" ], + "Q": [ 4281 ] + } + }, + "core_cpu._zz_27__LUT4_Z_9_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2423 ], + "LSR": [ "0" ], + "Q": [ 4282 ] + } + }, + "core_cpu._zz_27__LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2340 ], + "C": [ 1198 ], + "D": [ 4071 ], + "Z": [ 4283 ] + } + }, + "core_cpu._zz_27__LUT4_Z_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4284 ], + "BLUT": [ 4285 ], + "C0": [ 4011 ], + "Z": [ 4062 ] + } + }, + "core_cpu._zz_27__LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3730 ], + "Z": [ 4284 ] + } + }, + "core_cpu._zz_27__LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1641 ], + "C": [ 4286 ], + "D": [ 4015 ], + "Z": [ 4285 ] + } + }, + "core_cpu._zz_27__LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4287 ], + "BLUT": [ 4288 ], + "C0": [ 2517 ], + "Z": [ 4286 ] + } + }, + "core_cpu._zz_27__LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2534 ], + "Z": [ 4287 ] + } + }, + "core_cpu._zz_27__LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4289 ], + "C": [ 4290 ], + "D": [ 2390 ], + "Z": [ 4288 ] + } + }, + "core_cpu._zz_27__LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2471 ], + "LSR": [ "0" ], + "Q": [ 4289 ] + } + }, + "core_cpu._zz_27__LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2484 ], + "LSR": [ "0" ], + "Q": [ 4290 ] + } + }, + "core_cpu._zz_27__PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4291 ], + "BLUT": [ 4292 ], + "C0": [ 4293 ], + "Z": [ 4294 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4295 ], + "BLUT": [ 4296 ], + "C0": [ 4297 ], + "Z": [ 4298 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4295 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011111100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1603 ], + "B": [ 4015 ], + "C": [ 4299 ], + "D": [ 4300 ], + "Z": [ 4296 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_1_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4301 ], + "D": [ 4004 ], + "Z": [ 4297 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_1_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4011 ], + "C": [ 3802 ], + "D": [ 4004 ], + "Z": [ 4299 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_1_C0_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4302 ], + "C": [ 4015 ], + "D": [ 4011 ], + "Z": [ 4300 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_1_C0_LUT4_Z_2_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4303 ], + "BLUT": [ 4304 ], + "C0": [ 2517 ], + "Z": [ 4302 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_1_C0_LUT4_Z_2_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2544 ], + "Z": [ 4303 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_1_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4305 ], + "C": [ 4306 ], + "D": [ 2390 ], + "Z": [ 4304 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_1_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2361 ], + "LSR": [ "0" ], + "Q": [ 4306 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_1_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2353 ], + "LSR": [ "0" ], + "Q": [ 4305 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_2": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4307 ], + "BLUT": [ 4308 ], + "C0": [ 4309 ], + "Z": [ 4310 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_2_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4307 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_2_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011111100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1611 ], + "B": [ 4015 ], + "C": [ 4311 ], + "D": [ 4312 ], + "Z": [ 4308 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_2_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4313 ], + "D": [ 4004 ], + "Z": [ 4309 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_2_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4011 ], + "C": [ 3825 ], + "D": [ 4004 ], + "Z": [ 4311 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_2_C0_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4314 ], + "C": [ 4015 ], + "D": [ 4011 ], + "Z": [ 4312 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_2_C0_LUT4_Z_2_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4315 ], + "BLUT": [ 4316 ], + "C0": [ 2517 ], + "Z": [ 4314 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_2_C0_LUT4_Z_2_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2547 ], + "Z": [ 4315 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_2_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4317 ], + "C": [ 4318 ], + "D": [ 2390 ], + "Z": [ 4316 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_2_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2347 ], + "LSR": [ "0" ], + "Q": [ 4318 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_2_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2321 ], + "LSR": [ "0" ], + "Q": [ 4317 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_3": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4319 ], + "BLUT": [ 4320 ], + "C0": [ 4321 ], + "Z": [ 4322 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_3_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4319 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_3_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011111100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1615 ], + "B": [ 4015 ], + "C": [ 4323 ], + "D": [ 4324 ], + "Z": [ 4320 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_3_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4325 ], + "D": [ 4004 ], + "Z": [ 4321 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_3_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4011 ], + "C": [ 3832 ], + "D": [ 4004 ], + "Z": [ 4323 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_3_C0_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4326 ], + "C": [ 4015 ], + "D": [ 4011 ], + "Z": [ 4324 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_3_C0_LUT4_Z_2_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4327 ], + "BLUT": [ 4328 ], + "C0": [ 2517 ], + "Z": [ 4326 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_3_C0_LUT4_Z_2_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2548 ], + "Z": [ 4327 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_3_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4329 ], + "C": [ 4330 ], + "D": [ 2390 ], + "Z": [ 4328 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_3_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2346 ], + "LSR": [ "0" ], + "Q": [ 4330 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_3_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2320 ], + "LSR": [ "0" ], + "Q": [ 4329 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_4": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4331 ], + "BLUT": [ 4332 ], + "C0": [ 4333 ], + "Z": [ 4334 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_4_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4331 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_4_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011111100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1623 ], + "B": [ 4015 ], + "C": [ 4335 ], + "D": [ 4336 ], + "Z": [ 4332 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_4_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4337 ], + "D": [ 4004 ], + "Z": [ 4333 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_4_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4011 ], + "C": [ 2337 ], + "D": [ 4004 ], + "Z": [ 4335 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_4_C0_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4338 ], + "C": [ 4015 ], + "D": [ 4011 ], + "Z": [ 4336 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_4_C0_LUT4_Z_2_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4339 ], + "BLUT": [ 4340 ], + "C0": [ 2517 ], + "Z": [ 4338 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_4_C0_LUT4_Z_2_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2333 ], + "Z": [ 4339 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_4_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4341 ], + "C": [ 4342 ], + "D": [ 2390 ], + "Z": [ 4340 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_4_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2344 ], + "LSR": [ "0" ], + "Q": [ 4341 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_4_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2318 ], + "LSR": [ "0" ], + "Q": [ 4342 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4291 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011111100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1593 ], + "B": [ 4015 ], + "C": [ 4343 ], + "D": [ 4344 ], + "Z": [ 4292 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4345 ], + "D": [ 4004 ], + "Z": [ 4293 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4011 ], + "C": [ 3792 ], + "D": [ 4004 ], + "Z": [ 4343 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_C0_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4346 ], + "C": [ 4015 ], + "D": [ 4011 ], + "Z": [ 4344 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_C0_LUT4_Z_2_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4347 ], + "BLUT": [ 4348 ], + "C0": [ 2517 ], + "Z": [ 4346 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_C0_LUT4_Z_2_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2542 ], + "Z": [ 4347 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4349 ], + "C": [ 4350 ], + "D": [ 2390 ], + "Z": [ 4348 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2363 ], + "LSR": [ "0" ], + "Q": [ 4350 ] + } + }, + "core_cpu._zz_27__PFUMX_Z_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2355 ], + "LSR": [ "0" ], + "Q": [ 4349 ] + } + }, + "core_cpu._zz_298__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 4351 ], + "LSR": [ "0" ], + "Q": [ 2340 ] + } + }, + "core_cpu._zz_298__TRELLIS_FF_Q_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4352 ], + "BLUT": [ 4353 ], + "C0": [ 2738 ], + "Z": [ 4351 ] + } + }, + "core_cpu._zz_298__TRELLIS_FF_Q_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2159 ], + "C": [ 2160 ], + "D": [ 2113 ], + "Z": [ 4352 ] + } + }, + "core_cpu._zz_298__TRELLIS_FF_Q_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1732 ], + "Z": [ 4353 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4354 ], + "D1": [ 4355 ], + "SD": [ 4356 ], + "Z": [ 4357 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_1": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4358 ], + "D1": [ 4359 ], + "SD": [ 4360 ], + "Z": [ 4361 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4362 ], + "BLUT": [ 4363 ], + "C0": [ 2335 ], + "Z": [ 4358 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1661 ], + "C": [ 4364 ], + "D": [ 2334 ], + "Z": [ 4362 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4365 ], + "C": [ 4364 ], + "D": [ 2334 ], + "Z": [ 4363 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4366 ], + "BLUT": [ 4367 ], + "C0": [ 2335 ], + "Z": [ 4359 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4366 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4367 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_SD_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4211 ], + "D": [ 4368 ], + "Z": [ 4360 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_SD_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3914 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4364 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_SD_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4369 ], + "BLUT": [ 2497 ], + "C0": [ 2331 ], + "Z": [ 4365 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_SD_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2556 ], + "Z": [ 4369 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_2": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4370 ], + "D1": [ 4371 ], + "SD": [ 4372 ], + "Z": [ 4373 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4374 ], + "BLUT": [ 4375 ], + "C0": [ 2335 ], + "Z": [ 4370 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1665 ], + "C": [ 4376 ], + "D": [ 2334 ], + "Z": [ 4374 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4377 ], + "C": [ 4376 ], + "D": [ 2334 ], + "Z": [ 4375 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4378 ], + "BLUT": [ 4379 ], + "C0": [ 2335 ], + "Z": [ 4371 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4378 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4379 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_SD_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4226 ], + "D": [ 4368 ], + "Z": [ 4372 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_SD_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3937 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4376 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_SD_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4380 ], + "BLUT": [ 2467 ], + "C0": [ 2331 ], + "Z": [ 4377 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_SD_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2559 ], + "Z": [ 4380 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_3": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4381 ], + "D1": [ 4382 ], + "SD": [ 4383 ], + "Z": [ 4384 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4385 ], + "BLUT": [ 4386 ], + "C0": [ 2335 ], + "Z": [ 4381 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1673 ], + "C": [ 4387 ], + "D": [ 2334 ], + "Z": [ 4385 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4388 ], + "C": [ 4387 ], + "D": [ 2334 ], + "Z": [ 4386 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4389 ], + "BLUT": [ 4390 ], + "C0": [ 2335 ], + "Z": [ 4382 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4389 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4390 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_SD_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4240 ], + "D": [ 4368 ], + "Z": [ 4383 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_SD_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3951 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4387 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_SD_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4391 ], + "BLUT": [ 2464 ], + "C0": [ 2331 ], + "Z": [ 4388 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_SD_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2561 ], + "Z": [ 4391 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_4": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4392 ], + "D1": [ 4393 ], + "SD": [ 4394 ], + "Z": [ 4395 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4396 ], + "BLUT": [ 4397 ], + "C0": [ 2335 ], + "Z": [ 4392 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1565 ], + "C": [ 4398 ], + "D": [ 2334 ], + "Z": [ 4396 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4399 ], + "C": [ 4398 ], + "D": [ 2334 ], + "Z": [ 4397 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4400 ], + "BLUT": [ 4401 ], + "C0": [ 2335 ], + "Z": [ 4393 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4400 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4401 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_SD_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4273 ], + "D": [ 4368 ], + "Z": [ 4394 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_SD_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3972 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4398 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_SD_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4402 ], + "BLUT": [ 2431 ], + "C0": [ 2331 ], + "Z": [ 4399 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_SD_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2564 ], + "Z": [ 4402 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_5": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4403 ], + "D1": [ 4404 ], + "SD": [ 4405 ], + "Z": [ 4406 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4407 ], + "BLUT": [ 4408 ], + "C0": [ 2335 ], + "Z": [ 4403 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1573 ], + "C": [ 4409 ], + "D": [ 2334 ], + "Z": [ 4407 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4410 ], + "C": [ 4409 ], + "D": [ 2334 ], + "Z": [ 4408 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4411 ], + "BLUT": [ 4412 ], + "C0": [ 2335 ], + "Z": [ 4404 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4411 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4412 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_SD_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4081 ], + "D": [ 4368 ], + "Z": [ 4405 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_SD_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3747 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4409 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_SD_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4413 ], + "BLUT": [ 2435 ], + "C0": [ 2331 ], + "Z": [ 4410 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_SD_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2537 ], + "Z": [ 4413 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4414 ], + "D1": [ 4415 ], + "SD": [ 4368 ], + "Z": [ 4416 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4417 ], + "D1": [ 4418 ], + "SD": [ 2334 ], + "Z": [ 4414 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4419 ], + "BLUT": [ 4420 ], + "C0": [ 4421 ], + "Z": [ 4417 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4419 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1593 ], + "D": [ 2335 ], + "Z": [ 4420 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000010100000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2542 ], + "B": [ 4422 ], + "C": [ 2335 ], + "D": [ 2331 ], + "Z": [ 4421 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4423 ], + "C": [ 4424 ], + "D": [ 2328 ], + "Z": [ 4422 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2367 ], + "LSR": [ "0" ], + "Q": [ 4424 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2359 ], + "LSR": [ "0" ], + "Q": [ 4423 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4425 ], + "BLUT": [ 4426 ], + "C0": [ 4421 ], + "Z": [ 4418 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3792 ], + "Z": [ 4425 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3792 ], + "Z": [ 4426 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4427 ], + "D1": [ 4428 ], + "SD": [ 2334 ], + "Z": [ 4415 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4429 ], + "BLUT": [ 4430 ], + "C0": [ 4421 ], + "Z": [ 4427 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4345 ], + "Z": [ 4429 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4345 ], + "Z": [ 4430 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4431 ], + "BLUT": [ 4432 ], + "C0": [ 4421 ], + "Z": [ 4428 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4345 ], + "Z": [ 4431 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4345 ], + "Z": [ 4432 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4433 ], + "D1": [ 4434 ], + "SD": [ 4368 ], + "Z": [ 4435 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4436 ], + "D1": [ 4437 ], + "SD": [ 2334 ], + "Z": [ 4433 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4438 ], + "BLUT": [ 4439 ], + "C0": [ 4440 ], + "Z": [ 4436 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4438 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1597 ], + "D": [ 2335 ], + "Z": [ 4439 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000010100000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2543 ], + "B": [ 4441 ], + "C": [ 2335 ], + "D": [ 2331 ], + "Z": [ 4440 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4442 ], + "C": [ 4443 ], + "D": [ 2328 ], + "Z": [ 4441 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2366 ], + "LSR": [ "0" ], + "Q": [ 4443 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2358 ], + "LSR": [ "0" ], + "Q": [ 4442 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4444 ], + "BLUT": [ 4445 ], + "C0": [ 4440 ], + "Z": [ 4437 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3799 ], + "Z": [ 4444 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3799 ], + "Z": [ 4445 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4446 ], + "D1": [ 4447 ], + "SD": [ 2334 ], + "Z": [ 4434 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4448 ], + "BLUT": [ 4449 ], + "C0": [ 4440 ], + "Z": [ 4446 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4059 ], + "Z": [ 4448 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4059 ], + "Z": [ 4449 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4450 ], + "BLUT": [ 4451 ], + "C0": [ 4440 ], + "Z": [ 4447 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4059 ], + "Z": [ 4450 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4059 ], + "Z": [ 4451 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4452 ], + "D1": [ 4453 ], + "SD": [ 4368 ], + "Z": [ 4454 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4455 ], + "D1": [ 4456 ], + "SD": [ 2334 ], + "Z": [ 4452 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4457 ], + "BLUT": [ 4458 ], + "C0": [ 4301 ], + "Z": [ 4455 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2335 ], + "C": [ 1603 ], + "D": [ 4459 ], + "Z": [ 4457 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2335 ], + "C": [ 1603 ], + "D": [ 4459 ], + "Z": [ 4458 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2544 ], + "B": [ 4460 ], + "C": [ 2335 ], + "D": [ 2331 ], + "Z": [ 4459 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4461 ], + "C": [ 4462 ], + "D": [ 2328 ], + "Z": [ 4460 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2365 ], + "LSR": [ "0" ], + "Q": [ 4462 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2357 ], + "LSR": [ "0" ], + "Q": [ 4461 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4463 ], + "BLUT": [ 4464 ], + "C0": [ 4301 ], + "Z": [ 4456 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3802 ], + "Z": [ 4463 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3802 ], + "Z": [ 4464 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4465 ], + "D1": [ 4466 ], + "SD": [ 2334 ], + "Z": [ 4453 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4467 ], + "BLUT": [ 4468 ], + "C0": [ 4301 ], + "Z": [ 4465 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4467 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4468 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4469 ], + "BLUT": [ 4470 ], + "C0": [ 4301 ], + "Z": [ 4466 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4469 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4470 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4471 ], + "D1": [ 4472 ], + "SD": [ 4368 ], + "Z": [ 4473 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4474 ], + "D1": [ 4475 ], + "SD": [ 2334 ], + "Z": [ 4471 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4476 ], + "BLUT": [ 4477 ], + "C0": [ 4025 ], + "Z": [ 4474 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2335 ], + "C": [ 1607 ], + "D": [ 4478 ], + "Z": [ 4476 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2335 ], + "C": [ 1607 ], + "D": [ 4478 ], + "Z": [ 4477 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2545 ], + "B": [ 4479 ], + "C": [ 2335 ], + "D": [ 2331 ], + "Z": [ 4478 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4480 ], + "C": [ 4481 ], + "D": [ 2328 ], + "Z": [ 4479 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2364 ], + "LSR": [ "0" ], + "Q": [ 4481 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2356 ], + "LSR": [ "0" ], + "Q": [ 4480 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4482 ], + "BLUT": [ 4483 ], + "C0": [ 4025 ], + "Z": [ 4475 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3809 ], + "Z": [ 4482 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3809 ], + "Z": [ 4483 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 4484 ], + "D1": [ 4485 ], + "SD": [ 2334 ], + "Z": [ 4472 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4486 ], + "BLUT": [ 4487 ], + "C0": [ 4025 ], + "Z": [ 4484 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4486 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4487 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4488 ], + "BLUT": [ 4489 ], + "C0": [ 4025 ], + "Z": [ 4485 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4488 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4489 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4490 ], + "BLUT": [ 4491 ], + "C0": [ 2335 ], + "Z": [ 4354 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1653 ], + "C": [ 4492 ], + "D": [ 2334 ], + "Z": [ 4490 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4493 ], + "C": [ 4492 ], + "D": [ 2334 ], + "Z": [ 4491 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4494 ], + "BLUT": [ 4495 ], + "C0": [ 2335 ], + "Z": [ 4355 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4494 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4495 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_SD_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4066 ], + "D": [ 4368 ], + "Z": [ 4356 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_SD_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3733 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4492 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_SD_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4496 ], + "BLUT": [ 2493 ], + "C0": [ 2331 ], + "Z": [ 4493 ] + } + }, + "core_cpu._zz_29__L6MUX21_Z_SD_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2535 ], + "Z": [ 4496 ] + } + }, + "core_cpu._zz_29__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4497 ], + "C": [ 4498 ], + "D": [ 4499 ], + "Z": [ 4500 ] + } + }, + "core_cpu._zz_29__LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4501 ], + "C": [ 4502 ], + "D": [ 4503 ], + "Z": [ 4504 ] + } + }, + "core_cpu._zz_29__LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000010001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4505 ], + "B": [ 4506 ], + "C": [ 4313 ], + "D": [ 4368 ], + "Z": [ 4507 ] + } + }, + "core_cpu._zz_29__LUT4_Z_10_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3825 ], + "D": [ 2334 ], + "Z": [ 4506 ] + } + }, + "core_cpu._zz_29__LUT4_Z_10_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000010100000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1611 ], + "B": [ 4508 ], + "C": [ 2334 ], + "D": [ 2335 ], + "Z": [ 4505 ] + } + }, + "core_cpu._zz_29__LUT4_Z_10_A_LUT4_Z_1_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4509 ], + "BLUT": [ 4510 ], + "C0": [ 2331 ], + "Z": [ 4508 ] + } + }, + "core_cpu._zz_29__LUT4_Z_10_A_LUT4_Z_1_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2547 ], + "Z": [ 4509 ] + } + }, + "core_cpu._zz_29__LUT4_Z_10_A_LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4511 ], + "C": [ 4512 ], + "D": [ 2328 ], + "Z": [ 4510 ] + } + }, + "core_cpu._zz_29__LUT4_Z_10_A_LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2351 ], + "LSR": [ "0" ], + "Q": [ 4512 ] + } + }, + "core_cpu._zz_29__LUT4_Z_10_A_LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2325 ], + "LSR": [ "0" ], + "Q": [ 4511 ] + } + }, + "core_cpu._zz_29__LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000010001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4513 ], + "B": [ 4514 ], + "C": [ 4325 ], + "D": [ 4368 ], + "Z": [ 4515 ] + } + }, + "core_cpu._zz_29__LUT4_Z_11_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3832 ], + "D": [ 2334 ], + "Z": [ 4514 ] + } + }, + "core_cpu._zz_29__LUT4_Z_11_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000010100001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1615 ], + "B": [ 4516 ], + "C": [ 2334 ], + "D": [ 2335 ], + "Z": [ 4513 ] + } + }, + "core_cpu._zz_29__LUT4_Z_11_A_LUT4_Z_1_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4517 ], + "BLUT": [ 4518 ], + "C0": [ 2331 ], + "Z": [ 4516 ] + } + }, + "core_cpu._zz_29__LUT4_Z_11_A_LUT4_Z_1_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2548 ], + "Z": [ 4517 ] + } + }, + "core_cpu._zz_29__LUT4_Z_11_A_LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4519 ], + "C": [ 4520 ], + "D": [ 2328 ], + "Z": [ 4518 ] + } + }, + "core_cpu._zz_29__LUT4_Z_11_A_LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2350 ], + "LSR": [ "0" ], + "Q": [ 4520 ] + } + }, + "core_cpu._zz_29__LUT4_Z_11_A_LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2324 ], + "LSR": [ "0" ], + "Q": [ 4519 ] + } + }, + "core_cpu._zz_29__LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011101110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4521 ], + "B": [ 4522 ], + "C": [ 4042 ], + "D": [ 4368 ], + "Z": [ 4523 ] + } + }, + "core_cpu._zz_29__LUT4_Z_12_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3839 ], + "D": [ 2334 ], + "Z": [ 4522 ] + } + }, + "core_cpu._zz_29__LUT4_Z_12_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1619 ], + "B": [ 4524 ], + "C": [ 2334 ], + "D": [ 2335 ], + "Z": [ 4521 ] + } + }, + "core_cpu._zz_29__LUT4_Z_12_A_LUT4_Z_1_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4525 ], + "BLUT": [ 4526 ], + "C0": [ 2331 ], + "Z": [ 4524 ] + } + }, + "core_cpu._zz_29__LUT4_Z_12_A_LUT4_Z_1_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2549 ], + "Z": [ 4525 ] + } + }, + "core_cpu._zz_29__LUT4_Z_12_A_LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4527 ], + "C": [ 4528 ], + "D": [ 2328 ], + "Z": [ 4526 ] + } + }, + "core_cpu._zz_29__LUT4_Z_12_A_LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2349 ], + "LSR": [ "0" ], + "Q": [ 4528 ] + } + }, + "core_cpu._zz_29__LUT4_Z_12_A_LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2323 ], + "LSR": [ "0" ], + "Q": [ 4527 ] + } + }, + "core_cpu._zz_29__LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000010001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2336 ], + "B": [ 2338 ], + "C": [ 4337 ], + "D": [ 4368 ], + "Z": [ 4529 ] + } + }, + "core_cpu._zz_29__LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4530 ], + "C": [ 4130 ], + "D": [ 4368 ], + "Z": [ 4531 ] + } + }, + "core_cpu._zz_29__LUT4_Z_14_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4532 ], + "BLUT": [ 4533 ], + "C0": [ 2334 ], + "Z": [ 4530 ] + } + }, + "core_cpu._zz_29__LUT4_Z_14_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3865 ], + "Z": [ 4532 ] + } + }, + "core_cpu._zz_29__LUT4_Z_14_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1631 ], + "C": [ 4534 ], + "D": [ 2335 ], + "Z": [ 4533 ] + } + }, + "core_cpu._zz_29__LUT4_Z_14_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4535 ], + "BLUT": [ 4536 ], + "C0": [ 2331 ], + "Z": [ 4534 ] + } + }, + "core_cpu._zz_29__LUT4_Z_14_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2551 ], + "Z": [ 4535 ] + } + }, + "core_cpu._zz_29__LUT4_Z_14_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4537 ], + "C": [ 4538 ], + "D": [ 2328 ], + "Z": [ 4536 ] + } + }, + "core_cpu._zz_29__LUT4_Z_14_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2316 ], + "LSR": [ "0" ], + "Q": [ 4538 ] + } + }, + "core_cpu._zz_29__LUT4_Z_14_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2308 ], + "LSR": [ "0" ], + "Q": [ 4537 ] + } + }, + "core_cpu._zz_29__LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4539 ], + "C": [ 4150 ], + "D": [ 4368 ], + "Z": [ 4540 ] + } + }, + "core_cpu._zz_29__LUT4_Z_15_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4541 ], + "BLUT": [ 4542 ], + "C0": [ 2334 ], + "Z": [ 4539 ] + } + }, + "core_cpu._zz_29__LUT4_Z_15_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3883 ], + "Z": [ 4541 ] + } + }, + "core_cpu._zz_29__LUT4_Z_15_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1639 ], + "C": [ 4543 ], + "D": [ 2335 ], + "Z": [ 4542 ] + } + }, + "core_cpu._zz_29__LUT4_Z_15_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4544 ], + "BLUT": [ 4545 ], + "C0": [ 2331 ], + "Z": [ 4543 ] + } + }, + "core_cpu._zz_29__LUT4_Z_15_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2553 ], + "Z": [ 4544 ] + } + }, + "core_cpu._zz_29__LUT4_Z_15_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4546 ], + "C": [ 4547 ], + "D": [ 2328 ], + "Z": [ 4545 ] + } + }, + "core_cpu._zz_29__LUT4_Z_15_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2314 ], + "LSR": [ "0" ], + "Q": [ 4547 ] + } + }, + "core_cpu._zz_29__LUT4_Z_15_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2306 ], + "LSR": [ "0" ], + "Q": [ 4546 ] + } + }, + "core_cpu._zz_29__LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4172 ], + "C": [ 4548 ], + "D": [ 4368 ], + "Z": [ 4549 ] + } + }, + "core_cpu._zz_29__LUT4_Z_16_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4550 ], + "BLUT": [ 4551 ], + "C0": [ 2334 ], + "Z": [ 4548 ] + } + }, + "core_cpu._zz_29__LUT4_Z_16_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3892 ], + "Z": [ 4550 ] + } + }, + "core_cpu._zz_29__LUT4_Z_16_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1647 ], + "C": [ 4552 ], + "D": [ 2335 ], + "Z": [ 4551 ] + } + }, + "core_cpu._zz_29__LUT4_Z_16_C_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4553 ], + "BLUT": [ 4554 ], + "C0": [ 2331 ], + "Z": [ 4552 ] + } + }, + "core_cpu._zz_29__LUT4_Z_16_C_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2554 ], + "Z": [ 4553 ] + } + }, + "core_cpu._zz_29__LUT4_Z_16_C_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4555 ], + "C": [ 4556 ], + "D": [ 2328 ], + "Z": [ 4554 ] + } + }, + "core_cpu._zz_29__LUT4_Z_16_C_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2288 ], + "LSR": [ "0" ], + "Q": [ 4555 ] + } + }, + "core_cpu._zz_29__LUT4_Z_16_C_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2301 ], + "LSR": [ "0" ], + "Q": [ 4556 ] + } + }, + "core_cpu._zz_29__LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4557 ], + "C": [ 4182 ], + "D": [ 4368 ], + "Z": [ 4558 ] + } + }, + "core_cpu._zz_29__LUT4_Z_17_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4559 ], + "BLUT": [ 4560 ], + "C0": [ 2334 ], + "Z": [ 4557 ] + } + }, + "core_cpu._zz_29__LUT4_Z_17_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3901 ], + "Z": [ 4559 ] + } + }, + "core_cpu._zz_29__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1651 ], + "C": [ 4561 ], + "D": [ 2335 ], + "Z": [ 4560 ] + } + }, + "core_cpu._zz_29__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4562 ], + "BLUT": [ 4563 ], + "C0": [ 2331 ], + "Z": [ 4561 ] + } + }, + "core_cpu._zz_29__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2555 ], + "Z": [ 4562 ] + } + }, + "core_cpu._zz_29__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4564 ], + "C": [ 4565 ], + "D": [ 2328 ], + "Z": [ 4563 ] + } + }, + "core_cpu._zz_29__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2287 ], + "LSR": [ "0" ], + "Q": [ 4564 ] + } + }, + "core_cpu._zz_29__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2300 ], + "LSR": [ "0" ], + "Q": [ 4565 ] + } + }, + "core_cpu._zz_29__LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4566 ], + "C": [ 4192 ], + "D": [ 4368 ], + "Z": [ 4567 ] + } + }, + "core_cpu._zz_29__LUT4_Z_18_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4568 ], + "BLUT": [ 4569 ], + "C0": [ 2334 ], + "Z": [ 4566 ] + } + }, + "core_cpu._zz_29__LUT4_Z_18_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3917 ], + "Z": [ 4568 ] + } + }, + "core_cpu._zz_29__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1554 ], + "C": [ 4570 ], + "D": [ 2335 ], + "Z": [ 4569 ] + } + }, + "core_cpu._zz_29__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4571 ], + "BLUT": [ 4572 ], + "C0": [ 2331 ], + "Z": [ 4570 ] + } + }, + "core_cpu._zz_29__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2557 ], + "Z": [ 4571 ] + } + }, + "core_cpu._zz_29__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4573 ], + "C": [ 4574 ], + "D": [ 2328 ], + "Z": [ 4572 ] + } + }, + "core_cpu._zz_29__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2286 ], + "LSR": [ "0" ], + "Q": [ 4573 ] + } + }, + "core_cpu._zz_29__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2299 ], + "LSR": [ "0" ], + "Q": [ 4574 ] + } + }, + "core_cpu._zz_29__LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4202 ], + "C": [ 4575 ], + "D": [ 4368 ], + "Z": [ 4576 ] + } + }, + "core_cpu._zz_29__LUT4_Z_19_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4577 ], + "BLUT": [ 4578 ], + "C0": [ 2334 ], + "Z": [ 4575 ] + } + }, + "core_cpu._zz_29__LUT4_Z_19_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3924 ], + "Z": [ 4577 ] + } + }, + "core_cpu._zz_29__LUT4_Z_19_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1557 ], + "C": [ 4579 ], + "D": [ 2335 ], + "Z": [ 4578 ] + } + }, + "core_cpu._zz_29__LUT4_Z_1_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4580 ], + "D": [ 4581 ], + "Z": [ 4582 ] + } + }, + "core_cpu._zz_29__LUT4_Z_1_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4208 ], + "D": [ 4368 ], + "Z": [ 4503 ] + } + }, + "core_cpu._zz_29__LUT4_Z_1_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3822 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4502 ] + } + }, + "core_cpu._zz_29__LUT4_Z_1_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1657 ], + "B": [ 2335 ], + "C": [ 2334 ], + "D": [ 4583 ], + "Z": [ 4501 ] + } + }, + "core_cpu._zz_29__LUT4_Z_1_B_LUT4_Z_3_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2546 ], + "B": [ 2495 ], + "C": [ 2335 ], + "D": [ 2331 ], + "Z": [ 4583 ] + } + }, + "core_cpu._zz_29__LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4584 ], + "C": [ 4585 ], + "D": [ 4586 ], + "Z": [ 4587 ] + } + }, + "core_cpu._zz_29__LUT4_Z_2_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4580 ], + "D": [ 4588 ], + "Z": [ 4589 ] + } + }, + "core_cpu._zz_29__LUT4_Z_2_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4229 ], + "D": [ 4368 ], + "Z": [ 4586 ] + } + }, + "core_cpu._zz_29__LUT4_Z_2_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3944 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4585 ] + } + }, + "core_cpu._zz_29__LUT4_Z_2_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1669 ], + "B": [ 2335 ], + "C": [ 2334 ], + "D": [ 4590 ], + "Z": [ 4584 ] + } + }, + "core_cpu._zz_29__LUT4_Z_2_B_LUT4_Z_3_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2560 ], + "B": [ 2462 ], + "C": [ 2335 ], + "D": [ 2331 ], + "Z": [ 4590 ] + } + }, + "core_cpu._zz_29__LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4591 ], + "C": [ 4592 ], + "D": [ 4593 ], + "Z": [ 4594 ] + } + }, + "core_cpu._zz_29__LUT4_Z_3_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4580 ], + "D": [ 2509 ], + "Z": [ 4595 ] + } + }, + "core_cpu._zz_29__LUT4_Z_3_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4251 ], + "D": [ 4368 ], + "Z": [ 4593 ] + } + }, + "core_cpu._zz_29__LUT4_Z_3_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3958 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4592 ] + } + }, + "core_cpu._zz_29__LUT4_Z_3_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1677 ], + "B": [ 2335 ], + "C": [ 2334 ], + "D": [ 4596 ], + "Z": [ 4591 ] + } + }, + "core_cpu._zz_29__LUT4_Z_3_B_LUT4_Z_3_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2562 ], + "B": [ 2466 ], + "C": [ 2335 ], + "D": [ 2331 ], + "Z": [ 4596 ] + } + }, + "core_cpu._zz_29__LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4597 ], + "C": [ 4598 ], + "D": [ 4599 ], + "Z": [ 4600 ] + } + }, + "core_cpu._zz_29__LUT4_Z_4_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4580 ], + "D": [ 2514 ], + "Z": [ 4601 ] + } + }, + "core_cpu._zz_29__LUT4_Z_4_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4262 ], + "D": [ 4368 ], + "Z": [ 4599 ] + } + }, + "core_cpu._zz_29__LUT4_Z_4_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3965 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4598 ] + } + }, + "core_cpu._zz_29__LUT4_Z_4_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1561 ], + "B": [ 2335 ], + "C": [ 2334 ], + "D": [ 4602 ], + "Z": [ 4597 ] + } + }, + "core_cpu._zz_29__LUT4_Z_4_B_LUT4_Z_3_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2563 ], + "B": [ 2436 ], + "C": [ 2335 ], + "D": [ 2331 ], + "Z": [ 4602 ] + } + }, + "core_cpu._zz_29__LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4603 ], + "C": [ 4604 ], + "D": [ 4605 ], + "Z": [ 4606 ] + } + }, + "core_cpu._zz_29__LUT4_Z_5_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4580 ], + "D": [ 2512 ], + "Z": [ 4607 ] + } + }, + "core_cpu._zz_29__LUT4_Z_5_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4069 ], + "D": [ 4368 ], + "Z": [ 4605 ] + } + }, + "core_cpu._zz_29__LUT4_Z_5_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3736 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4604 ] + } + }, + "core_cpu._zz_29__LUT4_Z_5_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1569 ], + "B": [ 2335 ], + "C": [ 2334 ], + "D": [ 4608 ], + "Z": [ 4603 ] + } + }, + "core_cpu._zz_29__LUT4_Z_5_B_LUT4_Z_3_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2536 ], + "B": [ 2433 ], + "C": [ 2335 ], + "D": [ 2331 ], + "Z": [ 4608 ] + } + }, + "core_cpu._zz_29__LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4609 ], + "C": [ 4610 ], + "D": [ 4611 ], + "Z": [ 4612 ] + } + }, + "core_cpu._zz_29__LUT4_Z_6_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4580 ], + "D": [ 2523 ], + "Z": [ 4613 ] + } + }, + "core_cpu._zz_29__LUT4_Z_6_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4096 ], + "D": [ 4368 ], + "Z": [ 4611 ] + } + }, + "core_cpu._zz_29__LUT4_Z_6_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3756 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4610 ] + } + }, + "core_cpu._zz_29__LUT4_Z_6_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1577 ], + "B": [ 2335 ], + "C": [ 2334 ], + "D": [ 4614 ], + "Z": [ 4609 ] + } + }, + "core_cpu._zz_29__LUT4_Z_6_B_LUT4_Z_3_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2538 ], + "B": [ 2408 ], + "C": [ 2335 ], + "D": [ 2331 ], + "Z": [ 4614 ] + } + }, + "core_cpu._zz_29__LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4615 ], + "C": [ 4616 ], + "D": [ 4617 ], + "Z": [ 4618 ] + } + }, + "core_cpu._zz_29__LUT4_Z_7_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4580 ], + "D": [ 2526 ], + "Z": [ 4619 ] + } + }, + "core_cpu._zz_29__LUT4_Z_7_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4103 ], + "D": [ 4368 ], + "Z": [ 4617 ] + } + }, + "core_cpu._zz_29__LUT4_Z_7_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3765 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4616 ] + } + }, + "core_cpu._zz_29__LUT4_Z_7_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1581 ], + "B": [ 2335 ], + "C": [ 2334 ], + "D": [ 4620 ], + "Z": [ 4615 ] + } + }, + "core_cpu._zz_29__LUT4_Z_7_B_LUT4_Z_3_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2539 ], + "B": [ 2403 ], + "C": [ 2335 ], + "D": [ 2331 ], + "Z": [ 4620 ] + } + }, + "core_cpu._zz_29__LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4621 ], + "C": [ 4622 ], + "D": [ 4623 ], + "Z": [ 4624 ] + } + }, + "core_cpu._zz_29__LUT4_Z_8_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4580 ], + "D": [ 2522 ], + "Z": [ 4625 ] + } + }, + "core_cpu._zz_29__LUT4_Z_8_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4110 ], + "D": [ 4368 ], + "Z": [ 4623 ] + } + }, + "core_cpu._zz_29__LUT4_Z_8_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3774 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4622 ] + } + }, + "core_cpu._zz_29__LUT4_Z_8_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1585 ], + "B": [ 2335 ], + "C": [ 2334 ], + "D": [ 4626 ], + "Z": [ 4621 ] + } + }, + "core_cpu._zz_29__LUT4_Z_8_B_LUT4_Z_3_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2540 ], + "B": [ 2405 ], + "C": [ 2335 ], + "D": [ 2331 ], + "Z": [ 4626 ] + } + }, + "core_cpu._zz_29__LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4627 ], + "C": [ 4628 ], + "D": [ 4629 ], + "Z": [ 4630 ] + } + }, + "core_cpu._zz_29__LUT4_Z_9_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4580 ], + "D": [ 2525 ], + "Z": [ 4631 ] + } + }, + "core_cpu._zz_29__LUT4_Z_9_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4117 ], + "D": [ 4368 ], + "Z": [ 4629 ] + } + }, + "core_cpu._zz_29__LUT4_Z_9_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3783 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4628 ] + } + }, + "core_cpu._zz_29__LUT4_Z_9_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1589 ], + "B": [ 2335 ], + "C": [ 2334 ], + "D": [ 4632 ], + "Z": [ 4627 ] + } + }, + "core_cpu._zz_29__LUT4_Z_9_B_LUT4_Z_3_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2541 ], + "B": [ 2407 ], + "C": [ 2335 ], + "D": [ 2331 ], + "Z": [ 4632 ] + } + }, + "core_cpu._zz_29__LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4063 ], + "D": [ 4368 ], + "Z": [ 4499 ] + } + }, + "core_cpu._zz_29__LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3730 ], + "C": [ 2334 ], + "D": [ 4368 ], + "Z": [ 4498 ] + } + }, + "core_cpu._zz_29__LUT4_Z_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1641 ], + "B": [ 2335 ], + "C": [ 2334 ], + "D": [ 4633 ], + "Z": [ 4497 ] + } + }, + "core_cpu._zz_29__LUT4_Z_B_LUT4_Z_2_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2534 ], + "B": [ 2498 ], + "C": [ 2335 ], + "D": [ 2331 ], + "Z": [ 4633 ] + } + }, + "core_cpu._zz_29__PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4634 ], + "BLUT": [ 4635 ], + "C0": [ 4368 ], + "Z": [ 4636 ] + } + }, + "core_cpu._zz_29__PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4637 ], + "BLUT": [ 4638 ], + "C0": [ 4368 ], + "Z": [ 4639 ] + } + }, + "core_cpu._zz_29__PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4140 ], + "Z": [ 4637 ] + } + }, + "core_cpu._zz_29__PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3874 ], + "C": [ 2334 ], + "D": [ 4640 ], + "Z": [ 4638 ] + } + }, + "core_cpu._zz_29__PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4120 ], + "Z": [ 4634 ] + } + }, + "core_cpu._zz_29__PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3856 ], + "C": [ 2334 ], + "D": [ 4641 ], + "Z": [ 4635 ] + } + }, + "core_cpu._zz_29__PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000010100000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1627 ], + "B": [ 4642 ], + "C": [ 2334 ], + "D": [ 2335 ], + "Z": [ 4641 ] + } + }, + "core_cpu._zz_29__PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4643 ], + "BLUT": [ 4644 ], + "C0": [ 2331 ], + "Z": [ 4642 ] + } + }, + "core_cpu._zz_29__PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2550 ], + "Z": [ 4643 ] + } + }, + "core_cpu._zz_29__PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4645 ], + "C": [ 4646 ], + "D": [ 2328 ], + "Z": [ 4644 ] + } + }, + "core_cpu._zz_29__PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2317 ], + "LSR": [ "0" ], + "Q": [ 4646 ] + } + }, + "core_cpu._zz_29__PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2309 ], + "LSR": [ "0" ], + "Q": [ 4645 ] + } + }, + "core_cpu._zz_329__LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2341 ], + "C": [ 2340 ], + "D": [ 2342 ], + "Z": [ 4580 ] + } + }, + "core_cpu._zz_329__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 4647 ], + "LSR": [ "0" ], + "Q": [ 2341 ] + } + }, + "core_cpu._zz_329__TRELLIS_FF_Q_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4648 ], + "BLUT": [ 4649 ], + "C0": [ 2738 ], + "Z": [ 4647 ] + } + }, + "core_cpu._zz_329__TRELLIS_FF_Q_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2151 ], + "C": [ 2152 ], + "D": [ 2113 ], + "Z": [ 4648 ] + } + }, + "core_cpu._zz_329__TRELLIS_FF_Q_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1724 ], + "Z": [ 4649 ] + } + }, + "core_cpu._zz_387__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 4650 ], + "LSR": [ "0" ], + "Q": [ 3242 ] + } + }, + "core_cpu._zz_387__TRELLIS_FF_Q_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4651 ], + "BLUT": [ 4652 ], + "C0": [ 2738 ], + "Z": [ 4650 ] + } + }, + "core_cpu._zz_387__TRELLIS_FF_Q_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2137 ], + "C": [ 2138 ], + "D": [ 2113 ], + "Z": [ 4651 ] + } + }, + "core_cpu._zz_387__TRELLIS_FF_Q_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1710 ], + "Z": [ 4652 ] + } + }, + "core_cpu._zz_388__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3242 ], + "D": [ 2729 ], + "Z": [ 4653 ] + } + }, + "core_cpu._zz_43__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4654 ], + "C": [ 4655 ], + "D": [ 4656 ], + "Z": [ 4063 ] + } + }, + "core_cpu._zz_43__LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4657 ], + "C": [ 4658 ], + "D": [ 4656 ], + "Z": [ 4066 ] + } + }, + "core_cpu._zz_43__LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4659 ], + "C": [ 4660 ], + "D": [ 4656 ], + "Z": [ 4069 ] + } + }, + "core_cpu._zz_43__LUT4_Z_10_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1378 ], + "C": [ 4661 ], + "D": [ 4662 ], + "Z": [ 4660 ] + } + }, + "core_cpu._zz_43__LUT4_Z_10_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4663 ], + "B": [ 299 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4659 ] + } + }, + "core_cpu._zz_43__LUT4_Z_10_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2873 ], + "D": [ 3377 ], + "Z": [ 4663 ] + } + }, + "core_cpu._zz_43__LUT4_Z_10_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1469 ], + "C": [ 1025 ], + "D": [ 1186 ], + "Z": [ 4662 ] + } + }, + "core_cpu._zz_43__LUT4_Z_10_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1133 ], + "C": [ 1033 ], + "D": [ 1430 ], + "Z": [ 4661 ] + } + }, + "core_cpu._zz_43__LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4664 ], + "C": [ 4665 ], + "D": [ 4656 ], + "Z": [ 4081 ] + } + }, + "core_cpu._zz_43__LUT4_Z_11_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1377 ], + "C": [ 4666 ], + "D": [ 4667 ], + "Z": [ 4665 ] + } + }, + "core_cpu._zz_43__LUT4_Z_11_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4668 ], + "B": [ 301 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4664 ] + } + }, + "core_cpu._zz_43__LUT4_Z_11_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2882 ], + "D": [ 3378 ], + "Z": [ 4668 ] + } + }, + "core_cpu._zz_43__LUT4_Z_11_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1471 ], + "C": [ 1025 ], + "D": [ 1185 ], + "Z": [ 4667 ] + } + }, + "core_cpu._zz_43__LUT4_Z_11_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1132 ], + "C": [ 1033 ], + "D": [ 1429 ], + "Z": [ 4666 ] + } + }, + "core_cpu._zz_43__LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4669 ], + "C": [ 4670 ], + "D": [ 4656 ], + "Z": [ 4096 ] + } + }, + "core_cpu._zz_43__LUT4_Z_12_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1383 ], + "C": [ 4671 ], + "D": [ 4672 ], + "Z": [ 4670 ] + } + }, + "core_cpu._zz_43__LUT4_Z_12_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4673 ], + "B": [ 303 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4669 ] + } + }, + "core_cpu._zz_43__LUT4_Z_12_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2880 ], + "D": [ 3379 ], + "Z": [ 4673 ] + } + }, + "core_cpu._zz_43__LUT4_Z_12_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1473 ], + "C": [ 1025 ], + "D": [ 1191 ], + "Z": [ 4672 ] + } + }, + "core_cpu._zz_43__LUT4_Z_12_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1138 ], + "C": [ 1033 ], + "D": [ 1435 ], + "Z": [ 4671 ] + } + }, + "core_cpu._zz_43__LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4674 ], + "C": [ 4675 ], + "D": [ 4656 ], + "Z": [ 4103 ] + } + }, + "core_cpu._zz_43__LUT4_Z_13_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1382 ], + "C": [ 4676 ], + "D": [ 4677 ], + "Z": [ 4675 ] + } + }, + "core_cpu._zz_43__LUT4_Z_13_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4678 ], + "B": [ 305 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4674 ] + } + }, + "core_cpu._zz_43__LUT4_Z_13_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2886 ], + "D": [ 3380 ], + "Z": [ 4678 ] + } + }, + "core_cpu._zz_43__LUT4_Z_13_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1475 ], + "C": [ 1025 ], + "D": [ 1190 ], + "Z": [ 4677 ] + } + }, + "core_cpu._zz_43__LUT4_Z_13_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1137 ], + "C": [ 1033 ], + "D": [ 1434 ], + "Z": [ 4676 ] + } + }, + "core_cpu._zz_43__LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4679 ], + "C": [ 4680 ], + "D": [ 4656 ], + "Z": [ 4110 ] + } + }, + "core_cpu._zz_43__LUT4_Z_14_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1388 ], + "C": [ 4681 ], + "D": [ 4682 ], + "Z": [ 4680 ] + } + }, + "core_cpu._zz_43__LUT4_Z_14_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4683 ], + "B": [ 307 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4679 ] + } + }, + "core_cpu._zz_43__LUT4_Z_14_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2842 ], + "D": [ 3381 ], + "Z": [ 4683 ] + } + }, + "core_cpu._zz_43__LUT4_Z_14_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1477 ], + "C": [ 1025 ], + "D": [ 1052 ], + "Z": [ 4682 ] + } + }, + "core_cpu._zz_43__LUT4_Z_14_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1143 ], + "C": [ 1033 ], + "D": [ 1301 ], + "Z": [ 4681 ] + } + }, + "core_cpu._zz_43__LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4684 ], + "C": [ 4685 ], + "D": [ 4656 ], + "Z": [ 4117 ] + } + }, + "core_cpu._zz_43__LUT4_Z_15_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1387 ], + "C": [ 4686 ], + "D": [ 4687 ], + "Z": [ 4685 ] + } + }, + "core_cpu._zz_43__LUT4_Z_15_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4688 ], + "B": [ 309 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4684 ] + } + }, + "core_cpu._zz_43__LUT4_Z_15_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2846 ], + "D": [ 3382 ], + "Z": [ 4688 ] + } + }, + "core_cpu._zz_43__LUT4_Z_15_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1479 ], + "C": [ 1025 ], + "D": [ 1051 ], + "Z": [ 4687 ] + } + }, + "core_cpu._zz_43__LUT4_Z_15_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1142 ], + "C": [ 1033 ], + "D": [ 1300 ], + "Z": [ 4686 ] + } + }, + "core_cpu._zz_43__LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4689 ], + "C": [ 4690 ], + "D": [ 4656 ], + "Z": [ 4345 ] + } + }, + "core_cpu._zz_43__LUT4_Z_16_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1393 ], + "C": [ 4691 ], + "D": [ 4692 ], + "Z": [ 4690 ] + } + }, + "core_cpu._zz_43__LUT4_Z_16_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4693 ], + "B": [ 311 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4689 ] + } + }, + "core_cpu._zz_43__LUT4_Z_16_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2845 ], + "D": [ 3383 ], + "Z": [ 4693 ] + } + }, + "core_cpu._zz_43__LUT4_Z_16_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1481 ], + "C": [ 1025 ], + "D": [ 1058 ], + "Z": [ 4692 ] + } + }, + "core_cpu._zz_43__LUT4_Z_16_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1148 ], + "C": [ 1033 ], + "D": [ 1307 ], + "Z": [ 4691 ] + } + }, + "core_cpu._zz_43__LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4694 ], + "C": [ 4695 ], + "D": [ 4656 ], + "Z": [ 4059 ] + } + }, + "core_cpu._zz_43__LUT4_Z_17_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1392 ], + "C": [ 4696 ], + "D": [ 4697 ], + "Z": [ 4695 ] + } + }, + "core_cpu._zz_43__LUT4_Z_17_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4698 ], + "B": [ 313 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4694 ] + } + }, + "core_cpu._zz_43__LUT4_Z_17_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2841 ], + "D": [ 3384 ], + "Z": [ 4698 ] + } + }, + "core_cpu._zz_43__LUT4_Z_17_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1483 ], + "C": [ 1025 ], + "D": [ 1057 ], + "Z": [ 4697 ] + } + }, + "core_cpu._zz_43__LUT4_Z_17_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1147 ], + "C": [ 1033 ], + "D": [ 1306 ], + "Z": [ 4696 ] + } + }, + "core_cpu._zz_43__LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4699 ], + "C": [ 4700 ], + "D": [ 4656 ], + "Z": [ 4301 ] + } + }, + "core_cpu._zz_43__LUT4_Z_18_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1398 ], + "C": [ 4701 ], + "D": [ 4702 ], + "Z": [ 4700 ] + } + }, + "core_cpu._zz_43__LUT4_Z_18_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4703 ], + "B": [ 315 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4699 ] + } + }, + "core_cpu._zz_43__LUT4_Z_18_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2887 ], + "D": [ 3385 ], + "Z": [ 4703 ] + } + }, + "core_cpu._zz_43__LUT4_Z_18_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1485 ], + "C": [ 1025 ], + "D": [ 1063 ], + "Z": [ 4702 ] + } + }, + "core_cpu._zz_43__LUT4_Z_18_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1153 ], + "C": [ 1033 ], + "D": [ 1312 ], + "Z": [ 4701 ] + } + }, + "core_cpu._zz_43__LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4704 ], + "C": [ 4705 ], + "D": [ 4656 ], + "Z": [ 4025 ] + } + }, + "core_cpu._zz_43__LUT4_Z_19_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1456 ], + "C": [ 1458 ], + "D": [ 1457 ], + "Z": [ 4705 ] + } + }, + "core_cpu._zz_43__LUT4_Z_19_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4706 ], + "B": [ 317 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4704 ] + } + }, + "core_cpu._zz_43__LUT4_Z_19_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2881 ], + "D": [ 3386 ], + "Z": [ 4706 ] + } + }, + "core_cpu._zz_43__LUT4_Z_1_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1342 ], + "C": [ 4707 ], + "D": [ 4708 ], + "Z": [ 4658 ] + } + }, + "core_cpu._zz_43__LUT4_Z_1_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4709 ], + "B": [ 297 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4657 ] + } + }, + "core_cpu._zz_43__LUT4_Z_1_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2917 ], + "D": [ 3376 ], + "Z": [ 4709 ] + } + }, + "core_cpu._zz_43__LUT4_Z_1_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1467 ], + "C": [ 1025 ], + "D": [ 1101 ], + "Z": [ 4708 ] + } + }, + "core_cpu._zz_43__LUT4_Z_1_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1096 ], + "C": [ 1033 ], + "D": [ 1347 ], + "Z": [ 4707 ] + } + }, + "core_cpu._zz_43__LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4710 ], + "C": [ 4711 ], + "D": [ 4656 ], + "Z": [ 4208 ] + } + }, + "core_cpu._zz_43__LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3990 ], + "C": [ 3988 ], + "D": [ 4656 ], + "Z": [ 4313 ] + } + }, + "core_cpu._zz_43__LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4712 ], + "C": [ 4713 ], + "D": [ 4656 ], + "Z": [ 4325 ] + } + }, + "core_cpu._zz_43__LUT4_Z_21_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1407 ], + "C": [ 4714 ], + "D": [ 4715 ], + "Z": [ 4713 ] + } + }, + "core_cpu._zz_43__LUT4_Z_21_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4716 ], + "B": [ 323 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4712 ] + } + }, + "core_cpu._zz_43__LUT4_Z_21_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2874 ], + "D": [ 3389 ], + "Z": [ 4716 ] + } + }, + "core_cpu._zz_43__LUT4_Z_21_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1489 ], + "C": [ 1025 ], + "D": [ 1067 ], + "Z": [ 4715 ] + } + }, + "core_cpu._zz_43__LUT4_Z_21_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1162 ], + "C": [ 1033 ], + "D": [ 1316 ], + "Z": [ 4714 ] + } + }, + "core_cpu._zz_43__LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4717 ], + "C": [ 4718 ], + "D": [ 4656 ], + "Z": [ 4042 ] + } + }, + "core_cpu._zz_43__LUT4_Z_22_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1291 ], + "C": [ 4719 ], + "D": [ 4720 ], + "Z": [ 4718 ] + } + }, + "core_cpu._zz_43__LUT4_Z_22_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4721 ], + "B": [ 325 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4717 ] + } + }, + "core_cpu._zz_43__LUT4_Z_22_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2933 ], + "D": [ 3390 ], + "Z": [ 4721 ] + } + }, + "core_cpu._zz_43__LUT4_Z_22_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1491 ], + "C": [ 1025 ], + "D": [ 1073 ], + "Z": [ 4720 ] + } + }, + "core_cpu._zz_43__LUT4_Z_22_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1041 ], + "C": [ 1033 ], + "D": [ 1321 ], + "Z": [ 4719 ] + } + }, + "core_cpu._zz_43__LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4722 ], + "C": [ 4723 ], + "D": [ 4656 ], + "Z": [ 4337 ] + } + }, + "core_cpu._zz_43__LUT4_Z_23_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1290 ], + "C": [ 4724 ], + "D": [ 4725 ], + "Z": [ 4723 ] + } + }, + "core_cpu._zz_43__LUT4_Z_23_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4726 ], + "B": [ 327 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4722 ] + } + }, + "core_cpu._zz_43__LUT4_Z_23_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2935 ], + "D": [ 3391 ], + "Z": [ 4726 ] + } + }, + "core_cpu._zz_43__LUT4_Z_23_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1493 ], + "C": [ 1025 ], + "D": [ 1072 ], + "Z": [ 4725 ] + } + }, + "core_cpu._zz_43__LUT4_Z_23_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1040 ], + "C": [ 1033 ], + "D": [ 1320 ], + "Z": [ 4724 ] + } + }, + "core_cpu._zz_43__LUT4_Z_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3983 ], + "C": [ 3981 ], + "D": [ 4656 ], + "Z": [ 4120 ] + } + }, + "core_cpu._zz_43__LUT4_Z_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4727 ], + "C": [ 4728 ], + "D": [ 4656 ], + "Z": [ 4130 ] + } + }, + "core_cpu._zz_43__LUT4_Z_25_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1296 ], + "C": [ 4729 ], + "D": [ 4730 ], + "Z": [ 4728 ] + } + }, + "core_cpu._zz_43__LUT4_Z_25_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4731 ], + "B": [ 331 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4727 ] + } + }, + "core_cpu._zz_43__LUT4_Z_25_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2905 ], + "D": [ 3393 ], + "Z": [ 4731 ] + } + }, + "core_cpu._zz_43__LUT4_Z_25_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1495 ], + "C": [ 1025 ], + "D": [ 1077 ], + "Z": [ 4730 ] + } + }, + "core_cpu._zz_43__LUT4_Z_25_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1046 ], + "C": [ 1033 ], + "D": [ 1325 ], + "Z": [ 4729 ] + } + }, + "core_cpu._zz_43__LUT4_Z_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4732 ], + "C": [ 4733 ], + "D": [ 4656 ], + "Z": [ 4140 ] + } + }, + "core_cpu._zz_43__LUT4_Z_26_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1439 ], + "C": [ 4734 ], + "D": [ 4735 ], + "Z": [ 4733 ] + } + }, + "core_cpu._zz_43__LUT4_Z_26_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4736 ], + "B": [ 333 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4732 ] + } + }, + "core_cpu._zz_43__LUT4_Z_26_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2912 ], + "D": [ 3394 ], + "Z": [ 4736 ] + } + }, + "core_cpu._zz_43__LUT4_Z_26_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1497 ], + "C": [ 1025 ], + "D": [ 1083 ], + "Z": [ 4735 ] + } + }, + "core_cpu._zz_43__LUT4_Z_26_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1195 ], + "C": [ 1033 ], + "D": [ 1330 ], + "Z": [ 4734 ] + } + }, + "core_cpu._zz_43__LUT4_Z_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4737 ], + "C": [ 4738 ], + "D": [ 4656 ], + "Z": [ 4150 ] + } + }, + "core_cpu._zz_43__LUT4_Z_27_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1438 ], + "C": [ 4739 ], + "D": [ 4740 ], + "Z": [ 4738 ] + } + }, + "core_cpu._zz_43__LUT4_Z_27_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4741 ], + "B": [ 335 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4737 ] + } + }, + "core_cpu._zz_43__LUT4_Z_27_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2931 ], + "D": [ 2739 ], + "Z": [ 4741 ] + } + }, + "core_cpu._zz_43__LUT4_Z_27_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1499 ], + "C": [ 1025 ], + "D": [ 1082 ], + "Z": [ 4740 ] + } + }, + "core_cpu._zz_43__LUT4_Z_27_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1194 ], + "C": [ 1033 ], + "D": [ 1329 ], + "Z": [ 4739 ] + } + }, + "core_cpu._zz_43__LUT4_Z_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3984 ], + "C": [ 3986 ], + "D": [ 4656 ], + "Z": [ 4172 ] + } + }, + "core_cpu._zz_43__LUT4_Z_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4742 ], + "C": [ 1030 ], + "D": [ 4656 ], + "Z": [ 4182 ] + } + }, + "core_cpu._zz_43__LUT4_Z_29_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4743 ], + "B": [ 339 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4742 ] + } + }, + "core_cpu._zz_43__LUT4_Z_29_B_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2919 ], + "D": [ 2771 ], + "Z": [ 4743 ] + } + }, + "core_cpu._zz_43__LUT4_Z_2_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1358 ], + "C": [ 4744 ], + "D": [ 4745 ], + "Z": [ 4711 ] + } + }, + "core_cpu._zz_43__LUT4_Z_2_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4746 ], + "B": [ 319 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4710 ] + } + }, + "core_cpu._zz_43__LUT4_Z_2_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2920 ], + "D": [ 3387 ], + "Z": [ 4746 ] + } + }, + "core_cpu._zz_43__LUT4_Z_2_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1487 ], + "C": [ 1025 ], + "D": [ 1158 ], + "Z": [ 4745 ] + } + }, + "core_cpu._zz_43__LUT4_Z_2_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1113 ], + "C": [ 1033 ], + "D": [ 1403 ], + "Z": [ 4744 ] + } + }, + "core_cpu._zz_43__LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4747 ], + "C": [ 4748 ], + "D": [ 4656 ], + "Z": [ 4211 ] + } + }, + "core_cpu._zz_43__LUT4_Z_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1524 ], + "C": [ 1520 ], + "D": [ 4656 ], + "Z": [ 4192 ] + } + }, + "core_cpu._zz_43__LUT4_Z_31": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1536 ], + "C": [ 1531 ], + "D": [ 4656 ], + "Z": [ 4202 ] + } + }, + "core_cpu._zz_43__LUT4_Z_3_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1357 ], + "C": [ 4749 ], + "D": [ 4750 ], + "Z": [ 4748 ] + } + }, + "core_cpu._zz_43__LUT4_Z_3_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4751 ], + "B": [ 341 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4747 ] + } + }, + "core_cpu._zz_43__LUT4_Z_3_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2928 ], + "D": [ 3395 ], + "Z": [ 4751 ] + } + }, + "core_cpu._zz_43__LUT4_Z_3_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1502 ], + "C": [ 1025 ], + "D": [ 1157 ], + "Z": [ 4750 ] + } + }, + "core_cpu._zz_43__LUT4_Z_3_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1112 ], + "C": [ 1033 ], + "D": [ 1402 ], + "Z": [ 4749 ] + } + }, + "core_cpu._zz_43__LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4752 ], + "C": [ 4753 ], + "D": [ 4656 ], + "Z": [ 4226 ] + } + }, + "core_cpu._zz_43__LUT4_Z_4_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1363 ], + "C": [ 4754 ], + "D": [ 4755 ], + "Z": [ 4753 ] + } + }, + "core_cpu._zz_43__LUT4_Z_4_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4756 ], + "B": [ 345 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4752 ] + } + }, + "core_cpu._zz_43__LUT4_Z_4_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2930 ], + "D": [ 3396 ], + "Z": [ 4756 ] + } + }, + "core_cpu._zz_43__LUT4_Z_4_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1504 ], + "C": [ 1025 ], + "D": [ 1171 ], + "Z": [ 4755 ] + } + }, + "core_cpu._zz_43__LUT4_Z_4_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1118 ], + "C": [ 1033 ], + "D": [ 1415 ], + "Z": [ 4754 ] + } + }, + "core_cpu._zz_43__LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4757 ], + "C": [ 4758 ], + "D": [ 4656 ], + "Z": [ 4229 ] + } + }, + "core_cpu._zz_43__LUT4_Z_5_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1362 ], + "C": [ 4759 ], + "D": [ 4760 ], + "Z": [ 4758 ] + } + }, + "core_cpu._zz_43__LUT4_Z_5_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4761 ], + "B": [ 347 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4757 ] + } + }, + "core_cpu._zz_43__LUT4_Z_5_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2913 ], + "D": [ 3397 ], + "Z": [ 4761 ] + } + }, + "core_cpu._zz_43__LUT4_Z_5_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1506 ], + "C": [ 1025 ], + "D": [ 1170 ], + "Z": [ 4760 ] + } + }, + "core_cpu._zz_43__LUT4_Z_5_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1117 ], + "C": [ 1033 ], + "D": [ 1414 ], + "Z": [ 4759 ] + } + }, + "core_cpu._zz_43__LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4762 ], + "C": [ 4763 ], + "D": [ 4656 ], + "Z": [ 4240 ] + } + }, + "core_cpu._zz_43__LUT4_Z_6_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1368 ], + "C": [ 4764 ], + "D": [ 4765 ], + "Z": [ 4763 ] + } + }, + "core_cpu._zz_43__LUT4_Z_6_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4766 ], + "B": [ 349 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4762 ] + } + }, + "core_cpu._zz_43__LUT4_Z_6_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2906 ], + "D": [ 3398 ], + "Z": [ 4766 ] + } + }, + "core_cpu._zz_43__LUT4_Z_6_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1508 ], + "C": [ 1025 ], + "D": [ 1176 ], + "Z": [ 4765 ] + } + }, + "core_cpu._zz_43__LUT4_Z_6_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1123 ], + "C": [ 1033 ], + "D": [ 1420 ], + "Z": [ 4764 ] + } + }, + "core_cpu._zz_43__LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4767 ], + "C": [ 4768 ], + "D": [ 4656 ], + "Z": [ 4251 ] + } + }, + "core_cpu._zz_43__LUT4_Z_7_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1367 ], + "C": [ 4769 ], + "D": [ 4770 ], + "Z": [ 4768 ] + } + }, + "core_cpu._zz_43__LUT4_Z_7_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4771 ], + "B": [ 351 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4767 ] + } + }, + "core_cpu._zz_43__LUT4_Z_7_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2908 ], + "D": [ 3399 ], + "Z": [ 4771 ] + } + }, + "core_cpu._zz_43__LUT4_Z_7_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1510 ], + "C": [ 1025 ], + "D": [ 1175 ], + "Z": [ 4770 ] + } + }, + "core_cpu._zz_43__LUT4_Z_7_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1122 ], + "C": [ 1033 ], + "D": [ 1419 ], + "Z": [ 4769 ] + } + }, + "core_cpu._zz_43__LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4772 ], + "C": [ 4773 ], + "D": [ 4656 ], + "Z": [ 4262 ] + } + }, + "core_cpu._zz_43__LUT4_Z_8_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1373 ], + "C": [ 4774 ], + "D": [ 4775 ], + "Z": [ 4773 ] + } + }, + "core_cpu._zz_43__LUT4_Z_8_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4776 ], + "B": [ 353 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4772 ] + } + }, + "core_cpu._zz_43__LUT4_Z_8_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2934 ], + "D": [ 3400 ], + "Z": [ 4776 ] + } + }, + "core_cpu._zz_43__LUT4_Z_8_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1512 ], + "C": [ 1025 ], + "D": [ 1181 ], + "Z": [ 4775 ] + } + }, + "core_cpu._zz_43__LUT4_Z_8_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1128 ], + "C": [ 1033 ], + "D": [ 1425 ], + "Z": [ 4774 ] + } + }, + "core_cpu._zz_43__LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4777 ], + "C": [ 4778 ], + "D": [ 4656 ], + "Z": [ 4273 ] + } + }, + "core_cpu._zz_43__LUT4_Z_9_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1031 ], + "B": [ 1372 ], + "C": [ 4779 ], + "D": [ 4780 ], + "Z": [ 4778 ] + } + }, + "core_cpu._zz_43__LUT4_Z_9_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4781 ], + "B": [ 355 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4777 ] + } + }, + "core_cpu._zz_43__LUT4_Z_9_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2932 ], + "D": [ 3401 ], + "Z": [ 4781 ] + } + }, + "core_cpu._zz_43__LUT4_Z_9_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1514 ], + "C": [ 1025 ], + "D": [ 1180 ], + "Z": [ 4780 ] + } + }, + "core_cpu._zz_43__LUT4_Z_9_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1127 ], + "C": [ 1033 ], + "D": [ 1424 ], + "Z": [ 4779 ] + } + }, + "core_cpu._zz_43__LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1035 ], + "B": [ 1465 ], + "C": [ 4782 ], + "D": [ 4783 ], + "Z": [ 4655 ] + } + }, + "core_cpu._zz_43__LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011101011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4784 ], + "B": [ 295 ], + "C": [ 1522 ], + "D": [ 1523 ], + "Z": [ 4654 ] + } + }, + "core_cpu._zz_43__LUT4_Z_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1525 ], + "B": [ 187 ], + "C": [ 2918 ], + "D": [ 3375 ], + "Z": [ 4784 ] + } + }, + "core_cpu._zz_47__PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4785 ], + "BLUT": [ 4786 ], + "C0": [ 4787 ], + "Z": [ 1641 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4788 ], + "BLUT": [ 4789 ], + "C0": [ 4790 ], + "Z": [ 1653 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_10": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4791 ], + "BLUT": [ 4792 ], + "C0": [ 4793 ], + "Z": [ 1569 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_10_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4794 ], + "B": [ 3612 ], + "C": [ 4795 ], + "D": [ 4796 ], + "Z": [ 4791 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_10_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4796 ], + "Z": [ 4792 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_10_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 4798 ], + "D": [ 4799 ], + "Z": [ 4793 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_10_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4800 ], + "B": [ 3513 ], + "C": [ 4801 ], + "D": [ 4799 ], + "Z": [ 4796 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_11": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4802 ], + "BLUT": [ 4803 ], + "C0": [ 4804 ], + "Z": [ 1573 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_11_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4805 ], + "B": [ 3612 ], + "C": [ 4795 ], + "D": [ 4806 ], + "Z": [ 4802 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_11_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4806 ], + "Z": [ 4803 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_11_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 4807 ], + "D": [ 4799 ], + "Z": [ 4804 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_11_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4808 ], + "B": [ 3512 ], + "C": [ 4801 ], + "D": [ 4799 ], + "Z": [ 4806 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_12": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4809 ], + "BLUT": [ 4810 ], + "C0": [ 4811 ], + "Z": [ 1577 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_12_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4812 ], + "B": [ 3612 ], + "C": [ 4795 ], + "D": [ 4813 ], + "Z": [ 4809 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_12_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4813 ], + "Z": [ 4810 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_12_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 4814 ], + "D": [ 4799 ], + "Z": [ 4811 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_12_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4815 ], + "B": [ 3424 ], + "C": [ 4801 ], + "D": [ 4799 ], + "Z": [ 4813 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_13": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4816 ], + "BLUT": [ 4817 ], + "C0": [ 4818 ], + "Z": [ 1581 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_13_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4819 ], + "B": [ 3612 ], + "C": [ 4795 ], + "D": [ 4820 ], + "Z": [ 4816 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_13_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4820 ], + "Z": [ 4817 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_13_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 4821 ], + "D": [ 4799 ], + "Z": [ 4818 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_13_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4822 ], + "B": [ 3423 ], + "C": [ 4801 ], + "D": [ 4799 ], + "Z": [ 4820 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_14": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4823 ], + "BLUT": [ 4824 ], + "C0": [ 4825 ], + "Z": [ 1585 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_14_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4826 ], + "B": [ 3612 ], + "C": [ 4795 ], + "D": [ 4827 ], + "Z": [ 4823 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_14_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4827 ], + "Z": [ 4824 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_14_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 4828 ], + "D": [ 4799 ], + "Z": [ 4825 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_14_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4829 ], + "B": [ 3431 ], + "C": [ 4801 ], + "D": [ 4799 ], + "Z": [ 4827 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_15": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4830 ], + "BLUT": [ 4831 ], + "C0": [ 4799 ], + "Z": [ 1589 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_15_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4832 ], + "Z": [ 4830 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_15_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4795 ], + "C": [ 4833 ], + "D": [ 4834 ], + "Z": [ 4831 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_16": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4835 ], + "BLUT": [ 4836 ], + "C0": [ 4799 ], + "Z": [ 1593 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_16_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4837 ], + "Z": [ 4835 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_16_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 4838 ], + "D": [ 4839 ], + "Z": [ 4836 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_16_BLUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4840 ], + "C": [ 3438 ], + "D": [ 4801 ], + "Z": [ 4837 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_16_BLUT_LUT4_Z_D_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4841 ], + "BLUT": [ 4842 ], + "C0": [ 4797 ], + "Z": [ 4839 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_16_BLUT_LUT4_Z_D_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011101110110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4843 ], + "B": [ 4844 ], + "C": [ 4801 ], + "D": [ 4845 ], + "Z": [ 4841 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_16_BLUT_LUT4_Z_D_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 4842 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_17": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4846 ], + "BLUT": [ 4847 ], + "C0": [ 4799 ], + "Z": [ 1597 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_17_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4848 ], + "Z": [ 4846 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_17_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 4849 ], + "D": [ 4850 ], + "Z": [ 4847 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_17_BLUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4851 ], + "C": [ 3437 ], + "D": [ 4801 ], + "Z": [ 4848 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_17_BLUT_LUT4_Z_D_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4843 ], + "B": [ 4844 ], + "C": [ 4852 ], + "D": [ 4797 ], + "Z": [ 4850 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_17_BLUT_LUT4_Z_D_LUT4_Z_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4853 ], + "B": [ 4854 ], + "C": [ 4801 ], + "D": [ 4855 ], + "Z": [ 4852 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_18": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4856 ], + "BLUT": [ 4857 ], + "C0": [ 4799 ], + "Z": [ 1603 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_18_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4858 ], + "Z": [ 4856 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_18_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 4859 ], + "D": [ 4860 ], + "Z": [ 4857 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_18_BLUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4861 ], + "C": [ 3445 ], + "D": [ 4801 ], + "Z": [ 4858 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_18_BLUT_LUT4_Z_D_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4843 ], + "B": [ 4844 ], + "C": [ 4862 ], + "D": [ 4797 ], + "Z": [ 4860 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_18_BLUT_LUT4_Z_D_LUT4_Z_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4863 ], + "B": [ 4864 ], + "C": [ 4801 ], + "D": [ 4855 ], + "Z": [ 4862 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_19": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4865 ], + "BLUT": [ 4866 ], + "C0": [ 4799 ], + "Z": [ 1607 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_19_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4867 ], + "Z": [ 4865 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_19_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 4868 ], + "D": [ 4869 ], + "Z": [ 4866 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_19_BLUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4870 ], + "C": [ 3444 ], + "D": [ 4801 ], + "Z": [ 4867 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_19_BLUT_LUT4_Z_D_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4843 ], + "B": [ 4844 ], + "C": [ 4871 ], + "D": [ 4797 ], + "Z": [ 4869 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_19_BLUT_LUT4_Z_D_LUT4_Z_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4872 ], + "B": [ 4873 ], + "C": [ 4801 ], + "D": [ 4855 ], + "Z": [ 4871 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4853 ], + "B": [ 3612 ], + "C": [ 4795 ], + "D": [ 4874 ], + "Z": [ 4788 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4874 ], + "Z": [ 4789 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_1_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 4875 ], + "D": [ 4799 ], + "Z": [ 4790 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_1_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4876 ], + "B": [ 3472 ], + "C": [ 4801 ], + "D": [ 4799 ], + "Z": [ 4874 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_2": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4877 ], + "BLUT": [ 4878 ], + "C0": [ 4879 ], + "Z": [ 1657 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_20": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4880 ], + "BLUT": [ 4881 ], + "C0": [ 4799 ], + "Z": [ 1611 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_20_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4882 ], + "Z": [ 4880 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_20_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 4883 ], + "D": [ 4884 ], + "Z": [ 4881 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_20_BLUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4885 ], + "C": [ 3451 ], + "D": [ 4801 ], + "Z": [ 4882 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_20_BLUT_LUT4_Z_D_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4843 ], + "B": [ 4844 ], + "C": [ 4886 ], + "D": [ 4797 ], + "Z": [ 4884 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_20_BLUT_LUT4_Z_D_LUT4_Z_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4887 ], + "B": [ 4888 ], + "C": [ 4801 ], + "D": [ 4855 ], + "Z": [ 4886 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_21": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4889 ], + "BLUT": [ 4890 ], + "C0": [ 4799 ], + "Z": [ 1615 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_21_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4891 ], + "Z": [ 4889 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_21_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 4892 ], + "D": [ 4893 ], + "Z": [ 4890 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_21_BLUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4894 ], + "C": [ 3450 ], + "D": [ 4801 ], + "Z": [ 4891 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_21_BLUT_LUT4_Z_D_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4843 ], + "B": [ 4844 ], + "C": [ 4895 ], + "D": [ 4797 ], + "Z": [ 4893 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_21_BLUT_LUT4_Z_D_LUT4_Z_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4896 ], + "B": [ 4897 ], + "C": [ 4801 ], + "D": [ 4855 ], + "Z": [ 4895 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_22": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4898 ], + "BLUT": [ 4899 ], + "C0": [ 4799 ], + "Z": [ 1619 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_22_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4900 ], + "Z": [ 4898 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_22_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 4901 ], + "D": [ 4902 ], + "Z": [ 4899 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_22_BLUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4903 ], + "C": [ 3409 ], + "D": [ 4801 ], + "Z": [ 4900 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_22_BLUT_LUT4_Z_D_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4843 ], + "B": [ 4844 ], + "C": [ 4904 ], + "D": [ 4797 ], + "Z": [ 4902 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_22_BLUT_LUT4_Z_D_LUT4_Z_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4905 ], + "B": [ 4906 ], + "C": [ 4801 ], + "D": [ 4855 ], + "Z": [ 4904 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_23": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4907 ], + "BLUT": [ 4908 ], + "C0": [ 4799 ], + "Z": [ 1623 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_23_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4909 ], + "Z": [ 4907 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_23_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 4910 ], + "D": [ 4911 ], + "Z": [ 4908 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_23_BLUT_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4912 ], + "C": [ 3408 ], + "D": [ 4801 ], + "Z": [ 4909 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_23_BLUT_LUT4_Z_D_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4843 ], + "B": [ 4844 ], + "C": [ 4913 ], + "D": [ 4797 ], + "Z": [ 4911 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_23_BLUT_LUT4_Z_D_LUT4_Z_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4914 ], + "B": [ 4915 ], + "C": [ 4801 ], + "D": [ 4855 ], + "Z": [ 4913 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_23_BLUT_LUT4_Z_D_LUT4_Z_1_C_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4916 ], + "D": [ 4917 ], + "Z": [ 4855 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_24": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4918 ], + "BLUT": [ 4919 ], + "C0": [ 4799 ], + "Z": [ 1627 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_24_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4920 ], + "Z": [ 4918 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_24_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4843 ], + "C": [ 4921 ], + "D": [ 4797 ], + "Z": [ 4919 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_24_BLUT_LUT4_Z_B_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4843 ], + "B": [ 4844 ], + "C": [ 4922 ], + "D": [ 4797 ], + "Z": [ 4795 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_24_BLUT_LUT4_Z_B_LUT4_A_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4845 ], + "B": [ 3612 ], + "C": [ 4923 ], + "D": [ 3611 ], + "Z": [ 4922 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_24_BLUT_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4917 ], + "B": [ 4924 ], + "C": [ 4916 ], + "D": [ 4925 ], + "Z": [ 4843 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_24_BLUT_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4926 ], + "C": [ 3416 ], + "D": [ 4801 ], + "Z": [ 4920 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_24_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4927 ], + "BLUT": [ 4928 ], + "C0": [ 4917 ], + "Z": [ 4925 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_24_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4929 ], + "C": [ 4930 ], + "D": [ 4916 ], + "Z": [ 4927 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_24_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4931 ], + "D": [ 4916 ], + "Z": [ 4928 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_25": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4932 ], + "BLUT": [ 4933 ], + "C0": [ 4799 ], + "Z": [ 1631 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_25_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4934 ], + "Z": [ 4932 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_25_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4935 ], + "C": [ 4936 ], + "D": [ 4797 ], + "Z": [ 4933 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_25_BLUT_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4916 ], + "B": [ 4917 ], + "C": [ 4937 ], + "D": [ 4938 ], + "Z": [ 4935 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_25_BLUT_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4939 ], + "C": [ 3415 ], + "D": [ 4801 ], + "Z": [ 4934 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_25_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4940 ], + "BLUT": [ 4941 ], + "C0": [ 4917 ], + "Z": [ 4938 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_25_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4853 ], + "C": [ 4942 ], + "D": [ 4916 ], + "Z": [ 4940 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_25_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4854 ], + "D": [ 4916 ], + "Z": [ 4941 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_26": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4943 ], + "BLUT": [ 4944 ], + "C0": [ 4799 ], + "Z": [ 1635 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_26_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4945 ], + "Z": [ 4943 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_26_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4946 ], + "C": [ 4947 ], + "D": [ 4797 ], + "Z": [ 4944 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_26_BLUT_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4916 ], + "B": [ 4917 ], + "C": [ 4948 ], + "D": [ 4949 ], + "Z": [ 4946 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_26_BLUT_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4950 ], + "C": [ 3465 ], + "D": [ 4801 ], + "Z": [ 4945 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_26_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4951 ], + "BLUT": [ 4952 ], + "C0": [ 4917 ], + "Z": [ 4949 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_26_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4863 ], + "C": [ 4794 ], + "D": [ 4916 ], + "Z": [ 4951 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_26_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4864 ], + "D": [ 4916 ], + "Z": [ 4952 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_27": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4953 ], + "BLUT": [ 4954 ], + "C0": [ 4799 ], + "Z": [ 1639 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_27_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4955 ], + "Z": [ 4953 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_27_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4956 ], + "C": [ 4957 ], + "D": [ 4797 ], + "Z": [ 4954 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_27_BLUT_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4916 ], + "B": [ 4917 ], + "C": [ 4958 ], + "D": [ 4959 ], + "Z": [ 4956 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_27_BLUT_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4960 ], + "C": [ 3464 ], + "D": [ 4801 ], + "Z": [ 4955 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_27_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4961 ], + "BLUT": [ 4962 ], + "C0": [ 4917 ], + "Z": [ 4959 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_27_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4872 ], + "C": [ 4805 ], + "D": [ 4916 ], + "Z": [ 4961 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_27_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4873 ], + "D": [ 4916 ], + "Z": [ 4962 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_28": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4963 ], + "BLUT": [ 4964 ], + "C0": [ 4799 ], + "Z": [ 1647 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_28_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4965 ], + "Z": [ 4963 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_28_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4966 ], + "C": [ 4967 ], + "D": [ 4797 ], + "Z": [ 4964 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_28_BLUT_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4916 ], + "B": [ 4917 ], + "C": [ 4968 ], + "D": [ 4969 ], + "Z": [ 4966 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_28_BLUT_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4970 ], + "C": [ 3479 ], + "D": [ 4801 ], + "Z": [ 4965 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_28_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4971 ], + "BLUT": [ 4972 ], + "C0": [ 4917 ], + "Z": [ 4969 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_28_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4887 ], + "C": [ 4812 ], + "D": [ 4916 ], + "Z": [ 4971 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_28_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4888 ], + "D": [ 4916 ], + "Z": [ 4972 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_29": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4973 ], + "BLUT": [ 4974 ], + "C0": [ 4799 ], + "Z": [ 1651 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_29_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4975 ], + "Z": [ 4973 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_29_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4976 ], + "C": [ 4977 ], + "D": [ 4797 ], + "Z": [ 4974 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_29_BLUT_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4916 ], + "B": [ 4917 ], + "C": [ 4978 ], + "D": [ 4979 ], + "Z": [ 4976 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_29_BLUT_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4980 ], + "C": [ 3478 ], + "D": [ 4801 ], + "Z": [ 4975 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_29_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4981 ], + "BLUT": [ 4982 ], + "C0": [ 4917 ], + "Z": [ 4979 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_29_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4896 ], + "C": [ 4819 ], + "D": [ 4916 ], + "Z": [ 4981 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_29_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4897 ], + "D": [ 4916 ], + "Z": [ 4982 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_2_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4863 ], + "B": [ 3612 ], + "C": [ 4795 ], + "D": [ 4983 ], + "Z": [ 4877 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_2_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4983 ], + "Z": [ 4878 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_2_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 4984 ], + "D": [ 4799 ], + "Z": [ 4879 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_2_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4985 ], + "B": [ 3486 ], + "C": [ 4801 ], + "D": [ 4799 ], + "Z": [ 4983 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_3": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4986 ], + "BLUT": [ 4987 ], + "C0": [ 4988 ], + "Z": [ 1661 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_30": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4989 ], + "BLUT": [ 4990 ], + "C0": [ 4799 ], + "Z": [ 1554 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_30_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4991 ], + "Z": [ 4989 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_30_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4992 ], + "C": [ 4993 ], + "D": [ 4797 ], + "Z": [ 4990 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_30_BLUT_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4916 ], + "B": [ 4917 ], + "C": [ 4994 ], + "D": [ 4995 ], + "Z": [ 4992 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_30_BLUT_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4996 ], + "C": [ 3458 ], + "D": [ 4801 ], + "Z": [ 4991 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_30_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4997 ], + "BLUT": [ 4998 ], + "C0": [ 4917 ], + "Z": [ 4995 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_30_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4905 ], + "C": [ 4826 ], + "D": [ 4916 ], + "Z": [ 4997 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_30_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4906 ], + "D": [ 4916 ], + "Z": [ 4998 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_31": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 4999 ], + "BLUT": [ 5000 ], + "C0": [ 4799 ], + "Z": [ 1557 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_31_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 5001 ], + "Z": [ 4999 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_31_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5002 ], + "C": [ 5003 ], + "D": [ 4797 ], + "Z": [ 5000 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_3_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4872 ], + "B": [ 3612 ], + "C": [ 4795 ], + "D": [ 5004 ], + "Z": [ 4986 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_3_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 5004 ], + "Z": [ 4987 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_3_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 5005 ], + "D": [ 4799 ], + "Z": [ 4988 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_3_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5006 ], + "B": [ 3485 ], + "C": [ 4801 ], + "D": [ 4799 ], + "Z": [ 5004 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_4": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5007 ], + "BLUT": [ 5008 ], + "C0": [ 5009 ], + "Z": [ 1665 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_4_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4887 ], + "B": [ 3612 ], + "C": [ 4795 ], + "D": [ 5010 ], + "Z": [ 5007 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_4_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 5010 ], + "Z": [ 5008 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_4_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 5011 ], + "D": [ 4799 ], + "Z": [ 5009 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_4_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5012 ], + "B": [ 3493 ], + "C": [ 4801 ], + "D": [ 4799 ], + "Z": [ 5010 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_5": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5013 ], + "BLUT": [ 5014 ], + "C0": [ 5015 ], + "Z": [ 1669 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_5_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4896 ], + "B": [ 3612 ], + "C": [ 4795 ], + "D": [ 5016 ], + "Z": [ 5013 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_5_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 5016 ], + "Z": [ 5014 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_5_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 5017 ], + "D": [ 4799 ], + "Z": [ 5015 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_5_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5018 ], + "B": [ 3492 ], + "C": [ 4801 ], + "D": [ 4799 ], + "Z": [ 5016 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_6": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5019 ], + "BLUT": [ 5020 ], + "C0": [ 5021 ], + "Z": [ 1673 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_6_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4905 ], + "B": [ 3612 ], + "C": [ 4795 ], + "D": [ 5022 ], + "Z": [ 5019 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_6_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 5022 ], + "Z": [ 5020 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_6_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 5023 ], + "D": [ 4799 ], + "Z": [ 5021 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_6_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5024 ], + "B": [ 3500 ], + "C": [ 4801 ], + "D": [ 4799 ], + "Z": [ 5022 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_7": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5025 ], + "BLUT": [ 5026 ], + "C0": [ 5027 ], + "Z": [ 1677 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_7_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4914 ], + "B": [ 3612 ], + "C": [ 4795 ], + "D": [ 5028 ], + "Z": [ 5025 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_7_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 5028 ], + "Z": [ 5026 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_7_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 5029 ], + "D": [ 4799 ], + "Z": [ 5027 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_7_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5030 ], + "B": [ 3499 ], + "C": [ 4801 ], + "D": [ 4799 ], + "Z": [ 5028 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_8": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5031 ], + "BLUT": [ 5032 ], + "C0": [ 5033 ], + "Z": [ 1561 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_8_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4930 ], + "B": [ 3612 ], + "C": [ 4795 ], + "D": [ 5034 ], + "Z": [ 5031 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_8_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 5034 ], + "Z": [ 5032 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_8_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 5035 ], + "D": [ 4799 ], + "Z": [ 5033 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_8_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5036 ], + "B": [ 3507 ], + "C": [ 4801 ], + "D": [ 4799 ], + "Z": [ 5034 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_9": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5037 ], + "BLUT": [ 5038 ], + "C0": [ 5039 ], + "Z": [ 1565 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_9_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4942 ], + "B": [ 3612 ], + "C": [ 4795 ], + "D": [ 5040 ], + "Z": [ 5037 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_9_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 5040 ], + "Z": [ 5038 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_9_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 5041 ], + "D": [ 4799 ], + "Z": [ 5039 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_9_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5042 ], + "B": [ 3506 ], + "C": [ 4801 ], + "D": [ 4799 ], + "Z": [ 5040 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4929 ], + "B": [ 3612 ], + "C": [ 4795 ], + "D": [ 5043 ], + "Z": [ 4785 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_ALUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3612 ], + "D": [ 5044 ], + "Z": [ 4833 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_ALUT_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5045 ], + "C": [ 3430 ], + "D": [ 4801 ], + "Z": [ 4832 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_ALUT_LUT4_Z_C_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4797 ], + "D": [ 5046 ], + "Z": [ 4834 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 5043 ], + "Z": [ 4786 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4797 ], + "C": [ 5047 ], + "D": [ 4799 ], + "Z": [ 4787 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5048 ], + "B": [ 3473 ], + "C": [ 4801 ], + "D": [ 4799 ], + "Z": [ 5043 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_C0_LUT4_Z_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4923 ], + "D": [ 4801 ], + "Z": [ 4844 ] + } + }, + "core_cpu._zz_47__PFUMX_Z_C0_LUT4_Z_1_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 3611 ], + "D": [ 3612 ], + "Z": [ 4801 ] + } + }, + "core_cpu._zz_55__PFUMX_C0": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5049 ], + "BLUT": [ 5050 ], + "C0": [ 1885 ], + "Z": [ 73 ] + } + }, + "core_cpu._zz_55__PFUMX_C0_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5051 ], + "B": [ 1899 ], + "C": [ 5052 ], + "D": [ 5053 ], + "Z": [ 5049 ] + } + }, + "core_cpu._zz_55__PFUMX_C0_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5050 ] + } + }, + "core_cpu._zz_55__PFUMX_C0_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5054 ], + "C": [ 2251 ], + "D": [ 2252 ], + "Z": [ 2256 ] + } + }, + "core_cpu._zz_55__PFUMX_C0_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1682 ], + "D": [ 1900 ], + "Z": [ 395 ] + } + }, + "core_cpu._zz_55__PFUMX_C0_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5055 ], + "BLUT": [ 5056 ], + "C0": [ 2251 ], + "Z": [ 2103 ] + } + }, + "core_cpu._zz_55__PFUMX_C0_Z_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5055 ] + } + }, + "core_cpu._zz_55__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5057 ], + "CLK": [ 3 ], + "DI": [ 5058 ], + "LSR": [ 71 ], + "Q": [ 1885 ] + } + }, + "core_cpu._zz_55__TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1899 ], + "B": [ 1898 ], + "C": [ 1897 ], + "D": [ 395 ], + "Z": [ 5057 ] + } + }, + "core_cpu._zz_55__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1897 ], + "B": [ 1899 ], + "C": [ 1898 ], + "D": [ 1893 ], + "Z": [ 5058 ] + } + }, + "core_cpu._zz_57__LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5051 ], + "B": [ 1884 ], + "C": [ 5052 ], + "D": [ 2238 ], + "Z": [ 5056 ] + } + }, + "core_cpu._zz_57__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5059 ], + "CLK": [ 3 ], + "DI": [ 5060 ], + "LSR": [ 71 ], + "Q": [ 1884 ] + } + }, + "core_cpu._zz_57__TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1899 ], + "C": [ 1897 ], + "D": [ 395 ], + "Z": [ 5059 ] + } + }, + "core_cpu._zz_57__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 73 ], + "D": [ 395 ], + "Z": [ 5060 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 177 ], + "LSR": [ "0" ], + "Q": [ 5061 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 111 ], + "LSR": [ "0" ], + "Q": [ 5062 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 78 ], + "LSR": [ "0" ], + "Q": [ 5063 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 81 ], + "LSR": [ "0" ], + "Q": [ 5064 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 84 ], + "LSR": [ "0" ], + "Q": [ 5065 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 87 ], + "LSR": [ "0" ], + "Q": [ 5066 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 90 ], + "LSR": [ "0" ], + "Q": [ 5067 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 93 ], + "LSR": [ "0" ], + "Q": [ 5068 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 97 ], + "LSR": [ "0" ], + "Q": [ 5069 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 101 ], + "LSR": [ "0" ], + "Q": [ 5070 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 105 ], + "LSR": [ "0" ], + "Q": [ 5071 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 109 ], + "LSR": [ "0" ], + "Q": [ 5072 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 154 ], + "LSR": [ "0" ], + "Q": [ 5073 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 116 ], + "LSR": [ "0" ], + "Q": [ 5074 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 120 ], + "LSR": [ "0" ], + "Q": [ 5075 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 124 ], + "LSR": [ "0" ], + "Q": [ 5076 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 128 ], + "LSR": [ "0" ], + "Q": [ 5077 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 132 ], + "LSR": [ "0" ], + "Q": [ 5078 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 136 ], + "LSR": [ "0" ], + "Q": [ 5079 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 140 ], + "LSR": [ "0" ], + "Q": [ 5080 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 144 ], + "LSR": [ "0" ], + "Q": [ 5081 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 148 ], + "LSR": [ "0" ], + "Q": [ 5082 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 152 ], + "LSR": [ "0" ], + "Q": [ 5083 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 157 ], + "LSR": [ "0" ], + "Q": [ 5084 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 160 ], + "LSR": [ "0" ], + "Q": [ 5085 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 163 ], + "LSR": [ "0" ], + "Q": [ 5086 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 166 ], + "LSR": [ "0" ], + "Q": [ 5087 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 169 ], + "LSR": [ "0" ], + "Q": [ 5088 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 172 ], + "LSR": [ "0" ], + "Q": [ 5089 ] + } + }, + "core_cpu._zz_58__TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2102 ], + "CLK": [ 3 ], + "DI": [ 175 ], + "LSR": [ "0" ], + "Q": [ 5090 ] + } + }, + "core_cpu._zz_59__LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1884 ], + "B": [ 5091 ], + "C": [ 1885 ], + "D": [ 1001 ], + "Z": [ 1680 ] + } + }, + "core_cpu._zz_59__LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1442 ], + "C": [ 1881 ], + "D": [ 1555 ], + "Z": [ 1682 ] + } + }, + "core_cpu._zz_59__LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1019 ], + "C": [ 527 ], + "D": [ 5091 ], + "Z": [ 1015 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3324 ], + "C": [ 2340 ], + "D": [ 2731 ], + "Z": [ 5092 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5093 ], + "B": [ 5094 ], + "C": [ 5095 ], + "D": [ 5096 ], + "Z": [ 5097 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2504 ], + "B": [ 2600 ], + "C": [ 2574 ], + "D": [ 2507 ], + "Z": [ 5096 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_1_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2592 ], + "B": [ 2509 ], + "C": [ 2512 ], + "D": [ 2577 ], + "Z": [ 5095 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_1_A_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5098 ], + "BLUT": [ 5099 ], + "C0": [ 5100 ], + "Z": [ 5093 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_1_A_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2507 ], + "B": [ 2574 ], + "C": [ 2514 ], + "D": [ 2595 ], + "Z": [ 5098 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_1_A_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5099 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_1_A_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2600 ], + "B": [ 2504 ], + "C": [ 2509 ], + "D": [ 2592 ], + "Z": [ 5100 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5101 ], + "B": [ 1881 ], + "C": [ 5102 ], + "D": [ 5103 ], + "Z": [ 5104 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5103 ], + "B": [ 1881 ], + "C": [ 5102 ], + "D": [ 5101 ], + "Z": [ 4011 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_A_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5105 ], + "BLUT": [ 5106 ], + "C0": [ 5107 ], + "Z": [ 4015 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_A_Z_PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5108 ], + "BLUT": [ 5109 ], + "C0": [ 2517 ], + "Z": [ 4206 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_A_Z_PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2558 ], + "Z": [ 5108 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_A_Z_PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2518 ], + "C": [ 2521 ], + "D": [ 2390 ], + "Z": [ 5109 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_A_Z_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2504 ], + "B": [ 2280 ], + "C": [ 5110 ], + "D": [ 2532 ], + "Z": [ 5105 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_A_Z_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5106 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_A_Z_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2507 ], + "B": [ 2282 ], + "C": [ 2533 ], + "D": [ 2509 ], + "Z": [ 5110 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_A_Z_PFUMX_Z_C0_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2512 ], + "B": [ 2281 ], + "C": [ 2514 ], + "D": [ 2283 ], + "Z": [ 5107 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2512 ], + "B": [ 5111 ], + "C": [ 5112 ], + "D": [ 5113 ], + "Z": [ 5103 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2504 ], + "B": [ 5114 ], + "C": [ 2507 ], + "D": [ 5115 ], + "Z": [ 5113 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2514 ], + "B": [ 5116 ], + "C": [ 2509 ], + "D": [ 5117 ], + "Z": [ 5112 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5101 ], + "B": [ 1881 ], + "C": [ 5102 ], + "D": [ 5118 ], + "Z": [ 5119 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_3_D_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5118 ], + "B": [ 1881 ], + "C": [ 5102 ], + "D": [ 5101 ], + "Z": [ 2334 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_3_D_LUT4_A_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2525 ], + "B": [ 2281 ], + "C": [ 5120 ], + "D": [ 5121 ], + "Z": [ 2335 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_3_D_LUT4_A_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5122 ], + "BLUT": [ 5123 ], + "C0": [ 2331 ], + "Z": [ 4579 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_3_D_LUT4_A_Z_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2558 ], + "Z": [ 5122 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_3_D_LUT4_A_Z_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2528 ], + "C": [ 2529 ], + "D": [ 2328 ], + "Z": [ 5123 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_3_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2526 ], + "B": [ 5116 ], + "C": [ 5124 ], + "D": [ 5125 ], + "Z": [ 5118 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_3_D_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2499 ], + "B": [ 5114 ], + "C": [ 2525 ], + "D": [ 5111 ], + "Z": [ 5125 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_3_D_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2522 ], + "B": [ 5115 ], + "C": [ 2523 ], + "D": [ 5117 ], + "Z": [ 5124 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5126 ], + "BLUT": [ 5127 ], + "C0": [ 5128 ], + "Z": [ 5129 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2525 ], + "B": [ 2577 ], + "C": [ 5094 ], + "D": [ 5130 ], + "Z": [ 5126 ] + } + }, + "core_cpu._zz_59__LUT4_D_Z_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5127 ] + } + }, + "core_cpu._zz_59__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5131 ], + "CLK": [ 3 ], + "DI": [ 5132 ], + "LSR": [ 71 ], + "Q": [ 5091 ] + } + }, + "core_cpu._zz_59__TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1897 ], + "D": [ 395 ], + "Z": [ 5131 ] + } + }, + "core_cpu._zz_59__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1897 ], + "C": [ 2239 ], + "D": [ 395 ], + "Z": [ 5132 ] + } + }, + "core_cpu._zz_59__TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 5133 ], + "D": [ 1884 ], + "Z": [ 2239 ] + } + }, + "core_cpu._zz_59__TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2251 ], + "D": [ 5054 ], + "Z": [ 5133 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5061 ], + "LSR": [ "0" ], + "Q": [ 1198 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5062 ], + "LSR": [ "0" ], + "Q": [ 1200 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5063 ], + "LSR": [ "0" ], + "Q": [ 1202 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5064 ], + "LSR": [ "0" ], + "Q": [ 1204 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5065 ], + "LSR": [ "0" ], + "Q": [ 1206 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5066 ], + "LSR": [ "0" ], + "Q": [ 1208 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5067 ], + "LSR": [ "0" ], + "Q": [ 1210 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5068 ], + "LSR": [ "0" ], + "Q": [ 1212 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5069 ], + "LSR": [ "0" ], + "Q": [ 1214 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5070 ], + "LSR": [ "0" ], + "Q": [ 1216 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5071 ], + "LSR": [ "0" ], + "Q": [ 1218 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5072 ], + "LSR": [ "0" ], + "Q": [ 1220 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5073 ], + "LSR": [ "0" ], + "Q": [ 1222 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5074 ], + "LSR": [ "0" ], + "Q": [ 1224 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5075 ], + "LSR": [ "0" ], + "Q": [ 1226 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5076 ], + "LSR": [ "0" ], + "Q": [ 1228 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5077 ], + "LSR": [ "0" ], + "Q": [ 1230 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5078 ], + "LSR": [ "0" ], + "Q": [ 1232 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5079 ], + "LSR": [ "0" ], + "Q": [ 1234 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5080 ], + "LSR": [ "0" ], + "Q": [ 1236 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5081 ], + "LSR": [ "0" ], + "Q": [ 1238 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5082 ], + "LSR": [ "0" ], + "Q": [ 1240 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5083 ], + "LSR": [ "0" ], + "Q": [ 1242 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5084 ], + "LSR": [ "0" ], + "Q": [ 1244 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5085 ], + "LSR": [ "0" ], + "Q": [ 1246 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5086 ], + "LSR": [ "0" ], + "Q": [ 1248 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5087 ], + "LSR": [ "0" ], + "Q": [ 1250 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5088 ], + "LSR": [ "0" ], + "Q": [ 1252 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5089 ], + "LSR": [ "0" ], + "Q": [ 1254 ] + } + }, + "core_cpu._zz_60__TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1897 ], + "CLK": [ 3 ], + "DI": [ 5090 ], + "LSR": [ "0" ], + "Q": [ 1256 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5134 ], + "LSR": [ "0" ], + "Q": [ 3996 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5135 ], + "LSR": [ "0" ], + "Q": [ 4581 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5136 ], + "LSR": [ "0" ], + "Q": [ 5137 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_10_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5138 ], + "BLUT": [ 5139 ], + "C0": [ 2738 ], + "Z": [ 5136 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_10_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2145 ], + "C": [ 2146 ], + "D": [ 2113 ], + "Z": [ 5138 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_10_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1718 ], + "Z": [ 5139 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5140 ], + "LSR": [ "0" ], + "Q": [ 5141 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_11_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5142 ], + "BLUT": [ 5143 ], + "C0": [ 2738 ], + "Z": [ 5140 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_11_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2147 ], + "C": [ 2148 ], + "D": [ 2113 ], + "Z": [ 5142 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_11_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1720 ], + "Z": [ 5143 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5144 ], + "LSR": [ "0" ], + "Q": [ 5145 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_12_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5146 ], + "BLUT": [ 5147 ], + "C0": [ 2738 ], + "Z": [ 5144 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_12_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2149 ], + "C": [ 2150 ], + "D": [ 2113 ], + "Z": [ 5146 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_12_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1722 ], + "Z": [ 5147 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5148 ], + "LSR": [ "0" ], + "Q": [ 2342 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_13_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5149 ], + "BLUT": [ 5150 ], + "C0": [ 2738 ], + "Z": [ 5148 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_13_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2155 ], + "C": [ 2156 ], + "D": [ 2113 ], + "Z": [ 5149 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_13_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1728 ], + "Z": [ 5150 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5151 ], + "LSR": [ "0" ], + "Q": [ 3237 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_14_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5152 ], + "BLUT": [ 5153 ], + "C0": [ 2738 ], + "Z": [ 5151 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_14_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2157 ], + "C": [ 2158 ], + "D": [ 2113 ], + "Z": [ 5152 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_14_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1730 ], + "Z": [ 5153 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5154 ], + "LSR": [ "0" ], + "Q": [ 2509 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_15_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5155 ], + "BLUT": [ 5156 ], + "C0": [ 2738 ], + "Z": [ 5154 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_15_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2509 ], + "C": [ 2520 ], + "D": [ 1897 ], + "Z": [ 5155 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_15_DI_PFUMX_Z_ALUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2169 ], + "C": [ 2170 ], + "D": [ 2113 ], + "Z": [ 2520 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_15_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1743 ], + "Z": [ 5156 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5157 ], + "LSR": [ "0" ], + "Q": [ 2514 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_16_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5158 ], + "BLUT": [ 5159 ], + "C0": [ 2738 ], + "Z": [ 5157 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_16_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1745 ], + "Z": [ 5159 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5160 ], + "LSR": [ "0" ], + "Q": [ 2507 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_17_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5161 ], + "BLUT": [ 5162 ], + "C0": [ 2738 ], + "Z": [ 5160 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_17_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1747 ], + "Z": [ 5162 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5163 ], + "LSR": [ "0" ], + "Q": [ 2512 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_18_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5164 ], + "BLUT": [ 5165 ], + "C0": [ 2738 ], + "Z": [ 5163 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_18_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1693 ], + "Z": [ 5165 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5166 ], + "LSR": [ "0" ], + "Q": [ 2504 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_19_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5167 ], + "BLUT": [ 5168 ], + "C0": [ 2738 ], + "Z": [ 5166 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_19_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1695 ], + "Z": [ 5168 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_1_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5169 ], + "BLUT": [ 5170 ], + "C0": [ 2738 ], + "Z": [ 5135 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_1_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2139 ], + "C": [ 2140 ], + "D": [ 2113 ], + "Z": [ 5169 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_1_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1712 ], + "Z": [ 5170 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5171 ], + "LSR": [ "0" ], + "Q": [ 3326 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5172 ], + "LSR": [ "0" ], + "Q": [ 2523 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_20_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5173 ], + "BLUT": [ 5174 ], + "C0": [ 2738 ], + "Z": [ 5172 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_20_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2523 ], + "C": [ 2531 ], + "D": [ 1897 ], + "Z": [ 5173 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_20_DI_PFUMX_Z_ALUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2123 ], + "C": [ 2124 ], + "D": [ 2113 ], + "Z": [ 2531 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_20_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1697 ], + "Z": [ 5174 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5175 ], + "LSR": [ "0" ], + "Q": [ 2526 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_21_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5176 ], + "BLUT": [ 5177 ], + "C0": [ 2738 ], + "Z": [ 5175 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_21_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1699 ], + "Z": [ 5177 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5178 ], + "LSR": [ "0" ], + "Q": [ 2522 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_22_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5179 ], + "BLUT": [ 5180 ], + "C0": [ 2738 ], + "Z": [ 5178 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_22_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1683 ], + "Z": [ 5180 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5181 ], + "LSR": [ "0" ], + "Q": [ 2525 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_23_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5182 ], + "BLUT": [ 5183 ], + "C0": [ 2738 ], + "Z": [ 5181 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_23_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1702 ], + "Z": [ 5183 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5184 ], + "LSR": [ "0" ], + "Q": [ 2499 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_24_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5185 ], + "BLUT": [ 5186 ], + "C0": [ 2738 ], + "Z": [ 5184 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_24_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1704 ], + "Z": [ 5186 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_2_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5187 ], + "BLUT": [ 5188 ], + "C0": [ 2738 ], + "Z": [ 5171 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_2_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2161 ], + "C": [ 2162 ], + "D": [ 2113 ], + "Z": [ 5187 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_2_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1734 ], + "Z": [ 5188 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5189 ], + "LSR": [ "0" ], + "Q": [ 5190 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_3_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5191 ], + "BLUT": [ 5192 ], + "C0": [ 2738 ], + "Z": [ 5189 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_3_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2163 ], + "C": [ 2164 ], + "D": [ 2113 ], + "Z": [ 5191 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_3_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1738 ], + "Z": [ 5192 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5193 ], + "LSR": [ "0" ], + "Q": [ 4588 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_4_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5194 ], + "BLUT": [ 5195 ], + "C0": [ 2738 ], + "Z": [ 5193 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_4_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2165 ], + "C": [ 2166 ], + "D": [ 2113 ], + "Z": [ 5194 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_4_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1740 ], + "Z": [ 5195 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5196 ], + "LSR": [ "0" ], + "Q": [ 3995 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_5_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5197 ], + "BLUT": [ 5198 ], + "C0": [ 2738 ], + "Z": [ 5196 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_5_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2167 ], + "C": [ 2168 ], + "D": [ 2113 ], + "Z": [ 5197 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_5_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1684 ], + "Z": [ 5198 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5199 ], + "LSR": [ "0" ], + "Q": [ 2339 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_6_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5200 ], + "BLUT": [ 5201 ], + "C0": [ 2738 ], + "Z": [ 5199 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_6_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2133 ], + "C": [ 2134 ], + "D": [ 2113 ], + "Z": [ 5200 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_6_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1706 ], + "Z": [ 5201 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5202 ], + "LSR": [ "0" ], + "Q": [ 2729 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_7_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5203 ], + "BLUT": [ 5204 ], + "C0": [ 2738 ], + "Z": [ 5202 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_7_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2135 ], + "C": [ 2136 ], + "D": [ 2113 ], + "Z": [ 5203 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_7_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1708 ], + "Z": [ 5204 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5205 ], + "LSR": [ "0" ], + "Q": [ 5206 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_8_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5207 ], + "BLUT": [ 5208 ], + "C0": [ 2738 ], + "Z": [ 5205 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_8_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2141 ], + "C": [ 2142 ], + "D": [ 2113 ], + "Z": [ 5207 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_8_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1714 ], + "Z": [ 5208 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5209 ], + "LSR": [ "0" ], + "Q": [ 5210 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_9_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5211 ], + "BLUT": [ 5212 ], + "C0": [ 2738 ], + "Z": [ 5209 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_9_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2143 ], + "C": [ 2144 ], + "D": [ 2113 ], + "Z": [ 5211 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_9_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1716 ], + "Z": [ 5212 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1897 ], + "D": [ 2738 ], + "Z": [ 2734 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5213 ], + "BLUT": [ 5214 ], + "C0": [ 2738 ], + "Z": [ 5134 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2117 ], + "C": [ 2118 ], + "D": [ 2113 ], + "Z": [ 5213 ] + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1691 ], + "Z": [ 5214 ] + } + }, + "core_cpu._zz_88__LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2532 ], + "C": [ 5215 ], + "D": [ 2533 ], + "Z": [ 2284 ] + } + }, + "core_cpu._zz_88__LUT4_C_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2532 ], + "C": [ 5215 ], + "D": [ 2533 ], + "Z": [ 2297 ] + } + }, + "core_cpu._zz_88__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:64.155-64.206" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ "0" ], + "LSR": [ 71 ], + "Q": [ 5215 ] + } + }, + "core_cpu._zz_91__LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3996 ], + "B": [ 2565 ], + "C": [ 4581 ], + "D": [ 3326 ], + "Z": [ 5216 ] + } + }, + "core_cpu._zz_91__LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2504 ], + "B": [ 2507 ], + "C": [ 2514 ], + "D": [ 2512 ], + "Z": [ 5217 ] + } + }, + "core_cpu._zz_91__LUT4_B_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3995 ], + "C": [ 4588 ], + "D": [ 2509 ], + "Z": [ 5218 ] + } + }, + "core_cpu._zz_91__LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4580 ], + "D": [ 2565 ], + "Z": [ 5219 ] + } + }, + "core_cpu._zz_91__LUT4_D_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5220 ], + "C": [ 2340 ], + "D": [ 2565 ], + "Z": [ 4071 ] + } + }, + "core_cpu._zz_91__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 2734 ], + "CLK": [ 3 ], + "DI": [ 5221 ], + "LSR": [ "0" ], + "Q": [ 2565 ] + } + }, + "core_cpu._zz_91__TRELLIS_FF_Q_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5222 ], + "BLUT": [ 5223 ], + "C0": [ 2738 ], + "Z": [ 5221 ] + } + }, + "core_cpu._zz_91__TRELLIS_FF_Q_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2115 ], + "C": [ 2116 ], + "D": [ 2113 ], + "Z": [ 5222 ] + } + }, + "core_cpu._zz_91__TRELLIS_FF_Q_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1689 ], + "Z": [ 5223 ] + } + }, + "core_cpu.dBus_cmd_payload_wr_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 2731 ], + "LSR": [ "0" ], + "Q": [ 292 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5224 ], + "BLUT": [ 5225 ], + "C0": [ 5226 ], + "Z": [ 5227 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5228 ], + "BLUT": [ 5229 ], + "C0": [ 5226 ], + "Z": [ 5230 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_10": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5231 ], + "BLUT": [ 5232 ], + "C0": [ 5226 ], + "Z": [ 5233 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_10_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2179 ], + "Z": [ 5231 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_10_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2180 ], + "C": [ 5234 ], + "D": [ 5235 ], + "Z": [ 5232 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_11": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5236 ], + "BLUT": [ 5237 ], + "C0": [ 5226 ], + "Z": [ 5238 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_11_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2181 ], + "Z": [ 5236 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_11_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2182 ], + "C": [ 5239 ], + "D": [ 5235 ], + "Z": [ 5237 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_12": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5240 ], + "BLUT": [ 5241 ], + "C0": [ 5226 ], + "Z": [ 5242 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_12_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2183 ], + "Z": [ 5240 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_12_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2184 ], + "C": [ 5243 ], + "D": [ 5235 ], + "Z": [ 5241 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_13": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5244 ], + "BLUT": [ 5245 ], + "C0": [ 5226 ], + "Z": [ 5246 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_13_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2185 ], + "Z": [ 5244 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_13_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2186 ], + "C": [ 5247 ], + "D": [ 5235 ], + "Z": [ 5245 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_14": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5248 ], + "BLUT": [ 5249 ], + "C0": [ 5226 ], + "Z": [ 5250 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_14_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2187 ], + "Z": [ 5248 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_14_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2188 ], + "C": [ 5251 ], + "D": [ 5235 ], + "Z": [ 5249 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_15": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5252 ], + "BLUT": [ 5253 ], + "C0": [ 5226 ], + "Z": [ 5254 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_15_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2189 ], + "Z": [ 5252 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_15_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2190 ], + "C": [ 5255 ], + "D": [ 5235 ], + "Z": [ 5253 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_16": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5256 ], + "BLUT": [ 5257 ], + "C0": [ 5226 ], + "Z": [ 5258 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_16_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2191 ], + "Z": [ 5256 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_16_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2192 ], + "C": [ 5259 ], + "D": [ 5235 ], + "Z": [ 5257 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_17": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5260 ], + "BLUT": [ 5261 ], + "C0": [ 5226 ], + "Z": [ 5262 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_17_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2193 ], + "Z": [ 5260 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_17_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2194 ], + "C": [ 5263 ], + "D": [ 5235 ], + "Z": [ 5261 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_18": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5264 ], + "BLUT": [ 5265 ], + "C0": [ 5226 ], + "Z": [ 5266 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_18_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2195 ], + "Z": [ 5264 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_18_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2196 ], + "C": [ 5267 ], + "D": [ 5235 ], + "Z": [ 5265 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_19": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5268 ], + "BLUT": [ 5269 ], + "C0": [ 5226 ], + "Z": [ 5270 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_19_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2197 ], + "Z": [ 5268 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_19_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2198 ], + "C": [ 5271 ], + "D": [ 5235 ], + "Z": [ 5269 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2177 ], + "Z": [ 5228 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2178 ], + "C": [ 5272 ], + "D": [ 5235 ], + "Z": [ 5229 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_2": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5273 ], + "BLUT": [ 5274 ], + "C0": [ 5226 ], + "Z": [ 5275 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_20": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5276 ], + "BLUT": [ 5277 ], + "C0": [ 5226 ], + "Z": [ 5278 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_20_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2201 ], + "Z": [ 5276 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_20_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2202 ], + "C": [ 5279 ], + "D": [ 5235 ], + "Z": [ 5277 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_21": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5280 ], + "BLUT": [ 5281 ], + "C0": [ 5226 ], + "Z": [ 5282 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_21_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2203 ], + "Z": [ 5280 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_21_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2204 ], + "C": [ 5283 ], + "D": [ 5235 ], + "Z": [ 5281 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_22": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5284 ], + "BLUT": [ 5285 ], + "C0": [ 5226 ], + "Z": [ 5286 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_22_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2205 ], + "Z": [ 5284 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_22_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2206 ], + "C": [ 5287 ], + "D": [ 5235 ], + "Z": [ 5285 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_23": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5288 ], + "BLUT": [ 5289 ], + "C0": [ 5226 ], + "Z": [ 5290 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_23_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2207 ], + "Z": [ 5288 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_23_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2208 ], + "C": [ 5291 ], + "D": [ 5235 ], + "Z": [ 5289 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_24": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5292 ], + "BLUT": [ 5293 ], + "C0": [ 5226 ], + "Z": [ 5294 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_24_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2209 ], + "Z": [ 5292 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_24_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2210 ], + "C": [ 5295 ], + "D": [ 5235 ], + "Z": [ 5293 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_25": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5296 ], + "BLUT": [ 5297 ], + "C0": [ 5226 ], + "Z": [ 5298 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_25_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2211 ], + "Z": [ 5296 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_25_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2212 ], + "C": [ 5299 ], + "D": [ 5235 ], + "Z": [ 5297 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_26": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5300 ], + "BLUT": [ 5301 ], + "C0": [ 5226 ], + "Z": [ 5302 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_26_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2213 ], + "Z": [ 5300 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_26_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2214 ], + "C": [ 5303 ], + "D": [ 5235 ], + "Z": [ 5301 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_27": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5304 ], + "BLUT": [ 5305 ], + "C0": [ 5226 ], + "Z": [ 5306 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_27_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2215 ], + "Z": [ 5304 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_27_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2216 ], + "C": [ 5307 ], + "D": [ 5235 ], + "Z": [ 5305 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_28": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5308 ], + "BLUT": [ 5309 ], + "C0": [ 5226 ], + "Z": [ 5310 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_28_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2217 ], + "Z": [ 5308 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_28_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2218 ], + "C": [ 5311 ], + "D": [ 5235 ], + "Z": [ 5309 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_29": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5312 ], + "BLUT": [ 5313 ], + "C0": [ 5226 ], + "Z": [ 5314 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_29_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2219 ], + "Z": [ 5312 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_29_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2220 ], + "C": [ 5315 ], + "D": [ 5235 ], + "Z": [ 5313 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_2_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2199 ], + "Z": [ 5273 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_2_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2200 ], + "C": [ 5316 ], + "D": [ 5235 ], + "Z": [ 5274 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_3": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5317 ], + "BLUT": [ 5318 ], + "C0": [ 5226 ], + "Z": [ 5319 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_30": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5320 ], + "BLUT": [ 5321 ], + "C0": [ 5226 ], + "Z": [ 5322 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_30_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 5323 ], + "Z": [ 5320 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_30_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5324 ], + "C": [ 5325 ], + "D": [ 5235 ], + "Z": [ 5321 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_31": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5326 ], + "BLUT": [ 5327 ], + "C0": [ 5226 ], + "Z": [ 5328 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_31_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 5329 ], + "Z": [ 5326 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_31_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5330 ], + "C": [ 5331 ], + "D": [ 5235 ], + "Z": [ 5327 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_3_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2221 ], + "Z": [ 5317 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_3_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2222 ], + "C": [ 5332 ], + "D": [ 5235 ], + "Z": [ 5318 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_4": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5333 ], + "BLUT": [ 5334 ], + "C0": [ 5226 ], + "Z": [ 5335 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_4_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2223 ], + "Z": [ 5333 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_4_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2224 ], + "C": [ 5336 ], + "D": [ 5235 ], + "Z": [ 5334 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_5": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5337 ], + "BLUT": [ 5338 ], + "C0": [ 5226 ], + "Z": [ 5339 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_5_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2225 ], + "Z": [ 5337 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_5_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2226 ], + "C": [ 5340 ], + "D": [ 5235 ], + "Z": [ 5338 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_6": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5341 ], + "BLUT": [ 5342 ], + "C0": [ 5226 ], + "Z": [ 5343 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_6_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2227 ], + "Z": [ 5341 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_6_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2228 ], + "C": [ 5344 ], + "D": [ 5235 ], + "Z": [ 5342 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_7": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5345 ], + "BLUT": [ 5346 ], + "C0": [ 5226 ], + "Z": [ 5347 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_7_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2229 ], + "Z": [ 5345 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_7_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2230 ], + "C": [ 5348 ], + "D": [ 5235 ], + "Z": [ 5346 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_8": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5349 ], + "BLUT": [ 5350 ], + "C0": [ 5226 ], + "Z": [ 5351 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_8_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2231 ], + "Z": [ 5349 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_8_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2232 ], + "C": [ 5352 ], + "D": [ 5235 ], + "Z": [ 5350 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_9": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5353 ], + "BLUT": [ 5354 ], + "C0": [ 5226 ], + "Z": [ 5355 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_9_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2233 ], + "Z": [ 5353 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_9_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2234 ], + "C": [ 5356 ], + "D": [ 5235 ], + "Z": [ 5354 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2175 ], + "Z": [ 5224 ] + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2176 ], + "C": [ 5357 ], + "D": [ 5235 ], + "Z": [ 5225 ] + } + }, + "core_cpu.debug_bus_cmd_payload_wr_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5358 ], + "B": [ 5359 ], + "C": [ 5360 ], + "D": [ 530 ], + "Z": [ 5361 ] + } + }, + "core_cpu.debug_bus_cmd_payload_wr_LUT4_C_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5362 ], + "B": [ 5363 ], + "C": [ 5361 ], + "D": [ 2719 ], + "Z": [ 1775 ] + } + }, + "core_cpu.debug_bus_cmd_payload_wr_LUT4_C_Z_LUT4_C_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 5361 ], + "D": [ 5364 ], + "Z": [ 1688 ] + } + }, + "core_cpu.debug_bus_cmd_payload_wr_LUT4_C_Z_LUT4_C_1_D_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 530 ], + "C": [ 5364 ], + "D": [ 5365 ], + "Z": [ 1685 ] + } + }, + "core_cpu.debug_bus_cmd_payload_wr_LUT4_C_Z_LUT4_C_1_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5362 ], + "C": [ 2719 ], + "D": [ 5363 ], + "Z": [ 5364 ] + } + }, + "core_cpu.debug_bus_cmd_payload_wr_LUT4_C_Z_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2719 ], + "B": [ 5363 ], + "C": [ 5362 ], + "D": [ 5361 ], + "Z": [ 1825 ] + } + }, + "core_cpu.debug_bus_cmd_payload_wr_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5359 ], + "C": [ 5358 ], + "D": [ 5360 ], + "Z": [ 5365 ] + } + }, + "core_cpu.debug_bus_cmd_payload_wr_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 5366 ], + "D": [ 5367 ], + "Z": [ 5363 ] + } + }, + "core_cpu.debug_bus_cmd_payload_wr_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 5368 ], + "LSR": [ "0" ], + "Q": [ 5360 ] + } + }, + "core_cpu.decode_BYPASSABLE_EXECUTE_STAGE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2729 ], + "B": [ 3242 ], + "C": [ 5369 ], + "D": [ 2340 ], + "Z": [ 5370 ] + } + }, + "core_cpu.decode_BYPASSABLE_EXECUTE_STAGE_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3995 ], + "B": [ 2729 ], + "C": [ 2731 ], + "D": [ 4000 ], + "Z": [ 5369 ] + } + }, + "core_cpu.decode_BYPASSABLE_MEMORY_STAGE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2339 ], + "B": [ 3995 ], + "C": [ 2731 ], + "D": [ 5371 ], + "Z": [ 5372 ] + } + }, + "core_cpu.decode_BYPASSABLE_MEMORY_STAGE_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2731 ], + "B": [ 2342 ], + "C": [ 2341 ], + "D": [ 2340 ], + "Z": [ 5371 ] + } + }, + "core_cpu.decode_CSR_WRITE_OPCODE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2499 ], + "C": [ 2729 ], + "D": [ 5373 ], + "Z": [ 5374 ] + } + }, + "core_cpu.decode_CSR_WRITE_OPCODE_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2525 ], + "B": [ 2522 ], + "C": [ 2526 ], + "D": [ 2523 ], + "Z": [ 5373 ] + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5375 ], + "D1": [ 5376 ], + "SD": [ 1000 ], + "Z": [ 5377 ] + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5378 ], + "D1": [ 5379 ], + "SD": [ 3327 ], + "Z": [ 5375 ] + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5380 ], + "BLUT": [ 5381 ], + "C0": [ 3356 ], + "Z": [ 5378 ] + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5380 ] + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3333 ], + "B": [ 3339 ], + "C": [ 3332 ], + "D": [ 3334 ], + "Z": [ 5381 ] + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5382 ], + "BLUT": [ 5383 ], + "C0": [ 3356 ], + "Z": [ 5379 ] + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5382 ] + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5383 ] + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5384 ], + "D1": [ 5385 ], + "SD": [ 3327 ], + "Z": [ 5376 ] + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5386 ], + "BLUT": [ 5387 ], + "C0": [ 3356 ], + "Z": [ 5384 ] + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5386 ] + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5387 ] + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5388 ], + "BLUT": [ 5389 ], + "C0": [ 3356 ], + "Z": [ 5385 ] + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5388 ] + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5389 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2514 ], + "C": [ 5390 ], + "D": [ 1897 ], + "Z": [ 2279 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2507 ], + "C": [ 5391 ], + "D": [ 1897 ], + "Z": [ 2278 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_1_C_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2507 ], + "C": [ 5391 ], + "D": [ 1897 ], + "Z": [ 5161 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2173 ], + "C": [ 2174 ], + "D": [ 2113 ], + "Z": [ 5391 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2512 ], + "C": [ 5392 ], + "D": [ 1897 ], + "Z": [ 2277 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_2_C_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2512 ], + "C": [ 5392 ], + "D": [ 1897 ], + "Z": [ 5164 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_2_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2119 ], + "C": [ 2120 ], + "D": [ 2113 ], + "Z": [ 5392 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2504 ], + "C": [ 5393 ], + "D": [ 1897 ], + "Z": [ 2276 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_3_C_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2504 ], + "C": [ 5393 ], + "D": [ 1897 ], + "Z": [ 5167 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_3_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2121 ], + "C": [ 2122 ], + "D": [ 2113 ], + "Z": [ 5393 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2526 ], + "C": [ 5394 ], + "D": [ 1897 ], + "Z": [ 2292 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_4_C_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2526 ], + "C": [ 5394 ], + "D": [ 1897 ], + "Z": [ 5176 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_4_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2125 ], + "C": [ 2126 ], + "D": [ 2113 ], + "Z": [ 5394 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2522 ], + "C": [ 5395 ], + "D": [ 1897 ], + "Z": [ 2291 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_5_C_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2522 ], + "C": [ 5395 ], + "D": [ 1897 ], + "Z": [ 5179 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_5_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2127 ], + "C": [ 2128 ], + "D": [ 2113 ], + "Z": [ 5395 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2525 ], + "C": [ 5396 ], + "D": [ 1897 ], + "Z": [ 2290 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_6_C_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2525 ], + "C": [ 5396 ], + "D": [ 1897 ], + "Z": [ 5182 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_6_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2129 ], + "C": [ 2130 ], + "D": [ 2113 ], + "Z": [ 5396 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2499 ], + "C": [ 5397 ], + "D": [ 1897 ], + "Z": [ 2289 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_7_C_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2499 ], + "C": [ 5397 ], + "D": [ 1897 ], + "Z": [ 5185 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_7_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2131 ], + "C": [ 2132 ], + "D": [ 2113 ], + "Z": [ 5397 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_C_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2514 ], + "C": [ 5390 ], + "D": [ 1897 ], + "Z": [ 5158 ] + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2171 ], + "C": [ 2172 ], + "D": [ 2113 ], + "Z": [ 5390 ] + } + }, + "core_cpu.decode_IS_CSR_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3242 ], + "C": [ 2729 ], + "D": [ 3324 ], + "Z": [ 5398 ] + } + }, + "core_cpu.decode_IS_DIV_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5399 ], + "BLUT": [ 5400 ], + "C0": [ 3995 ], + "Z": [ 5401 ] + } + }, + "core_cpu.decode_IS_DIV_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2340 ], + "B": [ 2341 ], + "C": [ 2731 ], + "D": [ 2339 ], + "Z": [ 5399 ] + } + }, + "core_cpu.decode_IS_DIV_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5400 ] + } + }, + "core_cpu.decode_IS_MUL_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2341 ], + "C": [ 2731 ], + "D": [ 5402 ], + "Z": [ 5403 ] + } + }, + "core_cpu.decode_IS_MUL_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2339 ], + "B": [ 2340 ], + "C": [ 2342 ], + "D": [ 3995 ], + "Z": [ 5402 ] + } + }, + "core_cpu.decode_IS_RS1_SIGNED_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:115.33-116.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 3242 ], + "Z": [ 5404 ] + } + }, + "core_cpu.decode_MEMORY_ENABLE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3237 ], + "C": [ 2341 ], + "D": [ 2342 ], + "Z": [ 5405 ] + } + }, + "core_cpu.decode_SRC1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5406 ], + "D1": [ 5407 ], + "SD": [ 2341 ], + "Z": [ 5408 ] + } + }, + "core_cpu.decode_SRC1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5409 ], + "BLUT": [ 5410 ], + "C0": [ 2342 ], + "Z": [ 5406 ] + } + }, + "core_cpu.decode_SRC1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4558 ], + "D": [ 2340 ], + "Z": [ 5409 ] + } + }, + "core_cpu.decode_SRC1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2522 ], + "C": [ 4558 ], + "D": [ 2340 ], + "Z": [ 5410 ] + } + }, + "core_cpu.decode_SRC1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5411 ], + "BLUT": [ 5412 ], + "C0": [ 2342 ], + "Z": [ 5407 ] + } + }, + "core_cpu.decode_SRC1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111001111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2339 ], + "C": [ 2522 ], + "D": [ 4558 ], + "Z": [ 5411 ] + } + }, + "core_cpu.decode_SRC1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4558 ], + "D": [ 2340 ], + "Z": [ 5412 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4357 ], + "B": [ 2343 ], + "C": [ 4580 ], + "D": [ 3996 ], + "Z": [ 5413 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4361 ], + "B": [ 2343 ], + "C": [ 4580 ], + "D": [ 3326 ], + "Z": [ 5414 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4636 ], + "D": [ 2343 ], + "Z": [ 5415 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4531 ], + "D": [ 2343 ], + "Z": [ 5416 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4639 ], + "D": [ 2343 ], + "Z": [ 5417 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111110001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4540 ], + "B": [ 2343 ], + "C": [ 5418 ], + "D": [ 2523 ], + "Z": [ 5419 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111110001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4549 ], + "B": [ 2343 ], + "C": [ 5418 ], + "D": [ 2526 ], + "Z": [ 5420 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111110001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4567 ], + "B": [ 2343 ], + "C": [ 5418 ], + "D": [ 2525 ], + "Z": [ 5421 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111110001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4576 ], + "B": [ 2343 ], + "C": [ 5418 ], + "D": [ 2499 ], + "Z": [ 5422 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_16_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101111111110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2339 ], + "B": [ 2340 ], + "C": [ 2341 ], + "D": [ 2342 ], + "Z": [ 5418 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4373 ], + "B": [ 2343 ], + "C": [ 4580 ], + "D": [ 5190 ], + "Z": [ 5423 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4384 ], + "B": [ 2343 ], + "C": [ 4580 ], + "D": [ 3995 ], + "Z": [ 5424 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4395 ], + "B": [ 2343 ], + "C": [ 4580 ], + "D": [ 2507 ], + "Z": [ 5425 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4406 ], + "B": [ 2343 ], + "C": [ 4580 ], + "D": [ 2504 ], + "Z": [ 5426 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4416 ], + "B": [ 2343 ], + "C": [ 4580 ], + "D": [ 2499 ], + "Z": [ 5427 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4435 ], + "B": [ 2343 ], + "C": [ 4580 ], + "D": [ 2339 ], + "Z": [ 5428 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4454 ], + "B": [ 2343 ], + "C": [ 4580 ], + "D": [ 2729 ], + "Z": [ 5429 ] + } + }, + "core_cpu.decode_SRC1_LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4473 ], + "B": [ 2343 ], + "C": [ 4580 ], + "D": [ 3242 ], + "Z": [ 5430 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5431 ], + "BLUT": [ 5432 ], + "C0": [ 2343 ], + "Z": [ 5433 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5434 ], + "BLUT": [ 5435 ], + "C0": [ 2343 ], + "Z": [ 5436 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_10": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5437 ], + "BLUT": [ 5438 ], + "C0": [ 2343 ], + "Z": [ 5439 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_10_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000010001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4505 ], + "B": [ 4506 ], + "C": [ 4313 ], + "D": [ 4368 ], + "Z": [ 5437 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_10_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5438 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_11": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5440 ], + "BLUT": [ 5441 ], + "C0": [ 2343 ], + "Z": [ 5442 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_11_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000010001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4513 ], + "B": [ 4514 ], + "C": [ 4325 ], + "D": [ 4368 ], + "Z": [ 5440 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_11_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5441 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_12": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5443 ], + "BLUT": [ 5444 ], + "C0": [ 2343 ], + "Z": [ 5445 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_12_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011101110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4521 ], + "B": [ 4522 ], + "C": [ 4042 ], + "D": [ 4368 ], + "Z": [ 5443 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_12_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5444 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_13": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5446 ], + "BLUT": [ 5447 ], + "C0": [ 2343 ], + "Z": [ 5448 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_13_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000010001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2336 ], + "B": [ 2338 ], + "C": [ 4337 ], + "D": [ 4368 ], + "Z": [ 5446 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_13_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5447 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4501 ], + "B": [ 4502 ], + "C": [ 4503 ], + "D": [ 4582 ], + "Z": [ 5434 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4582 ], + "Z": [ 5435 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_2": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5449 ], + "BLUT": [ 5450 ], + "C0": [ 2343 ], + "Z": [ 5451 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_2_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4584 ], + "B": [ 4585 ], + "C": [ 4586 ], + "D": [ 4589 ], + "Z": [ 5449 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_2_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4589 ], + "Z": [ 5450 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_3": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5452 ], + "BLUT": [ 5453 ], + "C0": [ 2343 ], + "Z": [ 5454 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_3_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4591 ], + "B": [ 4592 ], + "C": [ 4593 ], + "D": [ 4595 ], + "Z": [ 5452 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_3_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4595 ], + "Z": [ 5453 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_4": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5455 ], + "BLUT": [ 5456 ], + "C0": [ 2343 ], + "Z": [ 5457 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_4_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4597 ], + "B": [ 4598 ], + "C": [ 4599 ], + "D": [ 4601 ], + "Z": [ 5455 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_4_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4601 ], + "Z": [ 5456 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_5": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5458 ], + "BLUT": [ 5459 ], + "C0": [ 2343 ], + "Z": [ 5460 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_5_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4603 ], + "B": [ 4604 ], + "C": [ 4605 ], + "D": [ 4607 ], + "Z": [ 5458 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_5_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4607 ], + "Z": [ 5459 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_6": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5461 ], + "BLUT": [ 5462 ], + "C0": [ 2343 ], + "Z": [ 5463 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_6_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4609 ], + "B": [ 4610 ], + "C": [ 4611 ], + "D": [ 4613 ], + "Z": [ 5461 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_6_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4613 ], + "Z": [ 5462 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_7": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5464 ], + "BLUT": [ 5465 ], + "C0": [ 2343 ], + "Z": [ 5466 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_7_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4615 ], + "B": [ 4616 ], + "C": [ 4617 ], + "D": [ 4619 ], + "Z": [ 5464 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_7_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4619 ], + "Z": [ 5465 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_8": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5467 ], + "BLUT": [ 5468 ], + "C0": [ 2343 ], + "Z": [ 5469 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_8_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4621 ], + "B": [ 4622 ], + "C": [ 4623 ], + "D": [ 4625 ], + "Z": [ 5467 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_8_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4625 ], + "Z": [ 5468 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_9": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5470 ], + "BLUT": [ 5471 ], + "C0": [ 2343 ], + "Z": [ 5472 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_9_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4627 ], + "B": [ 4628 ], + "C": [ 4629 ], + "D": [ 4631 ], + "Z": [ 5470 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_9_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4631 ], + "Z": [ 5471 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4497 ], + "B": [ 4498 ], + "C": [ 4499 ], + "D": [ 5219 ], + "Z": [ 5431 ] + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 5219 ], + "Z": [ 5432 ] + } + }, + "core_cpu.decode_SRC2_FORCE_ZERO_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2341 ], + "C": [ 2340 ], + "D": [ 2731 ], + "Z": [ 5473 ] + } + }, + "core_cpu.decode_SRC2_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5220 ], + "C": [ 4193 ], + "D": [ 5474 ], + "Z": [ 5475 ] + } + }, + "core_cpu.decode_SRC2_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5220 ], + "C": [ 4203 ], + "D": [ 5476 ], + "Z": [ 5477 ] + } + }, + "core_cpu.decode_SRC2_LUT4_Z_1_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5478 ], + "B": [ 2504 ], + "C": [ 5479 ], + "D": [ 5145 ], + "Z": [ 5476 ] + } + }, + "core_cpu.decode_SRC2_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5478 ], + "B": [ 2512 ], + "C": [ 5479 ], + "D": [ 5141 ], + "Z": [ 5474 ] + } + }, + "core_cpu.decode_SRC2_LUT4_Z_D_LUT4_Z_C_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5479 ], + "B": [ 5137 ], + "C": [ 2340 ], + "D": [ 1242 ], + "Z": [ 5480 ] + } + }, + "core_cpu.decode_SRC2_LUT4_Z_D_LUT4_Z_C_LUT4_A_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2341 ], + "B": [ 2342 ], + "C": [ 2340 ], + "D": [ 2731 ], + "Z": [ 5220 ] + } + }, + "core_cpu.decode_SRC2_LUT4_Z_D_LUT4_Z_C_LUT4_A_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2731 ], + "D": [ 2340 ], + "Z": [ 5478 ] + } + }, + "core_cpu.decode_SRC2_LUT4_Z_D_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2340 ], + "B": [ 2341 ], + "C": [ 2342 ], + "D": [ 2731 ], + "Z": [ 5479 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5481 ], + "BLUT": [ 5482 ], + "C0": [ 5220 ], + "Z": [ 5483 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5484 ], + "BLUT": [ 5485 ], + "C0": [ 5220 ], + "Z": [ 5486 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_10": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5487 ], + "BLUT": [ 5488 ], + "C0": [ 5220 ], + "Z": [ 5489 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_10_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111110101111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4068 ], + "B": [ 4069 ], + "C": [ 4072 ], + "D": [ 4004 ], + "Z": [ 5487 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_10_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4072 ], + "Z": [ 5488 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_11": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5490 ], + "BLUT": [ 5491 ], + "C0": [ 5220 ], + "Z": [ 5492 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_11_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111110101111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4080 ], + "B": [ 4081 ], + "C": [ 4083 ], + "D": [ 4004 ], + "Z": [ 5490 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_11_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4083 ], + "Z": [ 5491 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_12": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5493 ], + "BLUT": [ 5494 ], + "C0": [ 5220 ], + "Z": [ 5495 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_12_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111010011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4091 ], + "B": [ 4092 ], + "C": [ 4093 ], + "D": [ 4095 ], + "Z": [ 5493 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_12_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4095 ], + "Z": [ 5494 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_13": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5496 ], + "BLUT": [ 5497 ], + "C0": [ 5220 ], + "Z": [ 5498 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_13_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111010011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4098 ], + "B": [ 4099 ], + "C": [ 4100 ], + "D": [ 4102 ], + "Z": [ 5496 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_13_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4102 ], + "Z": [ 5497 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_14": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5499 ], + "BLUT": [ 5500 ], + "C0": [ 5220 ], + "Z": [ 5501 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_14_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111010011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4105 ], + "B": [ 4106 ], + "C": [ 4107 ], + "D": [ 4109 ], + "Z": [ 5499 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_14_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4109 ], + "Z": [ 5500 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_15": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5502 ], + "BLUT": [ 5503 ], + "C0": [ 5220 ], + "Z": [ 5504 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_15_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111010011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4112 ], + "B": [ 4113 ], + "C": [ 4114 ], + "D": [ 4116 ], + "Z": [ 5502 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_15_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4116 ], + "Z": [ 5503 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_16": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5505 ], + "BLUT": [ 5506 ], + "C0": [ 5220 ], + "Z": [ 5507 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_16_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4294 ], + "C": [ 1214 ], + "D": [ 2340 ], + "Z": [ 5505 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_16_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2565 ], + "C": [ 1214 ], + "D": [ 2340 ], + "Z": [ 5506 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_17": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5508 ], + "BLUT": [ 5509 ], + "C0": [ 5220 ], + "Z": [ 5510 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_17_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4005 ], + "C": [ 1216 ], + "D": [ 2340 ], + "Z": [ 5508 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_17_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2565 ], + "C": [ 1216 ], + "D": [ 2340 ], + "Z": [ 5509 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_18": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5511 ], + "BLUT": [ 5512 ], + "C0": [ 5220 ], + "Z": [ 5513 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_18_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4298 ], + "C": [ 1218 ], + "D": [ 2340 ], + "Z": [ 5511 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_18_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2565 ], + "C": [ 1218 ], + "D": [ 2340 ], + "Z": [ 5512 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_19": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5514 ], + "BLUT": [ 5515 ], + "C0": [ 5220 ], + "Z": [ 5516 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_19_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4008 ], + "C": [ 1220 ], + "D": [ 2340 ], + "Z": [ 5514 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_19_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2565 ], + "C": [ 1220 ], + "D": [ 2340 ], + "Z": [ 5515 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111110101111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4065 ], + "B": [ 4066 ], + "C": [ 4159 ], + "D": [ 4004 ], + "Z": [ 5484 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4159 ], + "Z": [ 5485 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_2": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5517 ], + "BLUT": [ 5518 ], + "C0": [ 5220 ], + "Z": [ 5519 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_20": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5520 ], + "BLUT": [ 5521 ], + "C0": [ 5220 ], + "Z": [ 5522 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_20_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4310 ], + "C": [ 1224 ], + "D": [ 2340 ], + "Z": [ 5520 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_20_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2565 ], + "C": [ 1224 ], + "D": [ 2340 ], + "Z": [ 5521 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_21": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5523 ], + "BLUT": [ 5524 ], + "C0": [ 5220 ], + "Z": [ 5525 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_21_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4322 ], + "C": [ 1226 ], + "D": [ 2340 ], + "Z": [ 5523 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_21_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3996 ], + "C": [ 1226 ], + "D": [ 2340 ], + "Z": [ 5524 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_22": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5526 ], + "BLUT": [ 5527 ], + "C0": [ 5220 ], + "Z": [ 5528 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_22_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4030 ], + "C": [ 1228 ], + "D": [ 2340 ], + "Z": [ 5526 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_22_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4581 ], + "C": [ 1228 ], + "D": [ 2340 ], + "Z": [ 5527 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_23": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5529 ], + "BLUT": [ 5530 ], + "C0": [ 5220 ], + "Z": [ 5531 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_23_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4334 ], + "C": [ 1230 ], + "D": [ 2340 ], + "Z": [ 5529 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_23_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3326 ], + "C": [ 1230 ], + "D": [ 2340 ], + "Z": [ 5530 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_24": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5532 ], + "BLUT": [ 5533 ], + "C0": [ 5220 ], + "Z": [ 5534 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_24_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4121 ], + "C": [ 1232 ], + "D": [ 2340 ], + "Z": [ 5532 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_24_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5190 ], + "C": [ 1232 ], + "D": [ 2340 ], + "Z": [ 5533 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_25": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5535 ], + "BLUT": [ 5536 ], + "C0": [ 5220 ], + "Z": [ 5537 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_25_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4131 ], + "C": [ 1234 ], + "D": [ 2340 ], + "Z": [ 5535 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_25_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4588 ], + "C": [ 1234 ], + "D": [ 2340 ], + "Z": [ 5536 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_26": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5538 ], + "BLUT": [ 5539 ], + "C0": [ 5220 ], + "Z": [ 5540 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_26_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4141 ], + "C": [ 1236 ], + "D": [ 2340 ], + "Z": [ 5538 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_26_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3995 ], + "C": [ 1236 ], + "D": [ 2340 ], + "Z": [ 5539 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_27": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5541 ], + "BLUT": [ 5542 ], + "C0": [ 5543 ], + "Z": [ 5544 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_27_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4151 ], + "B": [ 5220 ], + "C": [ 5478 ], + "D": [ 2509 ], + "Z": [ 5541 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_27_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5542 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_27_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5479 ], + "B": [ 5206 ], + "C": [ 2340 ], + "D": [ 1238 ], + "Z": [ 5543 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_28": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5545 ], + "BLUT": [ 5546 ], + "C0": [ 5547 ], + "Z": [ 5548 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_28_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4173 ], + "B": [ 5220 ], + "C": [ 5478 ], + "D": [ 2514 ], + "Z": [ 5545 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_28_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5546 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_28_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5479 ], + "B": [ 5210 ], + "C": [ 2340 ], + "D": [ 1240 ], + "Z": [ 5547 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_29": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5549 ], + "BLUT": [ 5550 ], + "C0": [ 5480 ], + "Z": [ 5551 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_29_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4183 ], + "B": [ 5220 ], + "C": [ 5478 ], + "D": [ 2507 ], + "Z": [ 5549 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_29_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5550 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_2_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111010011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4167 ], + "B": [ 4168 ], + "C": [ 4169 ], + "D": [ 4207 ], + "Z": [ 5517 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_2_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4207 ], + "Z": [ 5518 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_3": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5552 ], + "BLUT": [ 5553 ], + "C0": [ 5220 ], + "Z": [ 5554 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_3_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111110101111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4210 ], + "B": [ 4211 ], + "C": [ 4213 ], + "D": [ 4004 ], + "Z": [ 5552 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_3_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4213 ], + "Z": [ 5553 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_4": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5555 ], + "BLUT": [ 5556 ], + "C0": [ 5220 ], + "Z": [ 5557 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_4_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111010011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4221 ], + "B": [ 4222 ], + "C": [ 4223 ], + "D": [ 4225 ], + "Z": [ 5555 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_4_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4225 ], + "Z": [ 5556 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_5": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5558 ], + "BLUT": [ 5559 ], + "C0": [ 5220 ], + "Z": [ 5560 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_5_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111110101111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4228 ], + "B": [ 4229 ], + "C": [ 4231 ], + "D": [ 4004 ], + "Z": [ 5558 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_5_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4231 ], + "Z": [ 5559 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_6": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5561 ], + "BLUT": [ 5562 ], + "C0": [ 5220 ], + "Z": [ 5563 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_6_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111110101111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4239 ], + "B": [ 4240 ], + "C": [ 4242 ], + "D": [ 4004 ], + "Z": [ 5561 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_6_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4242 ], + "Z": [ 5562 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_7": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5564 ], + "BLUT": [ 5565 ], + "C0": [ 5220 ], + "Z": [ 5566 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_7_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111110101111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4250 ], + "B": [ 4251 ], + "C": [ 4253 ], + "D": [ 4004 ], + "Z": [ 5564 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_7_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4253 ], + "Z": [ 5565 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_8": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5567 ], + "BLUT": [ 5568 ], + "C0": [ 5220 ], + "Z": [ 5569 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_8_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111110101111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4261 ], + "B": [ 4262 ], + "C": [ 4264 ], + "D": [ 4004 ], + "Z": [ 5567 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_8_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4264 ], + "Z": [ 5568 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_9": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5570 ], + "BLUT": [ 5571 ], + "C0": [ 5220 ], + "Z": [ 5572 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_9_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111110101111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4272 ], + "B": [ 4273 ], + "C": [ 4275 ], + "D": [ 4004 ], + "Z": [ 5570 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_9_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4275 ], + "Z": [ 5571 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111110101111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4062 ], + "B": [ 4063 ], + "C": [ 4283 ], + "D": [ 4004 ], + "Z": [ 5481 ] + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 4283 ], + "Z": [ 5482 ] + } + }, + "core_cpu.decode_SRC_LESS_UNSIGNED_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100111101000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2339 ], + "B": [ 3242 ], + "C": [ 2342 ], + "D": [ 2729 ], + "Z": [ 5573 ] + } + }, + "core_cpu.decode_SRC_USE_SUB_LESS_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5574 ], + "C": [ 2341 ], + "D": [ 2340 ], + "Z": [ 5575 ] + } + }, + "core_cpu.decode_SRC_USE_SUB_LESS_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2731 ], + "B": [ 3996 ], + "C": [ 2729 ], + "D": [ 2342 ], + "Z": [ 5574 ] + } + }, + "core_cpu.decode_arbitration_isStuck_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 5051 ], + "D": [ 5052 ], + "Z": [ 1897 ] + } + }, + "core_cpu.decode_to_execute_ALU_BITWISE_CTRL_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4653 ], + "LSR": [ "0" ], + "Q": [ 1525 ] + } + }, + "core_cpu.decode_to_execute_ALU_CTRL_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 3991 ], + "LSR": [ "0" ], + "Q": [ 1523 ] + } + }, + "core_cpu.decode_to_execute_ALU_CTRL_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 3992 ], + "LSR": [ "0" ], + "Q": [ 1522 ] + } + }, + "core_cpu.decode_to_execute_BRANCH_CTRL_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 3998 ], + "LSR": [ "0" ], + "Q": [ 2569 ] + } + }, + "core_cpu.decode_to_execute_BRANCH_CTRL_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 3999 ], + "LSR": [ "0" ], + "Q": [ 2568 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5576 ], + "C": [ 5577 ], + "D": [ 1883 ], + "Z": [ 5094 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5578 ], + "BLUT": [ 5579 ], + "C0": [ 2600 ], + "Z": [ 5128 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B_Z_PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5580 ], + "BLUT": [ 5581 ], + "C0": [ 2522 ], + "Z": [ 5130 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B_Z_PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2526 ], + "B": [ 2595 ], + "C": [ 5582 ], + "D": [ 2574 ], + "Z": [ 5580 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B_Z_PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2526 ], + "B": [ 2595 ], + "C": [ 5582 ], + "D": [ 2574 ], + "Z": [ 5581 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B_Z_PFUMX_Z_1_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2523 ], + "D": [ 2592 ], + "Z": [ 5582 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B_Z_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000110100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2526 ], + "B": [ 2595 ], + "C": [ 5583 ], + "D": [ 2499 ], + "Z": [ 5578 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B_Z_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2526 ], + "B": [ 2595 ], + "C": [ 5583 ], + "D": [ 2499 ], + "Z": [ 5579 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B_Z_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2592 ], + "D": [ 2523 ], + "Z": [ 5583 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1883 ], + "C": [ 5577 ], + "D": [ 5576 ], + "Z": [ 5584 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2525 ], + "B": [ 2577 ], + "C": [ 5584 ], + "D": [ 5130 ], + "Z": [ 5585 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C_Z_PFUMX_ALUT": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5585 ], + "BLUT": [ 5586 ], + "C0": [ 5128 ], + "Z": [ 4368 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C_Z_PFUMX_ALUT_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5586 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C_Z_PFUMX_ALUT_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000010100000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1635 ], + "B": [ 5587 ], + "C": [ 2334 ], + "D": [ 2335 ], + "Z": [ 4640 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C_Z_PFUMX_ALUT_Z_LUT4_Z_B_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5588 ], + "BLUT": [ 5589 ], + "C0": [ 2331 ], + "Z": [ 5587 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C_Z_PFUMX_ALUT_Z_LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 2552 ], + "Z": [ 5588 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C_Z_PFUMX_ALUT_Z_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5590 ], + "C": [ 5591 ], + "D": [ 2328 ], + "Z": [ 5589 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C_Z_PFUMX_ALUT_Z_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2315 ], + "LSR": [ "0" ], + "Q": [ 5591 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C_Z_PFUMX_ALUT_Z_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2307 ], + "LSR": [ "0" ], + "Q": [ 5590 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5093 ], + "B": [ 5095 ], + "C": [ 5096 ], + "D": [ 5584 ], + "Z": [ 4004 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000010100000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2549 ], + "B": [ 5592 ], + "C": [ 4015 ], + "D": [ 2517 ], + "Z": [ 4035 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_D_Z_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5593 ], + "C": [ 5594 ], + "D": [ 2390 ], + "Z": [ 5592 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_D_Z_LUT4_Z_B_LUT4_Z_B_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2345 ], + "LSR": [ "0" ], + "Q": [ 5594 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_D_Z_LUT4_Z_B_LUT4_Z_B_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2319 ], + "LSR": [ "0" ], + "Q": [ 5593 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5370 ], + "LSR": [ "0" ], + "Q": [ 5576 ] + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_MEMORY_STAGE_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5372 ], + "LSR": [ "0" ], + "Q": [ 5595 ] + } + }, + "core_cpu.decode_to_execute_CSR_WRITE_OPCODE_PFUMX_C0": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5596 ], + "BLUT": [ 5597 ], + "C0": [ 5598 ], + "Z": [ 1280 ] + } + }, + "core_cpu.decode_to_execute_CSR_WRITE_OPCODE_PFUMX_C0_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1442 ], + "B": [ 1881 ], + "C": [ 394 ], + "D": [ 4656 ], + "Z": [ 5596 ] + } + }, + "core_cpu.decode_to_execute_CSR_WRITE_OPCODE_PFUMX_C0_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5597 ] + } + }, + "core_cpu.decode_to_execute_CSR_WRITE_OPCODE_PFUMX_C0_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1280 ], + "D": [ 1035 ], + "Z": [ 1463 ] + } + }, + "core_cpu.decode_to_execute_CSR_WRITE_OPCODE_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5374 ], + "LSR": [ "0" ], + "Q": [ 5598 ] + } + }, + "core_cpu.decode_to_execute_DO_EBREAK_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1883 ], + "D": [ 5599 ], + "Z": [ 1555 ] + } + }, + "core_cpu.decode_to_execute_DO_EBREAK_LUT4_D_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1555 ], + "D": [ 1442 ], + "Z": [ 1553 ] + } + }, + "core_cpu.decode_to_execute_DO_EBREAK_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 396 ], + "C": [ 269 ], + "D": [ 5600 ], + "Z": [ 5601 ] + } + }, + "core_cpu.decode_to_execute_DO_EBREAK_LUT4_D_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5226 ], + "B": [ 5235 ], + "C": [ 5602 ], + "D": [ 5603 ], + "Z": [ 5604 ] + } + }, + "core_cpu.decode_to_execute_DO_EBREAK_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5377 ], + "LSR": [ "0" ], + "Q": [ 5599 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1883 ], + "B": [ 5605 ], + "C": [ 1548 ], + "D": [ 1016 ], + "Z": [ 5606 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5606 ], + "BLUT": [ 5607 ], + "C0": [ 1021 ], + "Z": [ 5052 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5607 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5608 ], + "D1": [ 5609 ], + "SD": [ 1015 ], + "Z": [ 5051 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5610 ], + "D1": [ 5611 ], + "SD": [ 3994 ], + "Z": [ 5608 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5612 ], + "BLUT": [ 5613 ], + "C0": [ 5092 ], + "Z": [ 5610 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5119 ], + "B": [ 5129 ], + "C": [ 5104 ], + "D": [ 5097 ], + "Z": [ 5612 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 5119 ], + "D": [ 5129 ], + "Z": [ 5613 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5614 ], + "BLUT": [ 5615 ], + "C0": [ 5092 ], + "Z": [ 5611 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 5104 ], + "D": [ 5097 ], + "Z": [ 5614 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5615 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 5616 ], + "D1": [ 5617 ], + "SD": [ 3994 ], + "Z": [ 5609 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5618 ], + "BLUT": [ 5619 ], + "C0": [ 5092 ], + "Z": [ 5616 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5618 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5619 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5620 ], + "BLUT": [ 5621 ], + "C0": [ 5092 ], + "Z": [ 5617 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5620 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5621 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000001111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2105 ], + "B": [ 2111 ], + "C": [ 2104 ], + "D": [ 72 ], + "Z": [ 5053 ] + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 3325 ], + "LSR": [ "0" ], + "Q": [ 5605 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 3996 ], + "LSR": [ "0" ], + "Q": [ 5622 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4581 ], + "LSR": [ "0" ], + "Q": [ 5623 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 2504 ], + "LSR": [ "0" ], + "Q": [ 2579 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 2523 ], + "LSR": [ "0" ], + "Q": [ 2567 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 2526 ], + "LSR": [ "0" ], + "Q": [ 2571 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 2522 ], + "LSR": [ "0" ], + "Q": [ 2581 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 2525 ], + "LSR": [ "0" ], + "Q": [ 2583 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 2499 ], + "LSR": [ "0" ], + "Q": [ 2585 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 2339 ], + "LSR": [ "0" ], + "Q": [ 2587 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 3242 ], + "LSR": [ "0" ], + "Q": [ 187 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5206 ], + "LSR": [ "0" ], + "Q": [ 2592 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5210 ], + "LSR": [ "0" ], + "Q": [ 2595 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 3326 ], + "LSR": [ "0" ], + "Q": [ 5624 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5137 ], + "LSR": [ "0" ], + "Q": [ 2574 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5141 ], + "LSR": [ "0" ], + "Q": [ 2577 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5145 ], + "LSR": [ "0" ], + "Q": [ 2600 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5190 ], + "LSR": [ "0" ], + "Q": [ 5625 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4588 ], + "LSR": [ "0" ], + "Q": [ 5626 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 3995 ], + "LSR": [ "0" ], + "Q": [ 5627 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 2509 ], + "LSR": [ "0" ], + "Q": [ 2591 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 2514 ], + "LSR": [ "0" ], + "Q": [ 2594 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 2507 ], + "LSR": [ "0" ], + "Q": [ 2573 ] + } + }, + "core_cpu.decode_to_execute_INSTRUCTION_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 2512 ], + "LSR": [ "0" ], + "Q": [ 2576 ] + } + }, + "core_cpu.decode_to_execute_IS_CSR_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1883 ], + "D": [ 5628 ], + "Z": [ 4656 ] + } + }, + "core_cpu.decode_to_execute_IS_CSR_LUT4_D_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1442 ], + "B": [ 1881 ], + "C": [ 1883 ], + "D": [ 5628 ], + "Z": [ 5600 ] + } + }, + "core_cpu.decode_to_execute_IS_CSR_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5629 ], + "C": [ 5630 ], + "D": [ 1555 ], + "Z": [ 394 ] + } + }, + "core_cpu.decode_to_execute_IS_CSR_LUT4_D_Z_LUT4_Z_B_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 5629 ], + "D": [ 5630 ], + "Z": [ 1552 ] + } + }, + "core_cpu.decode_to_execute_IS_CSR_LUT4_D_Z_LUT4_Z_B_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 5630 ], + "D": [ 5629 ], + "Z": [ 5631 ] + } + }, + "core_cpu.decode_to_execute_IS_CSR_LUT4_D_Z_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 5604 ], + "D": [ 5632 ], + "Z": [ 5629 ] + } + }, + "core_cpu.decode_to_execute_IS_CSR_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5398 ], + "LSR": [ "0" ], + "Q": [ 5628 ] + } + }, + "core_cpu.decode_to_execute_IS_DIV_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5401 ], + "LSR": [ "0" ], + "Q": [ 2718 ] + } + }, + "core_cpu.decode_to_execute_IS_MUL_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5403 ], + "LSR": [ "0" ], + "Q": [ 5633 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2607 ], + "D": [ 251 ], + "Z": [ 5634 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 5635 ], + "B1": [ 5636 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5637 ], + "COUT": [ 5638 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5639 ], + "S1": [ 5640 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 5641 ], + "B1": [ 5642 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5643 ], + "COUT": [ 5637 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5644 ], + "S1": [ 5645 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0_10": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 5646 ], + "B1": [ 5647 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5648 ], + "COUT": [ 5649 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5650 ], + "S1": [ 5651 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0_11": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 5652 ], + "B1": [ 5653 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5654 ], + "COUT": [ 5648 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5655 ], + "S1": [ 5656 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0_12": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 5657 ], + "B1": [ 5658 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5659 ], + "COUT": [ 5654 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5660 ], + "S1": [ 5661 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0_13": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 5662 ], + "B1": [ 5663 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5664 ], + "COUT": [ 5659 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5665 ], + "S1": [ 5666 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0_14": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 5667 ], + "B1": [ 5668 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5638 ], + "COUT": [ 5664 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5669 ], + "S1": [ 5670 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 5671 ], + "B1": [ 5672 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5673 ], + "COUT": [ 5643 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5674 ], + "S1": [ 5675 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 5676 ], + "B1": [ 5634 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5677 ], + "COUT": [ 5678 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5679 ], + "S1": [ 5680 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0_4": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 5681 ], + "B1": [ 5682 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2604 ], + "COUT": [ 5673 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5683 ], + "S1": [ 5684 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0_5": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 5685 ], + "B1": [ 5686 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5687 ], + "COUT": [ 5677 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5688 ], + "S1": [ 5689 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0_6": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 5690 ], + "B1": [ 5691 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5692 ], + "COUT": [ 5687 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5693 ], + "S1": [ 5694 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0_7": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 5695 ], + "B1": [ 5696 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5697 ], + "COUT": [ 5692 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5698 ], + "S1": [ 5699 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0_8": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 5700 ], + "B1": [ 5701 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5702 ], + "COUT": [ 5697 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5703 ], + "S1": [ 5704 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0_9": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 5705 ], + "B1": [ 5706 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5649 ], + "COUT": [ 5702 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5707 ], + "S1": [ 5708 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 226 ], + "Z": [ 5676 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 230 ], + "Z": [ 5686 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 206 ], + "Z": [ 5705 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 208 ], + "Z": [ 5647 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 210 ], + "Z": [ 5646 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 212 ], + "Z": [ 5653 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 214 ], + "Z": [ 5652 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 216 ], + "Z": [ 5658 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 218 ], + "Z": [ 5657 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 186 ], + "Z": [ 5663 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 190 ], + "Z": [ 5662 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 193 ], + "Z": [ 5668 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 234 ], + "Z": [ 5685 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 196 ], + "Z": [ 5667 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 199 ], + "Z": [ 5636 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 202 ], + "Z": [ 5635 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 179 ], + "Z": [ 5642 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 183 ], + "Z": [ 5641 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 185 ], + "Z": [ 5672 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 189 ], + "Z": [ 5671 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 192 ], + "Z": [ 5682 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 195 ], + "Z": [ 5681 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 198 ], + "Z": [ 2603 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 238 ], + "Z": [ 5691 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 201 ], + "Z": [ 2602 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 242 ], + "Z": [ 5690 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 246 ], + "Z": [ 5696 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 250 ], + "Z": [ 5695 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 178 ], + "Z": [ 5701 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 182 ], + "Z": [ 5700 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2601 ], + "D": [ 204 ], + "Z": [ 5706 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 230 ], + "B": [ 226 ], + "C": [ 251 ], + "D": [ 2607 ], + "Z": [ 5709 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 204 ], + "B": [ 182 ], + "C": [ 178 ], + "D": [ 250 ], + "Z": [ 5710 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_D_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 246 ], + "B": [ 242 ], + "C": [ 238 ], + "D": [ 234 ], + "Z": [ 5711 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_D_Z_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 180 ], + "B": [ 195 ], + "C": [ 5712 ], + "D": [ 5713 ], + "Z": [ 5714 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_D_Z_LUT4_Z_2_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 201 ], + "B": [ 198 ], + "C": [ 192 ], + "D": [ 189 ], + "Z": [ 5713 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_D_Z_LUT4_Z_2_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5715 ], + "B": [ 5716 ], + "C": [ 5717 ], + "D": [ 5718 ], + "Z": [ 5712 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_D_Z_LUT4_Z_2_C_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 186 ], + "B": [ 218 ], + "C": [ 216 ], + "D": [ 214 ], + "Z": [ 5718 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_D_Z_LUT4_Z_2_C_LUT4_Z_1_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 212 ], + "B": [ 210 ], + "C": [ 208 ], + "D": [ 206 ], + "Z": [ 5717 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_D_Z_LUT4_Z_2_C_LUT4_Z_1_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 185 ], + "B": [ 183 ], + "C": [ 179 ], + "D": [ 202 ], + "Z": [ 5716 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_D_Z_LUT4_Z_2_C_LUT4_Z_1_A_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 199 ], + "B": [ 196 ], + "C": [ 193 ], + "D": [ 190 ], + "Z": [ 5715 ] + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5404 ], + "LSR": [ "0" ], + "Q": [ 2607 ] + } + }, + "core_cpu.decode_to_execute_MEMORY_ENABLE_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1883 ], + "D": [ 5719 ], + "Z": [ 396 ] + } + }, + "core_cpu.decode_to_execute_MEMORY_ENABLE_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5405 ], + "LSR": [ "0" ], + "Q": [ 5719 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1198 ], + "LSR": [ "0" ], + "Q": [ 1642 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1200 ], + "LSR": [ "0" ], + "Q": [ 1654 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1202 ], + "LSR": [ "0" ], + "Q": [ 1570 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1204 ], + "LSR": [ "0" ], + "Q": [ 1574 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1206 ], + "LSR": [ "0" ], + "Q": [ 1578 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1208 ], + "LSR": [ "0" ], + "Q": [ 1582 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1210 ], + "LSR": [ "0" ], + "Q": [ 1586 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1212 ], + "LSR": [ "0" ], + "Q": [ 1590 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1214 ], + "LSR": [ "0" ], + "Q": [ 1594 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1216 ], + "LSR": [ "0" ], + "Q": [ 1598 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1218 ], + "LSR": [ "0" ], + "Q": [ 1604 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1220 ], + "LSR": [ "0" ], + "Q": [ 1608 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1222 ], + "LSR": [ "0" ], + "Q": [ 1658 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1224 ], + "LSR": [ "0" ], + "Q": [ 1612 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1226 ], + "LSR": [ "0" ], + "Q": [ 1616 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1228 ], + "LSR": [ "0" ], + "Q": [ 1620 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1230 ], + "LSR": [ "0" ], + "Q": [ 1624 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1232 ], + "LSR": [ "0" ], + "Q": [ 1628 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1234 ], + "LSR": [ "0" ], + "Q": [ 1632 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1236 ], + "LSR": [ "0" ], + "Q": [ 1636 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1238 ], + "LSR": [ "0" ], + "Q": [ 1640 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1240 ], + "LSR": [ "0" ], + "Q": [ 1648 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1242 ], + "LSR": [ "0" ], + "Q": [ 1652 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1244 ], + "LSR": [ "0" ], + "Q": [ 1662 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1246 ], + "LSR": [ "0" ], + "Q": [ 1666 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1248 ], + "LSR": [ "0" ], + "Q": [ 1670 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1250 ], + "LSR": [ "0" ], + "Q": [ 1674 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1252 ], + "LSR": [ "0" ], + "Q": [ 1678 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1254 ], + "LSR": [ "0" ], + "Q": [ 1562 ] + } + }, + "core_cpu.decode_to_execute_PC_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 1256 ], + "LSR": [ "0" ], + "Q": [ 1566 ] + } + }, + "core_cpu.decode_to_execute_REGFILE_WRITE_VALID_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:88.171-88.230" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 3239 ], + "LSR": [ 5720 ], + "Q": [ 5577 ] + } + }, + "core_cpu.decode_to_execute_REGFILE_WRITE_VALID_TRELLIS_FF_Q_LSR_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1548 ], + "B": [ 5145 ], + "C": [ 5141 ], + "D": [ 5721 ], + "Z": [ 5720 ] + } + }, + "core_cpu.decode_to_execute_REGFILE_WRITE_VALID_TRELLIS_FF_Q_LSR_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5137 ], + "C": [ 5210 ], + "D": [ 5206 ], + "Z": [ 5721 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4500 ], + "LSR": [ "0" ], + "Q": [ 2684 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4357 ], + "LSR": [ "0" ], + "Q": [ 2686 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4606 ], + "LSR": [ "0" ], + "Q": [ 2688 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4406 ], + "LSR": [ "0" ], + "Q": [ 2689 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4612 ], + "LSR": [ "0" ], + "Q": [ 2690 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4618 ], + "LSR": [ "0" ], + "Q": [ 2691 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4624 ], + "LSR": [ "0" ], + "Q": [ 2692 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4630 ], + "LSR": [ "0" ], + "Q": [ 2693 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4416 ], + "LSR": [ "0" ], + "Q": [ 2694 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4435 ], + "LSR": [ "0" ], + "Q": [ 2695 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4454 ], + "LSR": [ "0" ], + "Q": [ 2696 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4473 ], + "LSR": [ "0" ], + "Q": [ 2697 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4504 ], + "LSR": [ "0" ], + "Q": [ 2698 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4507 ], + "LSR": [ "0" ], + "Q": [ 2699 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4515 ], + "LSR": [ "0" ], + "Q": [ 2700 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4523 ], + "LSR": [ "0" ], + "Q": [ 2701 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4529 ], + "LSR": [ "0" ], + "Q": [ 2702 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4636 ], + "LSR": [ "0" ], + "Q": [ 2703 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4531 ], + "LSR": [ "0" ], + "Q": [ 2704 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4639 ], + "LSR": [ "0" ], + "Q": [ 2705 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4540 ], + "LSR": [ "0" ], + "Q": [ 2706 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4549 ], + "LSR": [ "0" ], + "Q": [ 2707 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4558 ], + "LSR": [ "0" ], + "Q": [ 2708 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4361 ], + "LSR": [ "0" ], + "Q": [ 2709 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4567 ], + "LSR": [ "0" ], + "Q": [ 2710 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4576 ], + "LSR": [ "0" ], + "Q": [ 2711 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4373 ], + "LSR": [ "0" ], + "Q": [ 2712 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4587 ], + "LSR": [ "0" ], + "Q": [ 2713 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4384 ], + "LSR": [ "0" ], + "Q": [ 2714 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4594 ], + "LSR": [ "0" ], + "Q": [ 2715 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4600 ], + "LSR": [ "0" ], + "Q": [ 2716 ] + } + }, + "core_cpu.decode_to_execute_RS1_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4395 ], + "LSR": [ "0" ], + "Q": [ 2717 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4064 ], + "LSR": [ "0" ], + "Q": [ 251 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4067 ], + "LSR": [ "0" ], + "Q": [ 226 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4070 ], + "LSR": [ "0" ], + "Q": [ 204 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4082 ], + "LSR": [ "0" ], + "Q": [ 206 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4094 ], + "LSR": [ "0" ], + "Q": [ 208 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4101 ], + "LSR": [ "0" ], + "Q": [ 210 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4108 ], + "LSR": [ "0" ], + "Q": [ 212 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4115 ], + "LSR": [ "0" ], + "Q": [ 214 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4294 ], + "LSR": [ "0" ], + "Q": [ 216 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4005 ], + "LSR": [ "0" ], + "Q": [ 218 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4298 ], + "LSR": [ "0" ], + "Q": [ 186 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4008 ], + "LSR": [ "0" ], + "Q": [ 190 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4170 ], + "LSR": [ "0" ], + "Q": [ 230 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4310 ], + "LSR": [ "0" ], + "Q": [ 193 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4322 ], + "LSR": [ "0" ], + "Q": [ 196 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4030 ], + "LSR": [ "0" ], + "Q": [ 199 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4334 ], + "LSR": [ "0" ], + "Q": [ 202 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4121 ], + "LSR": [ "0" ], + "Q": [ 179 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4131 ], + "LSR": [ "0" ], + "Q": [ 183 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4141 ], + "LSR": [ "0" ], + "Q": [ 185 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4151 ], + "LSR": [ "0" ], + "Q": [ 189 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4173 ], + "LSR": [ "0" ], + "Q": [ 192 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4183 ], + "LSR": [ "0" ], + "Q": [ 195 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4212 ], + "LSR": [ "0" ], + "Q": [ 234 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4193 ], + "LSR": [ "0" ], + "Q": [ 198 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4203 ], + "LSR": [ "0" ], + "Q": [ 201 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4224 ], + "LSR": [ "0" ], + "Q": [ 238 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4230 ], + "LSR": [ "0" ], + "Q": [ 242 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4241 ], + "LSR": [ "0" ], + "Q": [ 246 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4252 ], + "LSR": [ "0" ], + "Q": [ 250 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4263 ], + "LSR": [ "0" ], + "Q": [ 178 ] + } + }, + "core_cpu.decode_to_execute_RS2_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4274 ], + "LSR": [ "0" ], + "Q": [ 182 ] + } + }, + "core_cpu.decode_to_execute_SHIFT_CTRL_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 4001 ], + "LSR": [ "0" ], + "Q": [ 2843 ] + } + }, + "core_cpu.decode_to_execute_SHIFT_CTRL_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 2730 ], + "LSR": [ "0" ], + "Q": [ 2844 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5433 ], + "LSR": [ "0" ], + "Q": [ 2918 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5413 ], + "LSR": [ "0" ], + "Q": [ 2917 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5460 ], + "LSR": [ "0" ], + "Q": [ 2873 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5426 ], + "LSR": [ "0" ], + "Q": [ 2882 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5463 ], + "LSR": [ "0" ], + "Q": [ 2880 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5466 ], + "LSR": [ "0" ], + "Q": [ 2886 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5469 ], + "LSR": [ "0" ], + "Q": [ 2842 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5472 ], + "LSR": [ "0" ], + "Q": [ 2846 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5427 ], + "LSR": [ "0" ], + "Q": [ 2845 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5428 ], + "LSR": [ "0" ], + "Q": [ 2841 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5429 ], + "LSR": [ "0" ], + "Q": [ 2887 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5430 ], + "LSR": [ "0" ], + "Q": [ 2881 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5436 ], + "LSR": [ "0" ], + "Q": [ 2920 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5439 ], + "LSR": [ "0" ], + "Q": [ 2883 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5442 ], + "LSR": [ "0" ], + "Q": [ 2874 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5445 ], + "LSR": [ "0" ], + "Q": [ 2933 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5448 ], + "LSR": [ "0" ], + "Q": [ 2935 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5415 ], + "LSR": [ "0" ], + "Q": [ 2907 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5416 ], + "LSR": [ "0" ], + "Q": [ 2905 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5417 ], + "LSR": [ "0" ], + "Q": [ 2912 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5419 ], + "LSR": [ "0" ], + "Q": [ 2931 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5420 ], + "LSR": [ "0" ], + "Q": [ 2929 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5408 ], + "LSR": [ "0" ], + "Q": [ 2919 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5414 ], + "LSR": [ "0" ], + "Q": [ 2928 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5421 ], + "LSR": [ "0" ], + "Q": [ 1526 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5422 ], + "LSR": [ "0" ], + "Q": [ 1541 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5423 ], + "LSR": [ "0" ], + "Q": [ 2930 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5451 ], + "LSR": [ "0" ], + "Q": [ 2913 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5424 ], + "LSR": [ "0" ], + "Q": [ 2906 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5454 ], + "LSR": [ "0" ], + "Q": [ 2908 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5457 ], + "LSR": [ "0" ], + "Q": [ 2934 ] + } + }, + "core_cpu.decode_to_execute_SRC1_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5425 ], + "LSR": [ "0" ], + "Q": [ 2932 ] + } + }, + "core_cpu.decode_to_execute_SRC2_FORCE_ZERO_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5473 ], + "LSR": [ "0" ], + "Q": [ 5722 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5483 ], + "LSR": [ "0" ], + "Q": [ 3375 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5486 ], + "LSR": [ "0" ], + "Q": [ 3376 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5489 ], + "LSR": [ "0" ], + "Q": [ 3377 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5492 ], + "LSR": [ "0" ], + "Q": [ 3378 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5495 ], + "LSR": [ "0" ], + "Q": [ 3379 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5498 ], + "LSR": [ "0" ], + "Q": [ 3380 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5501 ], + "LSR": [ "0" ], + "Q": [ 3381 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5504 ], + "LSR": [ "0" ], + "Q": [ 3382 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5507 ], + "LSR": [ "0" ], + "Q": [ 3383 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5510 ], + "LSR": [ "0" ], + "Q": [ 3384 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5513 ], + "LSR": [ "0" ], + "Q": [ 3385 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5516 ], + "LSR": [ "0" ], + "Q": [ 3386 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5519 ], + "LSR": [ "0" ], + "Q": [ 3387 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5522 ], + "LSR": [ "0" ], + "Q": [ 3388 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5525 ], + "LSR": [ "0" ], + "Q": [ 3389 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5528 ], + "LSR": [ "0" ], + "Q": [ 3390 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5531 ], + "LSR": [ "0" ], + "Q": [ 3391 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5534 ], + "LSR": [ "0" ], + "Q": [ 3392 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5537 ], + "LSR": [ "0" ], + "Q": [ 3393 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5540 ], + "LSR": [ "0" ], + "Q": [ 3394 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5544 ], + "LSR": [ "0" ], + "Q": [ 2739 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5548 ], + "LSR": [ "0" ], + "Q": [ 2762 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5551 ], + "LSR": [ "0" ], + "Q": [ 2771 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5554 ], + "LSR": [ "0" ], + "Q": [ 3395 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5475 ], + "LSR": [ "0" ], + "Q": [ 1527 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5477 ], + "LSR": [ "0" ], + "Q": [ 1542 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5557 ], + "LSR": [ "0" ], + "Q": [ 3396 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5560 ], + "LSR": [ "0" ], + "Q": [ 3397 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5563 ], + "LSR": [ "0" ], + "Q": [ 3398 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5566 ], + "LSR": [ "0" ], + "Q": [ 3399 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5569 ], + "LSR": [ "0" ], + "Q": [ 3400 ] + } + }, + "core_cpu.decode_to_execute_SRC2_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5572 ], + "LSR": [ "0" ], + "Q": [ 3401 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100110101110001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 295 ], + "B": [ 2918 ], + "C": [ 3375 ], + "D": [ 5723 ], + "Z": [ 1534 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5724 ], + "B": [ 5725 ], + "C": [ 5726 ], + "D": [ 5727 ], + "Z": [ 5728 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5729 ], + "B": [ 5730 ], + "C": [ 5731 ], + "D": [ 5732 ], + "Z": [ 5727 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5733 ], + "B": [ 5734 ], + "C": [ 5735 ], + "D": [ 5736 ], + "Z": [ 5726 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2930 ], + "B": [ 3396 ], + "C": [ 3397 ], + "D": [ 2913 ], + "Z": [ 5736 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_1_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1541 ], + "B": [ 1542 ], + "C": [ 2920 ], + "D": [ 3387 ], + "Z": [ 5735 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_1_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2882 ], + "B": [ 3378 ], + "C": [ 3381 ], + "D": [ 2842 ], + "Z": [ 5734 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_1_A_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2934 ], + "B": [ 3400 ], + "C": [ 3401 ], + "D": [ 2932 ], + "Z": [ 5733 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5737 ], + "B": [ 5738 ], + "C": [ 5739 ], + "D": [ 5740 ], + "Z": [ 5725 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_2_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2874 ], + "B": [ 3389 ], + "C": [ 2883 ], + "D": [ 3388 ], + "Z": [ 5740 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_2_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2887 ], + "B": [ 3385 ], + "C": [ 2846 ], + "D": [ 3382 ], + "Z": [ 5739 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_2_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2918 ], + "B": [ 3375 ], + "C": [ 2931 ], + "D": [ 2739 ], + "Z": [ 5738 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_2_A_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2905 ], + "B": [ 3393 ], + "C": [ 2907 ], + "D": [ 3392 ], + "Z": [ 5737 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5741 ], + "B": [ 5742 ], + "C": [ 5743 ], + "D": [ 5744 ], + "Z": [ 5724 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_3_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2928 ], + "B": [ 3395 ], + "C": [ 3398 ], + "D": [ 2906 ], + "Z": [ 5744 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_3_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2917 ], + "B": [ 3376 ], + "C": [ 2771 ], + "D": [ 2919 ], + "Z": [ 5743 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_3_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2880 ], + "B": [ 3379 ], + "C": [ 3380 ], + "D": [ 2886 ], + "Z": [ 5742 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_3_A_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2908 ], + "B": [ 3399 ], + "C": [ 3377 ], + "D": [ 2873 ], + "Z": [ 5741 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2933 ], + "B": [ 3390 ], + "C": [ 2881 ], + "D": [ 3386 ], + "Z": [ 5732 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2841 ], + "B": [ 3384 ], + "C": [ 2845 ], + "D": [ 3383 ], + "Z": [ 5731 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1526 ], + "B": [ 1527 ], + "C": [ 2929 ], + "D": [ 2762 ], + "Z": [ 5730 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_A_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2912 ], + "B": [ 3394 ], + "C": [ 2935 ], + "D": [ 3391 ], + "Z": [ 5729 ] + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5573 ], + "LSR": [ "0" ], + "Q": [ 5723 ] + } + }, + "core_cpu.decode_to_execute_SRC_USE_SUB_LESS_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5575 ], + "LSR": [ "0" ], + "Q": [ 3279 ] + } + }, + "core_cpu.execute_BRANCH_CALC_CCU2C_S1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5745 ], + "A1": [ 5746 ], + "B0": [ 2580 ], + "B1": [ 2578 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 5747 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5748 ], + "S1": [ 5749 ] + } + }, + "core_cpu.execute_BRANCH_DO_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5750 ], + "B": [ 5751 ], + "C": [ 2568 ], + "D": [ 2569 ], + "Z": [ 5752 ] + } + }, + "core_cpu.execute_BRANCH_DO_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5728 ], + "B": [ 187 ], + "C": [ 2587 ], + "D": [ 180 ], + "Z": [ 5751 ] + } + }, + "core_cpu.execute_BRANCH_DO_LUT4_Z_A_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5753 ], + "BLUT": [ 5754 ], + "C0": [ 2587 ], + "Z": [ 5750 ] + } + }, + "core_cpu.execute_BRANCH_DO_LUT4_Z_A_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1534 ], + "D": [ 187 ], + "Z": [ 5753 ] + } + }, + "core_cpu.execute_BRANCH_DO_LUT4_Z_A_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110001011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5728 ], + "B": [ 180 ], + "C": [ 1534 ], + "D": [ 187 ], + "Z": [ 5754 ] + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5755 ], + "A1": [ 5756 ], + "B0": [ 5624 ], + "B1": [ 5623 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5757 ], + "COUT": [ 5758 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5759 ], + "S1": [ 5760 ] + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5761 ], + "A1": [ 5762 ], + "B0": [ 5626 ], + "B1": [ 5625 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5763 ], + "COUT": [ 5757 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5764 ], + "S1": [ 5765 ] + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0_10": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5766 ], + "A1": [ 5767 ], + "B0": [ 2572 ], + "B1": [ 2570 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5768 ], + "COUT": [ 5769 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5770 ], + "S1": [ 5771 ] + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0_11": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5772 ], + "A1": [ 5773 ], + "B0": [ 2584 ], + "B1": [ 2582 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5774 ], + "COUT": [ 5768 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5775 ], + "S1": [ 5776 ] + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0_12": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5777 ], + "A1": [ 5778 ], + "B0": [ 2588 ], + "B1": [ 2586 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5779 ], + "COUT": [ 5774 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5780 ], + "S1": [ 5781 ] + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0_13": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5782 ], + "A1": [ 5783 ], + "B0": [ 2590 ], + "B1": [ 2589 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5784 ], + "COUT": [ 5779 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5785 ], + "S1": [ 5786 ] + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0_14": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5787 ], + "A1": [ 5788 ], + "B0": [ 5622 ], + "B1": [ 2599 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5758 ], + "COUT": [ 5784 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5789 ], + "S1": [ 5790 ] + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5791 ], + "A1": [ 5792 ], + "B0": [ 2593 ], + "B1": [ 5627 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5793 ], + "COUT": [ 5763 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5794 ], + "S1": [ 5795 ] + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5796 ], + "A1": [ 5797 ], + "B0": [ 2566 ], + "B1": [ 2566 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5798 ], + "COUT": [ 5799 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5800 ], + "S1": [ 5801 ] + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0_4": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5802 ], + "A1": [ 5803 ], + "B0": [ 2575 ], + "B1": [ 2596 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5747 ], + "COUT": [ 5793 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5804 ], + "S1": [ 5805 ] + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0_5": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5806 ], + "A1": [ 5807 ], + "B0": [ 2566 ], + "B1": [ 2566 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5808 ], + "COUT": [ 5798 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5809 ], + "S1": [ 5810 ] + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0_6": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5811 ], + "A1": [ 5812 ], + "B0": [ 2566 ], + "B1": [ 2566 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5813 ], + "COUT": [ 5808 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5814 ], + "S1": [ 5815 ] + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0_7": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5816 ], + "A1": [ 5817 ], + "B0": [ 2566 ], + "B1": [ 2566 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5818 ], + "COUT": [ 5813 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5819 ], + "S1": [ 5820 ] + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0_8": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5821 ], + "A1": [ 5822 ], + "B0": [ 2566 ], + "B1": [ 2566 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5823 ], + "COUT": [ 5818 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5824 ], + "S1": [ 5825 ] + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0_9": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5826 ], + "A1": [ 5827 ], + "B0": [ 2566 ], + "B1": [ 2566 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 5769 ], + "COUT": [ 5823 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 5828 ], + "S1": [ 5829 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2684 ], + "B": [ 1642 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5797 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2686 ], + "B": [ 1654 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5796 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2688 ], + "B": [ 1570 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5827 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2689 ], + "B": [ 1574 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5826 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2690 ], + "B": [ 1578 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5767 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2691 ], + "B": [ 1582 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5766 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2692 ], + "B": [ 1586 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5773 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2693 ], + "B": [ 1590 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5772 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2694 ], + "B": [ 1594 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5778 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2695 ], + "B": [ 1598 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5777 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2696 ], + "B": [ 1604 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5783 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2697 ], + "B": [ 1608 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5782 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2698 ], + "B": [ 1658 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5807 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2699 ], + "B": [ 1612 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5788 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2700 ], + "B": [ 1616 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5787 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2701 ], + "B": [ 1620 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5756 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2702 ], + "B": [ 1624 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5755 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2703 ], + "B": [ 1628 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5762 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2704 ], + "B": [ 1632 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5761 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2705 ], + "B": [ 1636 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5792 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2706 ], + "B": [ 1640 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5791 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2707 ], + "B": [ 1648 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5803 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2708 ], + "B": [ 1652 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5802 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2709 ], + "B": [ 1662 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5806 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2569 ], + "C": [ 2568 ], + "D": [ 2710 ], + "Z": [ 5746 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_31": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2569 ], + "C": [ 2568 ], + "D": [ 2711 ], + "Z": [ 5745 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2712 ], + "B": [ 1666 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5812 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2713 ], + "B": [ 1670 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5811 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2714 ], + "B": [ 1674 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5817 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2715 ], + "B": [ 1678 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5816 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2716 ], + "B": [ 1562 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5822 ] + } + }, + "core_cpu.execute_BranchPlugin_branch_src1_LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2717 ], + "B": [ 1566 ], + "C": [ 2569 ], + "D": [ 2568 ], + "Z": [ 5821 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_2816_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5830 ], + "LSR": [ "0" ], + "Q": [ 1037 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_2816_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5190 ], + "B": [ 5216 ], + "C": [ 5218 ], + "D": [ 5831 ], + "Z": [ 5830 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_2818_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5832 ], + "LSR": [ "0" ], + "Q": [ 1031 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_2818_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5190 ], + "B": [ 5218 ], + "C": [ 5217 ], + "D": [ 5216 ], + "Z": [ 5832 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_2944_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5833 ], + "LSR": [ "0" ], + "Q": [ 1025 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_2944_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5831 ], + "B": [ 5216 ], + "C": [ 5218 ], + "D": [ 5190 ], + "Z": [ 5833 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_2946_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5834 ], + "LSR": [ "0" ], + "Q": [ 1033 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_2946_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5216 ], + "B": [ 5218 ], + "C": [ 5217 ], + "D": [ 5190 ], + "Z": [ 5834 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_768_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5835 ], + "LSR": [ "0" ], + "Q": [ 1262 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_768_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 5836 ], + "D": [ 5831 ], + "Z": [ 5835 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_768_TRELLIS_FF_Q_DI_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2512 ], + "B": [ 2504 ], + "C": [ 2507 ], + "D": [ 2514 ], + "Z": [ 5831 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_772_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5837 ], + "LSR": [ "0" ], + "Q": [ 1258 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_772_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 5836 ], + "D": [ 5838 ], + "Z": [ 5837 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_772_TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5190 ], + "C": [ 5839 ], + "D": [ 5218 ], + "Z": [ 5836 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_772_TRELLIS_FF_Q_DI_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2512 ], + "B": [ 2504 ], + "C": [ 2514 ], + "D": [ 2507 ], + "Z": [ 5838 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_773_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5840 ], + "LSR": [ "0" ], + "Q": [ 1035 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_773_TRELLIS_FF_Q_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5841 ], + "BLUT": [ 5842 ], + "C0": [ 5836 ], + "Z": [ 5840 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_773_TRELLIS_FF_Q_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2512 ], + "B": [ 2514 ], + "C": [ 2504 ], + "D": [ 2507 ], + "Z": [ 5841 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_773_TRELLIS_FF_Q_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5842 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_834_PFUMX_C0": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5843 ], + "BLUT": [ 5844 ], + "C0": [ 1024 ], + "Z": [ 4783 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_834_PFUMX_C0_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5843 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_834_PFUMX_C0_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1025 ], + "B": [ 1102 ], + "C": [ 1033 ], + "D": [ 1348 ], + "Z": [ 5844 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_834_PFUMX_C0_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1037 ], + "B": [ 1097 ], + "C": [ 1031 ], + "D": [ 1343 ], + "Z": [ 4782 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_834_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5845 ], + "LSR": [ "0" ], + "Q": [ 1024 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_834_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 5846 ], + "D": [ 5217 ], + "Z": [ 5845 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_834_TRELLIS_FF_Q_DI_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5847 ], + "BLUT": [ 5848 ], + "C0": [ 5839 ], + "Z": [ 5846 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_834_TRELLIS_FF_Q_DI_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5190 ], + "B": [ 3995 ], + "C": [ 2509 ], + "D": [ 4588 ], + "Z": [ 5847 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_834_TRELLIS_FF_Q_DI_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5848 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_834_TRELLIS_FF_Q_DI_LUT4_Z_C_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2565 ], + "B": [ 3996 ], + "C": [ 4581 ], + "D": [ 3326 ], + "Z": [ 5839 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_836_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1548 ], + "CLK": [ 3 ], + "DI": [ 5849 ], + "LSR": [ "0" ], + "Q": [ 1270 ] + } + }, + "core_cpu.execute_CsrPlugin_csr_836_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 5846 ], + "D": [ 5838 ], + "Z": [ 5849 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4655 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2918 ], + "Z": [ 1464 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4658 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2917 ], + "Z": [ 1466 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4660 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2873 ], + "Z": [ 1468 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4665 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2882 ], + "Z": [ 1470 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4670 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2880 ], + "Z": [ 1472 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4675 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2886 ], + "Z": [ 1474 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4680 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2842 ], + "Z": [ 1476 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4685 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2846 ], + "Z": [ 1478 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4690 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2845 ], + "Z": [ 1480 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4695 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2841 ], + "Z": [ 1482 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4700 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2887 ], + "Z": [ 1484 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4705 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2881 ], + "Z": [ 1461 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4711 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2920 ], + "Z": [ 1486 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4713 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2874 ], + "Z": [ 1488 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4718 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2933 ], + "Z": [ 1490 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4723 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2935 ], + "Z": [ 1492 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4728 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2905 ], + "Z": [ 1494 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4733 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2912 ], + "Z": [ 1496 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4738 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2931 ], + "Z": [ 1498 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1030 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2919 ], + "Z": [ 1500 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1520 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 1526 ], + "Z": [ 1543 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1531 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 1541 ], + "Z": [ 1544 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4748 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2928 ], + "Z": [ 1501 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4753 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2930 ], + "Z": [ 1503 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4758 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2913 ], + "Z": [ 1505 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4763 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2906 ], + "Z": [ 1507 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4768 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2908 ], + "Z": [ 1509 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4773 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2934 ], + "Z": [ 1511 ] + } + }, + "core_cpu.execute_CsrPlugin_writeData_LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4778 ], + "B": [ 187 ], + "C": [ 180 ], + "D": [ 2932 ], + "Z": [ 1513 ] + } + }, + "core_cpu.execute_MUL_HH_MULT18X18D_P0": { + "hide_name": 0, + "type": "MULT18X18D", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3278.28-3278.81|/usr/local/bin/../share/yosys/mul2dsp.v:249.6-253.5|/usr/local/bin/../share/yosys/ecp5/dsp_map.v:9.13-16.3" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "A10": "input", + "A11": "input", + "A12": "input", + "A13": "input", + "A14": "input", + "A15": "input", + "A16": "input", + "A17": "input", + "A2": "input", + "A3": "input", + "A4": "input", + "A5": "input", + "A6": "input", + "A7": "input", + "A8": "input", + "A9": "input", + "B0": "input", + "B1": "input", + "B10": "input", + "B11": "input", + "B12": "input", + "B13": "input", + "B14": "input", + "B15": "input", + "B16": "input", + "B17": "input", + "B2": "input", + "B3": "input", + "B4": "input", + "B5": "input", + "B6": "input", + "B7": "input", + "B8": "input", + "B9": "input", + "C0": "input", + "C1": "input", + "C10": "input", + "C11": "input", + "C12": "input", + "C13": "input", + "C14": "input", + "C15": "input", + "C16": "input", + "C17": "input", + "C2": "input", + "C3": "input", + "C4": "input", + "C5": "input", + "C6": "input", + "C7": "input", + "C8": "input", + "C9": "input", + "P0": "output", + "P1": "output", + "P10": "output", + "P11": "output", + "P12": "output", + "P13": "output", + "P14": "output", + "P15": "output", + "P16": "output", + "P17": "output", + "P18": "output", + "P19": "output", + "P2": "output", + "P20": "output", + "P21": "output", + "P22": "output", + "P23": "output", + "P24": "output", + "P25": "output", + "P26": "output", + "P27": "output", + "P28": "output", + "P29": "output", + "P3": "output", + "P30": "output", + "P31": "output", + "P32": "output", + "P33": "output", + "P34": "output", + "P35": "output", + "P4": "output", + "P5": "output", + "P6": "output", + "P7": "output", + "P8": "output", + "P9": "output", + "SIGNEDA": "input", + "SIGNEDB": "input", + "SOURCEA": "input", + "SOURCEB": "input" + }, + "connections": { + "A0": [ 2846 ], + "A1": [ 2842 ], + "A10": [ 2913 ], + "A11": [ 2930 ], + "A12": [ 2928 ], + "A13": [ 2920 ], + "A14": [ 2917 ], + "A15": [ 2918 ], + "A16": [ "0" ], + "A17": [ "0" ], + "A2": [ 2886 ], + "A3": [ 2880 ], + "A4": [ 2882 ], + "A5": [ 2873 ], + "A6": [ 2932 ], + "A7": [ 2934 ], + "A8": [ 2908 ], + "A9": [ 2906 ], + "B0": [ 3382 ], + "B1": [ 3381 ], + "B10": [ 3397 ], + "B11": [ 3396 ], + "B12": [ 3395 ], + "B13": [ 3387 ], + "B14": [ 3376 ], + "B15": [ 3375 ], + "B16": [ "0" ], + "B17": [ "0" ], + "B2": [ 3380 ], + "B3": [ 3379 ], + "B4": [ 3378 ], + "B5": [ 3377 ], + "B6": [ 3401 ], + "B7": [ 3400 ], + "B8": [ 3399 ], + "B9": [ 3398 ], + "C0": [ "0" ], + "C1": [ "0" ], + "C10": [ "0" ], + "C11": [ "0" ], + "C12": [ "0" ], + "C13": [ "0" ], + "C14": [ "0" ], + "C15": [ "0" ], + "C16": [ "0" ], + "C17": [ "0" ], + "C2": [ "0" ], + "C3": [ "0" ], + "C4": [ "0" ], + "C5": [ "0" ], + "C6": [ "0" ], + "C7": [ "0" ], + "C8": [ "0" ], + "C9": [ "0" ], + "P0": [ 5850 ], + "P1": [ 5851 ], + "P10": [ 5852 ], + "P11": [ 5853 ], + "P12": [ 5854 ], + "P13": [ 5855 ], + "P14": [ 5856 ], + "P15": [ 5857 ], + "P16": [ 5858 ], + "P17": [ 5859 ], + "P18": [ 5860 ], + "P19": [ 5861 ], + "P2": [ 5862 ], + "P20": [ 5863 ], + "P21": [ 5864 ], + "P22": [ 5865 ], + "P23": [ 5866 ], + "P24": [ 5867 ], + "P25": [ 5868 ], + "P26": [ 5869 ], + "P27": [ 5870 ], + "P28": [ 5871 ], + "P29": [ 5872 ], + "P3": [ 5873 ], + "P30": [ 5874 ], + "P31": [ 5875 ], + "P32": [ 5876 ], + "P33": [ 5877 ], + "P34": [ 5878 ], + "P35": [ 5879 ], + "P4": [ 5880 ], + "P5": [ 5881 ], + "P6": [ 5882 ], + "P7": [ 5883 ], + "P8": [ 5884 ], + "P9": [ 5885 ], + "SIGNEDA": [ "0" ], + "SIGNEDB": [ "0" ], + "SOURCEA": [ "0" ], + "SOURCEB": [ "0" ] + } + }, + "core_cpu.execute_MUL_HL_MULT18X18D_P0": { + "hide_name": 0, + "type": "MULT18X18D", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3292.28-3292.80|/usr/local/bin/../share/yosys/mul2dsp.v:249.6-253.5|/usr/local/bin/../share/yosys/ecp5/dsp_map.v:9.13-16.3" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "A10": "input", + "A11": "input", + "A12": "input", + "A13": "input", + "A14": "input", + "A15": "input", + "A16": "input", + "A17": "input", + "A2": "input", + "A3": "input", + "A4": "input", + "A5": "input", + "A6": "input", + "A7": "input", + "A8": "input", + "A9": "input", + "B0": "input", + "B1": "input", + "B10": "input", + "B11": "input", + "B12": "input", + "B13": "input", + "B14": "input", + "B15": "input", + "B16": "input", + "B17": "input", + "B2": "input", + "B3": "input", + "B4": "input", + "B5": "input", + "B6": "input", + "B7": "input", + "B8": "input", + "B9": "input", + "C0": "input", + "C1": "input", + "C10": "input", + "C11": "input", + "C12": "input", + "C13": "input", + "C14": "input", + "C15": "input", + "C16": "input", + "C17": "input", + "C2": "input", + "C3": "input", + "C4": "input", + "C5": "input", + "C6": "input", + "C7": "input", + "C8": "input", + "C9": "input", + "P0": "output", + "P1": "output", + "P10": "output", + "P11": "output", + "P12": "output", + "P13": "output", + "P14": "output", + "P15": "output", + "P16": "output", + "P17": "output", + "P18": "output", + "P19": "output", + "P2": "output", + "P20": "output", + "P21": "output", + "P22": "output", + "P23": "output", + "P24": "output", + "P25": "output", + "P26": "output", + "P27": "output", + "P28": "output", + "P29": "output", + "P3": "output", + "P30": "output", + "P31": "output", + "P32": "output", + "P33": "output", + "P34": "output", + "P35": "output", + "P4": "output", + "P5": "output", + "P6": "output", + "P7": "output", + "P8": "output", + "P9": "output", + "SIGNEDA": "input", + "SIGNEDB": "input", + "SOURCEA": "input", + "SOURCEB": "input" + }, + "connections": { + "A0": [ 2846 ], + "A1": [ 2842 ], + "A10": [ 2913 ], + "A11": [ 2930 ], + "A12": [ 2928 ], + "A13": [ 2920 ], + "A14": [ 2917 ], + "A15": [ 2918 ], + "A16": [ "0" ], + "A17": [ "0" ], + "A2": [ 2886 ], + "A3": [ 2880 ], + "A4": [ 2882 ], + "A5": [ 2873 ], + "A6": [ 2932 ], + "A7": [ 2934 ], + "A8": [ 2908 ], + "A9": [ 2906 ], + "B0": [ 1542 ], + "B1": [ 1527 ], + "B10": [ 3389 ], + "B11": [ 3388 ], + "B12": [ 3386 ], + "B13": [ 3385 ], + "B14": [ 3384 ], + "B15": [ 3383 ], + "B16": [ "0" ], + "B17": [ "0" ], + "B2": [ 2771 ], + "B3": [ 2762 ], + "B4": [ 2739 ], + "B5": [ 3394 ], + "B6": [ 3393 ], + "B7": [ 3392 ], + "B8": [ 3391 ], + "B9": [ 3390 ], + "C0": [ "0" ], + "C1": [ "0" ], + "C10": [ "0" ], + "C11": [ "0" ], + "C12": [ "0" ], + "C13": [ "0" ], + "C14": [ "0" ], + "C15": [ "0" ], + "C16": [ "0" ], + "C17": [ "0" ], + "C2": [ "0" ], + "C3": [ "0" ], + "C4": [ "0" ], + "C5": [ "0" ], + "C6": [ "0" ], + "C7": [ "0" ], + "C8": [ "0" ], + "C9": [ "0" ], + "P0": [ 5886 ], + "P1": [ 5887 ], + "P10": [ 5888 ], + "P11": [ 5889 ], + "P12": [ 5890 ], + "P13": [ 5891 ], + "P14": [ 5892 ], + "P15": [ 5893 ], + "P16": [ 5894 ], + "P17": [ 5895 ], + "P18": [ 5896 ], + "P19": [ 5897 ], + "P2": [ 5898 ], + "P20": [ 5899 ], + "P21": [ 5900 ], + "P22": [ 5901 ], + "P23": [ 5902 ], + "P24": [ 5903 ], + "P25": [ 5904 ], + "P26": [ 5905 ], + "P27": [ 5906 ], + "P28": [ 5907 ], + "P29": [ 5908 ], + "P3": [ 5909 ], + "P30": [ 5910 ], + "P31": [ 5911 ], + "P32": [ 5912 ], + "P33": [ 5913 ], + "P34": [ 5914 ], + "P35": [ 5915 ], + "P4": [ 5916 ], + "P5": [ 5917 ], + "P6": [ 5918 ], + "P7": [ 5919 ], + "P8": [ 5920 ], + "P9": [ 5921 ], + "SIGNEDA": [ "0" ], + "SIGNEDB": [ "0" ], + "SOURCEA": [ "0" ], + "SOURCEB": [ "0" ] + } + }, + "core_cpu.execute_MUL_LH_MULT18X18D_P0": { + "hide_name": 0, + "type": "MULT18X18D", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3290.28-3290.80|/usr/local/bin/../share/yosys/mul2dsp.v:249.6-253.5|/usr/local/bin/../share/yosys/ecp5/dsp_map.v:9.13-16.3" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "A10": "input", + "A11": "input", + "A12": "input", + "A13": "input", + "A14": "input", + "A15": "input", + "A16": "input", + "A17": "input", + "A2": "input", + "A3": "input", + "A4": "input", + "A5": "input", + "A6": "input", + "A7": "input", + "A8": "input", + "A9": "input", + "B0": "input", + "B1": "input", + "B10": "input", + "B11": "input", + "B12": "input", + "B13": "input", + "B14": "input", + "B15": "input", + "B16": "input", + "B17": "input", + "B2": "input", + "B3": "input", + "B4": "input", + "B5": "input", + "B6": "input", + "B7": "input", + "B8": "input", + "B9": "input", + "C0": "input", + "C1": "input", + "C10": "input", + "C11": "input", + "C12": "input", + "C13": "input", + "C14": "input", + "C15": "input", + "C16": "input", + "C17": "input", + "C2": "input", + "C3": "input", + "C4": "input", + "C5": "input", + "C6": "input", + "C7": "input", + "C8": "input", + "C9": "input", + "P0": "output", + "P1": "output", + "P10": "output", + "P11": "output", + "P12": "output", + "P13": "output", + "P14": "output", + "P15": "output", + "P16": "output", + "P17": "output", + "P18": "output", + "P19": "output", + "P2": "output", + "P20": "output", + "P21": "output", + "P22": "output", + "P23": "output", + "P24": "output", + "P25": "output", + "P26": "output", + "P27": "output", + "P28": "output", + "P29": "output", + "P3": "output", + "P30": "output", + "P31": "output", + "P32": "output", + "P33": "output", + "P34": "output", + "P35": "output", + "P4": "output", + "P5": "output", + "P6": "output", + "P7": "output", + "P8": "output", + "P9": "output", + "SIGNEDA": "input", + "SIGNEDB": "input", + "SOURCEA": "input", + "SOURCEB": "input" + }, + "connections": { + "A0": [ 1541 ], + "A1": [ 1526 ], + "A10": [ 2874 ], + "A11": [ 2883 ], + "A12": [ 2881 ], + "A13": [ 2887 ], + "A14": [ 2841 ], + "A15": [ 2845 ], + "A16": [ "0" ], + "A17": [ "0" ], + "A2": [ 2919 ], + "A3": [ 2929 ], + "A4": [ 2931 ], + "A5": [ 2912 ], + "A6": [ 2905 ], + "A7": [ 2907 ], + "A8": [ 2935 ], + "A9": [ 2933 ], + "B0": [ 3382 ], + "B1": [ 3381 ], + "B10": [ 3397 ], + "B11": [ 3396 ], + "B12": [ 3395 ], + "B13": [ 3387 ], + "B14": [ 3376 ], + "B15": [ 3375 ], + "B16": [ "0" ], + "B17": [ "0" ], + "B2": [ 3380 ], + "B3": [ 3379 ], + "B4": [ 3378 ], + "B5": [ 3377 ], + "B6": [ 3401 ], + "B7": [ 3400 ], + "B8": [ 3399 ], + "B9": [ 3398 ], + "C0": [ "0" ], + "C1": [ "0" ], + "C10": [ "0" ], + "C11": [ "0" ], + "C12": [ "0" ], + "C13": [ "0" ], + "C14": [ "0" ], + "C15": [ "0" ], + "C16": [ "0" ], + "C17": [ "0" ], + "C2": [ "0" ], + "C3": [ "0" ], + "C4": [ "0" ], + "C5": [ "0" ], + "C6": [ "0" ], + "C7": [ "0" ], + "C8": [ "0" ], + "C9": [ "0" ], + "P0": [ 5922 ], + "P1": [ 5923 ], + "P10": [ 5924 ], + "P11": [ 5925 ], + "P12": [ 5926 ], + "P13": [ 5927 ], + "P14": [ 5928 ], + "P15": [ 5929 ], + "P16": [ 5930 ], + "P17": [ 5931 ], + "P18": [ 5932 ], + "P19": [ 5933 ], + "P2": [ 5934 ], + "P20": [ 5935 ], + "P21": [ 5936 ], + "P22": [ 5937 ], + "P23": [ 5938 ], + "P24": [ 5939 ], + "P25": [ 5940 ], + "P26": [ 5941 ], + "P27": [ 5942 ], + "P28": [ 5943 ], + "P29": [ 5944 ], + "P3": [ 5945 ], + "P30": [ 5946 ], + "P31": [ 5947 ], + "P32": [ 5948 ], + "P33": [ 5949 ], + "P34": [ 5950 ], + "P35": [ 5951 ], + "P4": [ 5952 ], + "P5": [ 5953 ], + "P6": [ 5954 ], + "P7": [ 5955 ], + "P8": [ 5956 ], + "P9": [ 5957 ], + "SIGNEDA": [ "0" ], + "SIGNEDB": [ "0" ], + "SOURCEA": [ "0" ], + "SOURCEB": [ "0" ] + } + }, + "core_cpu.execute_MUL_LL_MULT18X18D_P0": { + "hide_name": 0, + "type": "MULT18X18D", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3327.28-3327.79|/usr/local/bin/../share/yosys/mul2dsp.v:249.6-253.5|/usr/local/bin/../share/yosys/ecp5/dsp_map.v:9.13-16.3" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "A10": "input", + "A11": "input", + "A12": "input", + "A13": "input", + "A14": "input", + "A15": "input", + "A16": "input", + "A17": "input", + "A2": "input", + "A3": "input", + "A4": "input", + "A5": "input", + "A6": "input", + "A7": "input", + "A8": "input", + "A9": "input", + "B0": "input", + "B1": "input", + "B10": "input", + "B11": "input", + "B12": "input", + "B13": "input", + "B14": "input", + "B15": "input", + "B16": "input", + "B17": "input", + "B2": "input", + "B3": "input", + "B4": "input", + "B5": "input", + "B6": "input", + "B7": "input", + "B8": "input", + "B9": "input", + "C0": "input", + "C1": "input", + "C10": "input", + "C11": "input", + "C12": "input", + "C13": "input", + "C14": "input", + "C15": "input", + "C16": "input", + "C17": "input", + "C2": "input", + "C3": "input", + "C4": "input", + "C5": "input", + "C6": "input", + "C7": "input", + "C8": "input", + "C9": "input", + "P0": "output", + "P1": "output", + "P10": "output", + "P11": "output", + "P12": "output", + "P13": "output", + "P14": "output", + "P15": "output", + "P16": "output", + "P17": "output", + "P18": "output", + "P19": "output", + "P2": "output", + "P20": "output", + "P21": "output", + "P22": "output", + "P23": "output", + "P24": "output", + "P25": "output", + "P26": "output", + "P27": "output", + "P28": "output", + "P29": "output", + "P3": "output", + "P30": "output", + "P31": "output", + "P32": "output", + "P33": "output", + "P34": "output", + "P35": "output", + "P4": "output", + "P5": "output", + "P6": "output", + "P7": "output", + "P8": "output", + "P9": "output", + "SIGNEDA": "input", + "SIGNEDB": "input", + "SOURCEA": "input", + "SOURCEB": "input" + }, + "connections": { + "A0": [ 1541 ], + "A1": [ 1526 ], + "A10": [ 2874 ], + "A11": [ 2883 ], + "A12": [ 2881 ], + "A13": [ 2887 ], + "A14": [ 2841 ], + "A15": [ 2845 ], + "A16": [ "0" ], + "A17": [ "0" ], + "A2": [ 2919 ], + "A3": [ 2929 ], + "A4": [ 2931 ], + "A5": [ 2912 ], + "A6": [ 2905 ], + "A7": [ 2907 ], + "A8": [ 2935 ], + "A9": [ 2933 ], + "B0": [ 1542 ], + "B1": [ 1527 ], + "B10": [ 3389 ], + "B11": [ 3388 ], + "B12": [ 3386 ], + "B13": [ 3385 ], + "B14": [ 3384 ], + "B15": [ 3383 ], + "B16": [ "0" ], + "B17": [ "0" ], + "B2": [ 2771 ], + "B3": [ 2762 ], + "B4": [ 2739 ], + "B5": [ 3394 ], + "B6": [ 3393 ], + "B7": [ 3392 ], + "B8": [ 3391 ], + "B9": [ 3390 ], + "C0": [ "0" ], + "C1": [ "0" ], + "C10": [ "0" ], + "C11": [ "0" ], + "C12": [ "0" ], + "C13": [ "0" ], + "C14": [ "0" ], + "C15": [ "0" ], + "C16": [ "0" ], + "C17": [ "0" ], + "C2": [ "0" ], + "C3": [ "0" ], + "C4": [ "0" ], + "C5": [ "0" ], + "C6": [ "0" ], + "C7": [ "0" ], + "C8": [ "0" ], + "C9": [ "0" ], + "P0": [ 5958 ], + "P1": [ 5959 ], + "P10": [ 5960 ], + "P11": [ 5961 ], + "P12": [ 5962 ], + "P13": [ 5963 ], + "P14": [ 5964 ], + "P15": [ 5965 ], + "P16": [ 5966 ], + "P17": [ 5967 ], + "P18": [ 5968 ], + "P19": [ 5969 ], + "P2": [ 5970 ], + "P20": [ 5971 ], + "P21": [ 5972 ], + "P22": [ 5973 ], + "P23": [ 5974 ], + "P24": [ 5975 ], + "P25": [ 5976 ], + "P26": [ 5977 ], + "P27": [ 5978 ], + "P28": [ 5979 ], + "P29": [ 5980 ], + "P3": [ 5981 ], + "P30": [ 5982 ], + "P31": [ 5983 ], + "P32": [ 5984 ], + "P33": [ 5985 ], + "P34": [ 5986 ], + "P35": [ 5987 ], + "P4": [ 5988 ], + "P5": [ 5989 ], + "P6": [ 5990 ], + "P7": [ 5991 ], + "P8": [ 5992 ], + "P9": [ 5993 ], + "SIGNEDA": [ "0" ], + "SIGNEDB": [ "0" ], + "SOURCEA": [ "0" ], + "SOURCEB": [ "0" ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2917 ], + "C": [ 3294 ], + "D": [ 5722 ], + "Z": [ 297 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2920 ], + "C": [ 3304 ], + "D": [ 5722 ], + "Z": [ 319 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2882 ], + "C": [ 3322 ], + "D": [ 5722 ], + "Z": [ 301 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2880 ], + "C": [ 3259 ], + "D": [ 5722 ], + "Z": [ 303 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2886 ], + "C": [ 3258 ], + "D": [ 5722 ], + "Z": [ 305 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2842 ], + "C": [ 3264 ], + "D": [ 5722 ], + "Z": [ 307 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2846 ], + "C": [ 3263 ], + "D": [ 5722 ], + "Z": [ 309 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2845 ], + "C": [ 3269 ], + "D": [ 5722 ], + "Z": [ 311 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2841 ], + "C": [ 3268 ], + "D": [ 5722 ], + "Z": [ 313 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2887 ], + "C": [ 3274 ], + "D": [ 5722 ], + "Z": [ 315 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2881 ], + "C": [ 3273 ], + "D": [ 5722 ], + "Z": [ 317 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2883 ], + "C": [ 3278 ], + "D": [ 5722 ], + "Z": [ 321 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2928 ], + "C": [ 3303 ], + "D": [ 5722 ], + "Z": [ 341 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2874 ], + "C": [ 3277 ], + "D": [ 5722 ], + "Z": [ 323 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2933 ], + "C": [ 3248 ], + "D": [ 5722 ], + "Z": [ 325 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2935 ], + "C": [ 3247 ], + "D": [ 5722 ], + "Z": [ 327 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2907 ], + "C": [ 3253 ], + "D": [ 5722 ], + "Z": [ 329 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2905 ], + "C": [ 3252 ], + "D": [ 5722 ], + "Z": [ 331 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2912 ], + "C": [ 3289 ], + "D": [ 5722 ], + "Z": [ 333 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2931 ], + "C": [ 3288 ], + "D": [ 5722 ], + "Z": [ 335 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2929 ], + "C": [ 3299 ], + "D": [ 5722 ], + "Z": [ 337 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2919 ], + "C": [ 3298 ], + "D": [ 5722 ], + "Z": [ 339 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1526 ], + "C": [ 3284 ], + "D": [ 5722 ], + "Z": [ 252 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2930 ], + "C": [ 3309 ], + "D": [ 5722 ], + "Z": [ 345 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1541 ], + "C": [ 3283 ], + "D": [ 5722 ], + "Z": [ 255 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_31": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2918 ], + "C": [ 3295 ], + "D": [ 5722 ], + "Z": [ 295 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2913 ], + "C": [ 3308 ], + "D": [ 5722 ], + "Z": [ 347 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2906 ], + "C": [ 3314 ], + "D": [ 5722 ], + "Z": [ 349 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2908 ], + "C": [ 3313 ], + "D": [ 5722 ], + "Z": [ 351 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2934 ], + "C": [ 3319 ], + "D": [ 5722 ], + "Z": [ 353 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2932 ], + "C": [ 3318 ], + "D": [ 5722 ], + "Z": [ 355 ] + } + }, + "core_cpu.execute_SRC_ADD_SUB_LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2873 ], + "C": [ 3323 ], + "D": [ 5722 ], + "Z": [ 299 ] + } + }, + "core_cpu.execute_arbitration_isStuck_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 5994 ], + "BLUT": [ 5995 ], + "C0": [ 5601 ], + "Z": [ 1548 ] + } + }, + "core_cpu.execute_arbitration_isStuck_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5604 ], + "B": [ 5632 ], + "C": [ 1555 ], + "D": [ 5630 ], + "Z": [ 5994 ] + } + }, + "core_cpu.execute_arbitration_isStuck_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 5995 ] + } + }, + "core_cpu.execute_arbitration_isValid_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5996 ], + "CLK": [ 3 ], + "DI": [ 5997 ], + "LSR": [ 71 ], + "Q": [ 1883 ] + } + }, + "core_cpu.execute_arbitration_isValid_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 395 ], + "D": [ 1548 ], + "Z": [ 5996 ] + } + }, + "core_cpu.execute_arbitration_isValid_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1897 ], + "C": [ 1015 ], + "D": [ 395 ], + "Z": [ 5997 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5801 ], + "LSR": [ "0" ], + "Q": [ 2099 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5800 ], + "LSR": [ "0" ], + "Q": [ 2043 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5829 ], + "LSR": [ "0" ], + "Q": [ 2014 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5828 ], + "LSR": [ "0" ], + "Q": [ 2017 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5771 ], + "LSR": [ "0" ], + "Q": [ 2020 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5770 ], + "LSR": [ "0" ], + "Q": [ 2023 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5776 ], + "LSR": [ "0" ], + "Q": [ 2026 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5775 ], + "LSR": [ "0" ], + "Q": [ 2029 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5781 ], + "LSR": [ "0" ], + "Q": [ 2032 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5780 ], + "LSR": [ "0" ], + "Q": [ 2035 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5786 ], + "LSR": [ "0" ], + "Q": [ 2038 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5785 ], + "LSR": [ "0" ], + "Q": [ 1927 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5810 ], + "LSR": [ "0" ], + "Q": [ 2076 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5790 ], + "LSR": [ "0" ], + "Q": [ 2048 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5789 ], + "LSR": [ "0" ], + "Q": [ 2051 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5760 ], + "LSR": [ "0" ], + "Q": [ 2054 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5759 ], + "LSR": [ "0" ], + "Q": [ 2057 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5765 ], + "LSR": [ "0" ], + "Q": [ 2060 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5764 ], + "LSR": [ "0" ], + "Q": [ 2063 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5795 ], + "LSR": [ "0" ], + "Q": [ 2066 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5794 ], + "LSR": [ "0" ], + "Q": [ 2069 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5805 ], + "LSR": [ "0" ], + "Q": [ 2072 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5804 ], + "LSR": [ "0" ], + "Q": [ 2075 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5809 ], + "LSR": [ "0" ], + "Q": [ 2079 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5815 ], + "LSR": [ "0" ], + "Q": [ 2082 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5814 ], + "LSR": [ "0" ], + "Q": [ 2085 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5820 ], + "LSR": [ "0" ], + "Q": [ 2088 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5819 ], + "LSR": [ "0" ], + "Q": [ 2091 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5825 ], + "LSR": [ "0" ], + "Q": [ 2094 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5824 ], + "LSR": [ "0" ], + "Q": [ 2097 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_DO_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1881 ], + "C": [ 5999 ], + "D": [ 1901 ], + "Z": [ 1900 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_DO_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 5053 ], + "D": [ 1885 ], + "Z": [ 1898 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_DO_LUT4_C_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2251 ], + "C": [ 5054 ], + "D": [ 1884 ], + "Z": [ 1899 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_DO_LUT4_C_Z_LUT4_Z_1_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2241 ], + "C": [ 2243 ], + "D": [ 2252 ], + "Z": [ 5054 ] + } + }, + "core_cpu.execute_to_memory_BRANCH_DO_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5752 ], + "LSR": [ "0" ], + "Q": [ 5999 ] + } + }, + "core_cpu.execute_to_memory_BYPASSABLE_MEMORY_STAGE_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5595 ], + "LSR": [ "0" ], + "Q": [ 5101 ] + } + }, + "core_cpu.execute_to_memory_ENV_CTRL_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 1442 ], + "B": [ 1974 ], + "C": [ 6000 ], + "D": [ 1881 ], + "Z": [ 1020 ] + } + }, + "core_cpu.execute_to_memory_ENV_CTRL_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5605 ], + "LSR": [ "0" ], + "Q": [ 6000 ] + } + }, + "core_cpu.execute_to_memory_INSTRUCTION_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5623 ], + "LSR": [ "0" ], + "Q": [ 6001 ] + } + }, + "core_cpu.execute_to_memory_INSTRUCTION_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5624 ], + "LSR": [ "0" ], + "Q": [ 6002 ] + } + }, + "core_cpu.execute_to_memory_INSTRUCTION_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2587 ], + "LSR": [ "0" ], + "Q": [ 6003 ] + } + }, + "core_cpu.execute_to_memory_INSTRUCTION_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 180 ], + "LSR": [ "0" ], + "Q": [ 6004 ] + } + }, + "core_cpu.execute_to_memory_INSTRUCTION_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 187 ], + "LSR": [ "0" ], + "Q": [ 6005 ] + } + }, + "core_cpu.execute_to_memory_INSTRUCTION_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2592 ], + "LSR": [ "0" ], + "Q": [ 5117 ] + } + }, + "core_cpu.execute_to_memory_INSTRUCTION_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2595 ], + "LSR": [ "0" ], + "Q": [ 5116 ] + } + }, + "core_cpu.execute_to_memory_INSTRUCTION_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2574 ], + "LSR": [ "0" ], + "Q": [ 5115 ] + } + }, + "core_cpu.execute_to_memory_INSTRUCTION_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2577 ], + "LSR": [ "0" ], + "Q": [ 5111 ] + } + }, + "core_cpu.execute_to_memory_INSTRUCTION_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2600 ], + "LSR": [ "0" ], + "Q": [ 5114 ] + } + }, + "core_cpu.execute_to_memory_IS_DIV_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1881 ], + "D": [ 6006 ], + "Z": [ 3729 ] + } + }, + "core_cpu.execute_to_memory_IS_DIV_LUT4_D_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6007 ], + "BLUT": [ 6008 ], + "C0": [ 6009 ], + "Z": [ 3797 ] + } + }, + "core_cpu.execute_to_memory_IS_DIV_LUT4_D_Z_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3740 ], + "B": [ 3741 ], + "C": [ 6010 ], + "D": [ 1881 ], + "Z": [ 6007 ] + } + }, + "core_cpu.execute_to_memory_IS_DIV_LUT4_D_Z_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6010 ], + "D": [ 1881 ], + "Z": [ 6008 ] + } + }, + "core_cpu.execute_to_memory_IS_DIV_LUT4_D_Z_PFUMX_Z_BLUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 3780 ], + "B": [ 3740 ], + "C": [ 3779 ], + "D": [ 3741 ], + "Z": [ 6010 ] + } + }, + "core_cpu.execute_to_memory_IS_DIV_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2718 ], + "LSR": [ "0" ], + "Q": [ 6006 ] + } + }, + "core_cpu.execute_to_memory_IS_MUL_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5633 ], + "LSR": [ "0" ], + "Q": [ 6011 ] + } + }, + "core_cpu.execute_to_memory_MEMORY_ADDRESS_LOW_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 252 ], + "LSR": [ "0" ], + "Q": [ 6012 ] + } + }, + "core_cpu.execute_to_memory_MEMORY_ADDRESS_LOW_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 255 ], + "LSR": [ "0" ], + "Q": [ 6013 ] + } + }, + "core_cpu.execute_to_memory_MEMORY_ENABLE_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5719 ], + "LSR": [ "0" ], + "Q": [ 6014 ] + } + }, + "core_cpu.execute_to_memory_MEMORY_STORE_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6015 ], + "C": [ 1881 ], + "D": [ 6014 ], + "Z": [ 5632 ] + } + }, + "core_cpu.execute_to_memory_MEMORY_STORE_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 292 ], + "LSR": [ "0" ], + "Q": [ 6015 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5875 ], + "LSR": [ "0" ], + "Q": [ 3107 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5874 ], + "LSR": [ "0" ], + "Q": [ 3106 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5864 ], + "LSR": [ "0" ], + "Q": [ 3133 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5863 ], + "LSR": [ "0" ], + "Q": [ 3132 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5861 ], + "LSR": [ "0" ], + "Q": [ 3138 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5860 ], + "LSR": [ "0" ], + "Q": [ 3137 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5859 ], + "LSR": [ "0" ], + "Q": [ 3005 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5858 ], + "LSR": [ "0" ], + "Q": [ 3004 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5857 ], + "LSR": [ "0" ], + "Q": [ 3142 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5856 ], + "LSR": [ "0" ], + "Q": [ 3145 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5855 ], + "LSR": [ "0" ], + "Q": [ 3178 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5854 ], + "LSR": [ "0" ], + "Q": [ 3211 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5872 ], + "LSR": [ "0" ], + "Q": [ 3113 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5853 ], + "LSR": [ "0" ], + "Q": [ 3220 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5852 ], + "LSR": [ "0" ], + "Q": [ 3223 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5885 ], + "LSR": [ "0" ], + "Q": [ 3226 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5884 ], + "LSR": [ "0" ], + "Q": [ 3229 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5883 ], + "LSR": [ "0" ], + "Q": [ 3232 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5882 ], + "LSR": [ "0" ], + "Q": [ 3235 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5881 ], + "LSR": [ "0" ], + "Q": [ 3148 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5880 ], + "LSR": [ "0" ], + "Q": [ 3151 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5873 ], + "LSR": [ "0" ], + "Q": [ 3154 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5862 ], + "LSR": [ "0" ], + "Q": [ 3157 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5871 ], + "LSR": [ "0" ], + "Q": [ 3112 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5851 ], + "LSR": [ "0" ], + "Q": [ 3160 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5850 ], + "LSR": [ "0" ], + "Q": [ 3163 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5870 ], + "LSR": [ "0" ], + "Q": [ 3118 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5869 ], + "LSR": [ "0" ], + "Q": [ 3117 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5868 ], + "LSR": [ "0" ], + "Q": [ 3123 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5867 ], + "LSR": [ "0" ], + "Q": [ 3122 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5866 ], + "LSR": [ "0" ], + "Q": [ 3128 ] + } + }, + "core_cpu.execute_to_memory_MUL_HH_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5865 ], + "LSR": [ "0" ], + "Q": [ 3127 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5911 ], + "LSR": [ "0" ], + "Q": [ 3141 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5910 ], + "LSR": [ "0" ], + "Q": [ 3144 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5900 ], + "LSR": [ "0" ], + "Q": [ 3147 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5899 ], + "LSR": [ "0" ], + "Q": [ 3150 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5897 ], + "LSR": [ "0" ], + "Q": [ 3153 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5896 ], + "LSR": [ "0" ], + "Q": [ 3156 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5895 ], + "LSR": [ "0" ], + "Q": [ 3159 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5894 ], + "LSR": [ "0" ], + "Q": [ 3162 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5893 ], + "LSR": [ "0" ], + "Q": [ 3167 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5892 ], + "LSR": [ "0" ], + "Q": [ 3170 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5891 ], + "LSR": [ "0" ], + "Q": [ 3173 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5890 ], + "LSR": [ "0" ], + "Q": [ 3176 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5908 ], + "LSR": [ "0" ], + "Q": [ 3177 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5889 ], + "LSR": [ "0" ], + "Q": [ 3182 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5888 ], + "LSR": [ "0" ], + "Q": [ 3185 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5921 ], + "LSR": [ "0" ], + "Q": [ 3188 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5920 ], + "LSR": [ "0" ], + "Q": [ 3191 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5919 ], + "LSR": [ "0" ], + "Q": [ 3194 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5918 ], + "LSR": [ "0" ], + "Q": [ 3197 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5917 ], + "LSR": [ "0" ], + "Q": [ 3200 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5916 ], + "LSR": [ "0" ], + "Q": [ 3203 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5909 ], + "LSR": [ "0" ], + "Q": [ 3206 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5898 ], + "LSR": [ "0" ], + "Q": [ 3209 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5907 ], + "LSR": [ "0" ], + "Q": [ 3210 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5887 ], + "LSR": [ "0" ], + "Q": [ 3215 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5886 ], + "LSR": [ "0" ], + "Q": [ 3218 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5906 ], + "LSR": [ "0" ], + "Q": [ 3219 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5905 ], + "LSR": [ "0" ], + "Q": [ 3222 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5904 ], + "LSR": [ "0" ], + "Q": [ 3225 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5903 ], + "LSR": [ "0" ], + "Q": [ 3228 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5902 ], + "LSR": [ "0" ], + "Q": [ 3231 ] + } + }, + "core_cpu.execute_to_memory_MUL_HL_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5901 ], + "LSR": [ "0" ], + "Q": [ 3234 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5947 ], + "LSR": [ "0" ], + "Q": [ 3143 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5946 ], + "LSR": [ "0" ], + "Q": [ 3146 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5936 ], + "LSR": [ "0" ], + "Q": [ 3149 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5935 ], + "LSR": [ "0" ], + "Q": [ 3152 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5933 ], + "LSR": [ "0" ], + "Q": [ 3155 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5932 ], + "LSR": [ "0" ], + "Q": [ 3158 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5931 ], + "LSR": [ "0" ], + "Q": [ 3161 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5930 ], + "LSR": [ "0" ], + "Q": [ 3164 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5929 ], + "LSR": [ "0" ], + "Q": [ 3166 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5928 ], + "LSR": [ "0" ], + "Q": [ 3169 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5927 ], + "LSR": [ "0" ], + "Q": [ 3172 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5926 ], + "LSR": [ "0" ], + "Q": [ 3175 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5944 ], + "LSR": [ "0" ], + "Q": [ 3179 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5925 ], + "LSR": [ "0" ], + "Q": [ 3181 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5924 ], + "LSR": [ "0" ], + "Q": [ 3184 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5957 ], + "LSR": [ "0" ], + "Q": [ 3187 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5956 ], + "LSR": [ "0" ], + "Q": [ 3190 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5955 ], + "LSR": [ "0" ], + "Q": [ 3193 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5954 ], + "LSR": [ "0" ], + "Q": [ 3196 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5953 ], + "LSR": [ "0" ], + "Q": [ 3199 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5952 ], + "LSR": [ "0" ], + "Q": [ 3202 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5945 ], + "LSR": [ "0" ], + "Q": [ 3205 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5934 ], + "LSR": [ "0" ], + "Q": [ 3208 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5943 ], + "LSR": [ "0" ], + "Q": [ 3212 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5923 ], + "LSR": [ "0" ], + "Q": [ 3214 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5922 ], + "LSR": [ "0" ], + "Q": [ 3217 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5942 ], + "LSR": [ "0" ], + "Q": [ 3221 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5941 ], + "LSR": [ "0" ], + "Q": [ 3224 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5940 ], + "LSR": [ "0" ], + "Q": [ 3227 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5939 ], + "LSR": [ "0" ], + "Q": [ 3230 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5938 ], + "LSR": [ "0" ], + "Q": [ 3233 ] + } + }, + "core_cpu.execute_to_memory_MUL_LH_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5937 ], + "LSR": [ "0" ], + "Q": [ 3236 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5983 ], + "LSR": [ "0" ], + "Q": [ 3165 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5982 ], + "LSR": [ "0" ], + "Q": [ 3168 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5972 ], + "LSR": [ "0" ], + "Q": [ 3198 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5971 ], + "LSR": [ "0" ], + "Q": [ 3201 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5969 ], + "LSR": [ "0" ], + "Q": [ 3204 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5968 ], + "LSR": [ "0" ], + "Q": [ 3207 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5967 ], + "LSR": [ "0" ], + "Q": [ 3213 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5966 ], + "LSR": [ "0" ], + "Q": [ 3216 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5965 ], + "LSR": [ "0" ], + "Q": [ 6016 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5964 ], + "LSR": [ "0" ], + "Q": [ 6017 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5963 ], + "LSR": [ "0" ], + "Q": [ 6018 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5962 ], + "LSR": [ "0" ], + "Q": [ 6019 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5980 ], + "LSR": [ "0" ], + "Q": [ 3171 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5961 ], + "LSR": [ "0" ], + "Q": [ 6020 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5960 ], + "LSR": [ "0" ], + "Q": [ 6021 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5993 ], + "LSR": [ "0" ], + "Q": [ 6022 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5992 ], + "LSR": [ "0" ], + "Q": [ 6023 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5991 ], + "LSR": [ "0" ], + "Q": [ 6024 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5990 ], + "LSR": [ "0" ], + "Q": [ 6025 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5989 ], + "LSR": [ "0" ], + "Q": [ 6026 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5988 ], + "LSR": [ "0" ], + "Q": [ 6027 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5981 ], + "LSR": [ "0" ], + "Q": [ 6028 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5970 ], + "LSR": [ "0" ], + "Q": [ 6029 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5979 ], + "LSR": [ "0" ], + "Q": [ 3174 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5959 ], + "LSR": [ "0" ], + "Q": [ 6030 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5958 ], + "LSR": [ "0" ], + "Q": [ 6031 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5978 ], + "LSR": [ "0" ], + "Q": [ 3180 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5977 ], + "LSR": [ "0" ], + "Q": [ 3183 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5976 ], + "LSR": [ "0" ], + "Q": [ 3186 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5975 ], + "LSR": [ "0" ], + "Q": [ 3189 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5974 ], + "LSR": [ "0" ], + "Q": [ 3192 ] + } + }, + "core_cpu.execute_to_memory_MUL_LL_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5973 ], + "LSR": [ "0" ], + "Q": [ 3195 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4063 ], + "LSR": [ "0" ], + "Q": [ 3979 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4066 ], + "LSR": [ "0" ], + "Q": [ 3816 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4069 ], + "LSR": [ "0" ], + "Q": [ 3739 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4081 ], + "LSR": [ "0" ], + "Q": [ 3750 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4096 ], + "LSR": [ "0" ], + "Q": [ 3759 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4103 ], + "LSR": [ "0" ], + "Q": [ 3768 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4110 ], + "LSR": [ "0" ], + "Q": [ 3777 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4117 ], + "LSR": [ "0" ], + "Q": [ 3786 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4345 ], + "LSR": [ "0" ], + "Q": [ 3795 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4059 ], + "LSR": [ "0" ], + "Q": [ 6009 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4301 ], + "LSR": [ "0" ], + "Q": [ 3805 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4025 ], + "LSR": [ "0" ], + "Q": [ 3812 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4208 ], + "LSR": [ "0" ], + "Q": [ 3910 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4313 ], + "LSR": [ "0" ], + "Q": [ 3828 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4325 ], + "LSR": [ "0" ], + "Q": [ 3835 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4042 ], + "LSR": [ "0" ], + "Q": [ 3842 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4337 ], + "LSR": [ "0" ], + "Q": [ 3850 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4120 ], + "LSR": [ "0" ], + "Q": [ 3859 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4130 ], + "LSR": [ "0" ], + "Q": [ 3868 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4140 ], + "LSR": [ "0" ], + "Q": [ 3877 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4150 ], + "LSR": [ "0" ], + "Q": [ 3886 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4172 ], + "LSR": [ "0" ], + "Q": [ 3895 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4182 ], + "LSR": [ "0" ], + "Q": [ 3904 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4211 ], + "LSR": [ "0" ], + "Q": [ 3933 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4192 ], + "LSR": [ "0" ], + "Q": [ 3920 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4202 ], + "LSR": [ "0" ], + "Q": [ 3927 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4226 ], + "LSR": [ "0" ], + "Q": [ 3940 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4229 ], + "LSR": [ "0" ], + "Q": [ 3947 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4240 ], + "LSR": [ "0" ], + "Q": [ 3954 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4251 ], + "LSR": [ "0" ], + "Q": [ 3961 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4262 ], + "LSR": [ "0" ], + "Q": [ 3968 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 4273 ], + "LSR": [ "0" ], + "Q": [ 3975 ] + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_VALID_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5577 ], + "LSR": [ "0" ], + "Q": [ 5102 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_CTRL_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2843 ], + "LSR": [ "0" ], + "Q": [ 3741 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_CTRL_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2844 ], + "LSR": [ "0" ], + "Q": [ 3740 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2742 ], + "LSR": [ "0" ], + "Q": [ 3929 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2744 ], + "LSR": [ "0" ], + "Q": [ 3819 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2747 ], + "LSR": [ "0" ], + "Q": [ 3744 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2749 ], + "LSR": [ "0" ], + "Q": [ 3753 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2751 ], + "LSR": [ "0" ], + "Q": [ 3762 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2753 ], + "LSR": [ "0" ], + "Q": [ 3771 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2755 ], + "LSR": [ "0" ], + "Q": [ 3780 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2757 ], + "LSR": [ "0" ], + "Q": [ 3789 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2759 ], + "LSR": [ "0" ], + "Q": [ 3788 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2970 ], + "LSR": [ "0" ], + "Q": [ 3779 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2973 ], + "LSR": [ "0" ], + "Q": [ 3770 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2976 ], + "LSR": [ "0" ], + "Q": [ 3761 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2787 ], + "LSR": [ "0" ], + "Q": [ 3906 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2765 ], + "LSR": [ "0" ], + "Q": [ 3752 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2979 ], + "LSR": [ "0" ], + "Q": [ 3743 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2774 ], + "LSR": [ "0" ], + "Q": [ 3845 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2982 ], + "LSR": [ "0" ], + "Q": [ 3853 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2782 ], + "LSR": [ "0" ], + "Q": [ 3862 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2790 ], + "LSR": [ "0" ], + "Q": [ 3871 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2802 ], + "LSR": [ "0" ], + "Q": [ 3880 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2813 ], + "LSR": [ "0" ], + "Q": [ 3889 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2923 ], + "LSR": [ "0" ], + "Q": [ 3898 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2985 ], + "LSR": [ "0" ], + "Q": [ 3907 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2944 ], + "LSR": [ "0" ], + "Q": [ 3897 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2939 ], + "LSR": [ "0" ], + "Q": [ 3818 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2988 ], + "LSR": [ "0" ], + "Q": [ 3930 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2945 ], + "LSR": [ "0" ], + "Q": [ 3888 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2950 ], + "LSR": [ "0" ], + "Q": [ 3879 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2954 ], + "LSR": [ "0" ], + "Q": [ 3870 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2960 ], + "LSR": [ "0" ], + "Q": [ 3861 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2961 ], + "LSR": [ "0" ], + "Q": [ 3852 ] + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2964 ], + "LSR": [ "0" ], + "Q": [ 3844 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2918 ], + "LSR": [ "0" ], + "Q": [ 6032 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2917 ], + "LSR": [ "0" ], + "Q": [ 6033 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2873 ], + "LSR": [ "0" ], + "Q": [ 6034 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2882 ], + "LSR": [ "0" ], + "Q": [ 6035 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2880 ], + "LSR": [ "0" ], + "Q": [ 6036 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2886 ], + "LSR": [ "0" ], + "Q": [ 6037 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2842 ], + "LSR": [ "0" ], + "Q": [ 6038 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2846 ], + "LSR": [ "0" ], + "Q": [ 6039 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2845 ], + "LSR": [ "0" ], + "Q": [ 6040 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2841 ], + "LSR": [ "0" ], + "Q": [ 6041 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2887 ], + "LSR": [ "0" ], + "Q": [ 6042 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2881 ], + "LSR": [ "0" ], + "Q": [ 6043 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2920 ], + "LSR": [ "0" ], + "Q": [ 6044 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2883 ], + "LSR": [ "0" ], + "Q": [ 6045 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2874 ], + "LSR": [ "0" ], + "Q": [ 6046 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2933 ], + "LSR": [ "0" ], + "Q": [ 6047 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2935 ], + "LSR": [ "0" ], + "Q": [ 6048 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2907 ], + "LSR": [ "0" ], + "Q": [ 6049 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2905 ], + "LSR": [ "0" ], + "Q": [ 6050 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2912 ], + "LSR": [ "0" ], + "Q": [ 6051 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2931 ], + "LSR": [ "0" ], + "Q": [ 6052 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2929 ], + "LSR": [ "0" ], + "Q": [ 6053 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2919 ], + "LSR": [ "0" ], + "Q": [ 6054 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2928 ], + "LSR": [ "0" ], + "Q": [ 6055 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 1526 ], + "LSR": [ "0" ], + "Q": [ 6056 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 1541 ], + "LSR": [ "0" ], + "Q": [ 6057 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2930 ], + "LSR": [ "0" ], + "Q": [ 6058 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2913 ], + "LSR": [ "0" ], + "Q": [ 6059 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2906 ], + "LSR": [ "0" ], + "Q": [ 6060 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2908 ], + "LSR": [ "0" ], + "Q": [ 6061 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2934 ], + "LSR": [ "0" ], + "Q": [ 6062 ] + } + }, + "core_cpu.execute_to_memory_SRC1_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2932 ], + "LSR": [ "0" ], + "Q": [ 6063 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3375 ], + "LSR": [ "0" ], + "Q": [ 6064 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3376 ], + "LSR": [ "0" ], + "Q": [ 6065 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3377 ], + "LSR": [ "0" ], + "Q": [ 6066 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3378 ], + "LSR": [ "0" ], + "Q": [ 6067 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3379 ], + "LSR": [ "0" ], + "Q": [ 6068 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3380 ], + "LSR": [ "0" ], + "Q": [ 6069 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3381 ], + "LSR": [ "0" ], + "Q": [ 6070 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3382 ], + "LSR": [ "0" ], + "Q": [ 6071 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3383 ], + "LSR": [ "0" ], + "Q": [ 6072 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3384 ], + "LSR": [ "0" ], + "Q": [ 6073 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3385 ], + "LSR": [ "0" ], + "Q": [ 6074 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3386 ], + "LSR": [ "0" ], + "Q": [ 6075 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3387 ], + "LSR": [ "0" ], + "Q": [ 6076 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3388 ], + "LSR": [ "0" ], + "Q": [ 6077 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3389 ], + "LSR": [ "0" ], + "Q": [ 6078 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3390 ], + "LSR": [ "0" ], + "Q": [ 6079 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3391 ], + "LSR": [ "0" ], + "Q": [ 6080 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3392 ], + "LSR": [ "0" ], + "Q": [ 6081 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3393 ], + "LSR": [ "0" ], + "Q": [ 6082 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3394 ], + "LSR": [ "0" ], + "Q": [ 6083 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2739 ], + "LSR": [ "0" ], + "Q": [ 6084 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2762 ], + "LSR": [ "0" ], + "Q": [ 6085 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2771 ], + "LSR": [ "0" ], + "Q": [ 6086 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3395 ], + "LSR": [ "0" ], + "Q": [ 6087 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 1527 ], + "LSR": [ "0" ], + "Q": [ 6088 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 1542 ], + "LSR": [ "0" ], + "Q": [ 6089 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3396 ], + "LSR": [ "0" ], + "Q": [ 6090 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3397 ], + "LSR": [ "0" ], + "Q": [ 6091 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3398 ], + "LSR": [ "0" ], + "Q": [ 6092 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3399 ], + "LSR": [ "0" ], + "Q": [ 6093 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3400 ], + "LSR": [ "0" ], + "Q": [ 6094 ] + } + }, + "core_cpu.execute_to_memory_SRC2_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 3401 ], + "LSR": [ "0" ], + "Q": [ 6095 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6096 ], + "LSR": [ 1552 ], + "Q": [ 6097 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6098 ], + "LSR": [ 1552 ], + "Q": [ 6099 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6100 ], + "LSR": [ 1552 ], + "Q": [ 6101 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6102 ], + "LSR": [ 1552 ], + "Q": [ 6103 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6104 ], + "LSR": [ 1552 ], + "Q": [ 6105 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6106 ], + "LSR": [ 1552 ], + "Q": [ 6107 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6108 ], + "LSR": [ 1552 ], + "Q": [ 6109 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6110 ], + "LSR": [ 1552 ], + "Q": [ 6111 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6112 ], + "LSR": [ 1552 ], + "Q": [ 6113 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6114 ], + "LSR": [ 1552 ], + "Q": [ 6115 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6116 ], + "LSR": [ 1552 ], + "Q": [ 6117 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6118 ], + "LSR": [ 1552 ], + "Q": [ 6119 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6120 ], + "LSR": [ 1552 ], + "Q": [ 6121 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6122 ], + "LSR": [ 1552 ], + "Q": [ 6123 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6124 ], + "LSR": [ 1552 ], + "Q": [ 6125 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6126 ], + "LSR": [ 1552 ], + "Q": [ 6127 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6128 ], + "LSR": [ 1552 ], + "Q": [ 6129 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6130 ], + "LSR": [ 1552 ], + "Q": [ 6131 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6132 ], + "LSR": [ 1552 ], + "Q": [ 6133 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6134 ], + "LSR": [ 1552 ], + "Q": [ 6135 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6136 ], + "LSR": [ 1552 ], + "Q": [ 6137 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6138 ], + "LSR": [ 1552 ], + "Q": [ 6139 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6140 ], + "LSR": [ 1552 ], + "Q": [ 6141 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6142 ], + "LSR": [ 1552 ], + "Q": [ 6143 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6144 ], + "LSR": [ 1552 ], + "Q": [ 6145 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6146 ], + "LSR": [ 1552 ], + "Q": [ 6147 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6148 ], + "LSR": [ 1552 ], + "Q": [ 6149 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6150 ], + "LSR": [ 1552 ], + "Q": [ 6151 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6152 ], + "LSR": [ 1552 ], + "Q": [ 6153 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6154 ], + "LSR": [ 1552 ], + "Q": [ 6155 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6156 ], + "LSR": [ 1552 ], + "Q": [ 6157 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5630 ], + "CLK": [ 3 ], + "DI": [ 6158 ], + "LSR": [ 1552 ], + "Q": [ 6159 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_valueNext_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1552 ], + "C": [ 6160 ], + "D": [ 6161 ], + "Z": [ 6162 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_valueNext_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1552 ], + "C": [ 6160 ], + "D": [ 6163 ], + "Z": [ 6164 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_valueNext_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1552 ], + "C": [ 6160 ], + "D": [ 6165 ], + "Z": [ 6166 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_valueNext_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1552 ], + "C": [ 6160 ], + "D": [ 6167 ], + "Z": [ 6168 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_valueNext_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1552 ], + "C": [ 6160 ], + "D": [ 6169 ], + "Z": [ 6170 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_valueNext_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1552 ], + "C": [ 6160 ], + "D": [ 6171 ], + "Z": [ 6172 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_valueNext_LUT4_Z_D_CCU2C_S0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4603.61-4603.118|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6173 ], + "B1": [ 6174 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6175 ], + "COUT": [ 6176 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6163 ], + "S1": [ 6161 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_valueNext_LUT4_Z_D_CCU2C_S0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4603.61-4603.118|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6177 ], + "B1": [ 6178 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6179 ], + "COUT": [ 6175 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6167 ], + "S1": [ 6165 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_valueNext_LUT4_Z_D_CCU2C_S0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4603.61-4603.118|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 5630 ], + "A1": [ "0" ], + "B0": [ 6180 ], + "B1": [ 6181 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 6179 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6171 ], + "S1": [ 6169 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_value_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6162 ], + "LSR": [ 71 ], + "Q": [ 6174 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_value_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6164 ], + "LSR": [ 71 ], + "Q": [ 6173 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_value_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6166 ], + "LSR": [ 71 ], + "Q": [ 6178 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_value_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6168 ], + "LSR": [ 71 ], + "Q": [ 6177 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_value_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6170 ], + "LSR": [ 71 ], + "Q": [ 6181 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_value_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6172 ], + "LSR": [ 71 ], + "Q": [ 6180 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_willIncrement_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6182 ], + "D": [ 3729 ], + "Z": [ 5630 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_done_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:88.171-88.230" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6183 ], + "CLK": [ 3 ], + "DI": [ "1" ], + "LSR": [ 1552 ], + "Q": [ 6182 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_done_TRELLIS_FF_Q_CE_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6183 ], + "D": [ 5630 ], + "Z": [ 6184 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_done_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6180 ], + "D": [ 6185 ], + "Z": [ 6183 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_done_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5630 ], + "C": [ 6185 ], + "D": [ 6180 ], + "Z": [ 6160 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_done_TRELLIS_FF_Q_CE_LUT4_Z_D_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6186 ], + "BLUT": [ 6187 ], + "C0": [ 6174 ], + "Z": [ 6185 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_done_TRELLIS_FF_Q_CE_LUT4_Z_D_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6181 ], + "B": [ 6177 ], + "C": [ 6178 ], + "D": [ 6173 ], + "Z": [ 6186 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_done_TRELLIS_FF_Q_CE_LUT4_Z_D_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6187 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011110001011010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6188 ], + "B": [ 6147 ], + "C": [ 3681 ], + "D": [ 6004 ], + "Z": [ 3682 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6097 ], + "B": [ 6189 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3693 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6099 ], + "B": [ 6190 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3692 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6101 ], + "B": [ 6191 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3723 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6103 ], + "B": [ 6192 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3722 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6105 ], + "B": [ 6193 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3657 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6107 ], + "B": [ 6194 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3656 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6109 ], + "B": [ 6195 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3663 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6111 ], + "B": [ 6196 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3662 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6113 ], + "B": [ 6197 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3668 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6115 ], + "B": [ 6198 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3667 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6117 ], + "B": [ 6199 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3673 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6119 ], + "B": [ 6200 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3672 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6121 ], + "B": [ 6201 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3703 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6123 ], + "B": [ 6202 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3678 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6125 ], + "B": [ 6203 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3677 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6127 ], + "B": [ 6204 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3646 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6129 ], + "B": [ 6205 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3645 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6131 ], + "B": [ 6206 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3652 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6133 ], + "B": [ 6207 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3651 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6135 ], + "B": [ 6208 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3688 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6137 ], + "B": [ 6209 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3687 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6139 ], + "B": [ 6210 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3699 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6141 ], + "B": [ 6211 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3698 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6143 ], + "B": [ 6212 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3702 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6145 ], + "B": [ 6213 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3683 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6149 ], + "B": [ 6214 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3708 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6151 ], + "B": [ 6215 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3707 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6153 ], + "B": [ 6216 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3713 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6155 ], + "B": [ 6217 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3712 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6157 ], + "B": [ 6218 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3718 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_LUT4_D_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101001110101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6159 ], + "B": [ 6219 ], + "C": [ 6004 ], + "D": [ 3681 ], + "Z": [ 3717 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 6220 ], + "LSR": [ "0" ], + "Q": [ 3681 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101100000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 180 ], + "B": [ 2601 ], + "C": [ 6221 ], + "D": [ 2608 ], + "Z": [ 6220 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5714 ], + "B": [ 5709 ], + "C": [ 5711 ], + "D": [ 5710 ], + "Z": [ 6221 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3697 ], + "LSR": [ "0" ], + "Q": [ 3728 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3696 ], + "LSR": [ "0" ], + "Q": [ 3732 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3725 ], + "LSR": [ "0" ], + "Q": [ 3735 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3724 ], + "LSR": [ "0" ], + "Q": [ 3746 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3661 ], + "LSR": [ "0" ], + "Q": [ 3755 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3660 ], + "LSR": [ "0" ], + "Q": [ 3764 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3666 ], + "LSR": [ "0" ], + "Q": [ 3773 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3665 ], + "LSR": [ "0" ], + "Q": [ 3782 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3671 ], + "LSR": [ "0" ], + "Q": [ 3791 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3670 ], + "LSR": [ "0" ], + "Q": [ 3798 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3676 ], + "LSR": [ "0" ], + "Q": [ 3801 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3675 ], + "LSR": [ "0" ], + "Q": [ 3808 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3706 ], + "LSR": [ "0" ], + "Q": [ 3821 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3680 ], + "LSR": [ "0" ], + "Q": [ 3824 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3679 ], + "LSR": [ "0" ], + "Q": [ 3831 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3650 ], + "LSR": [ "0" ], + "Q": [ 3838 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3649 ], + "LSR": [ "0" ], + "Q": [ 3847 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3655 ], + "LSR": [ "0" ], + "Q": [ 3855 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3654 ], + "LSR": [ "0" ], + "Q": [ 3864 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3691 ], + "LSR": [ "0" ], + "Q": [ 3873 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3690 ], + "LSR": [ "0" ], + "Q": [ 3882 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3701 ], + "LSR": [ "0" ], + "Q": [ 3891 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3700 ], + "LSR": [ "0" ], + "Q": [ 3900 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3705 ], + "LSR": [ "0" ], + "Q": [ 3913 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3686 ], + "LSR": [ "0" ], + "Q": [ 3916 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3685 ], + "LSR": [ "0" ], + "Q": [ 3923 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3711 ], + "LSR": [ "0" ], + "Q": [ 3936 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3710 ], + "LSR": [ "0" ], + "Q": [ 3943 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3716 ], + "LSR": [ "0" ], + "Q": [ 3950 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3715 ], + "LSR": [ "0" ], + "Q": [ 3957 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3721 ], + "LSR": [ "0" ], + "Q": [ 3964 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6184 ], + "CLK": [ 3 ], + "DI": [ 3720 ], + "LSR": [ "0" ], + "Q": [ 3971 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6099 ], + "C": [ 6222 ], + "D": [ 6223 ], + "Z": [ 6096 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6121 ], + "C": [ 6224 ], + "D": [ 6223 ], + "Z": [ 6098 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6103 ], + "C": [ 6225 ], + "D": [ 6223 ], + "Z": [ 6100 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6105 ], + "C": [ 6226 ], + "D": [ 6223 ], + "Z": [ 6102 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6107 ], + "C": [ 6227 ], + "D": [ 6223 ], + "Z": [ 6104 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6109 ], + "C": [ 6228 ], + "D": [ 6223 ], + "Z": [ 6106 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6111 ], + "C": [ 6229 ], + "D": [ 6223 ], + "Z": [ 6108 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6113 ], + "C": [ 6230 ], + "D": [ 6223 ], + "Z": [ 6110 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6115 ], + "C": [ 6231 ], + "D": [ 6223 ], + "Z": [ 6112 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6117 ], + "C": [ 6232 ], + "D": [ 6223 ], + "Z": [ 6114 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6119 ], + "C": [ 6233 ], + "D": [ 6223 ], + "Z": [ 6116 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6123 ], + "C": [ 6234 ], + "D": [ 6223 ], + "Z": [ 6118 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6143 ], + "C": [ 6235 ], + "D": [ 6223 ], + "Z": [ 6120 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6125 ], + "C": [ 6236 ], + "D": [ 6223 ], + "Z": [ 6122 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6127 ], + "C": [ 6237 ], + "D": [ 6223 ], + "Z": [ 6124 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6129 ], + "C": [ 6238 ], + "D": [ 6223 ], + "Z": [ 6126 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6131 ], + "C": [ 6239 ], + "D": [ 6223 ], + "Z": [ 6128 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6133 ], + "C": [ 6240 ], + "D": [ 6223 ], + "Z": [ 6130 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6135 ], + "C": [ 6241 ], + "D": [ 6223 ], + "Z": [ 6132 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6137 ], + "C": [ 6242 ], + "D": [ 6223 ], + "Z": [ 6134 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6139 ], + "C": [ 6243 ], + "D": [ 6223 ], + "Z": [ 6136 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6141 ], + "C": [ 6244 ], + "D": [ 6223 ], + "Z": [ 6138 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6145 ], + "C": [ 6245 ], + "D": [ 6223 ], + "Z": [ 6140 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6149 ], + "C": [ 6246 ], + "D": [ 6223 ], + "Z": [ 6142 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6147 ], + "C": [ 6247 ], + "D": [ 6223 ], + "Z": [ 6144 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_31": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6189 ], + "C": [ 6248 ], + "D": [ 6223 ], + "Z": [ 6146 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6151 ], + "C": [ 6249 ], + "D": [ 6223 ], + "Z": [ 6148 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6153 ], + "C": [ 6250 ], + "D": [ 6223 ], + "Z": [ 6150 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6155 ], + "C": [ 6251 ], + "D": [ 6223 ], + "Z": [ 6152 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6157 ], + "C": [ 6252 ], + "D": [ 6223 ], + "Z": [ 6154 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6159 ], + "C": [ 6253 ], + "D": [ 6223 ], + "Z": [ 6156 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder_LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6101 ], + "C": [ 6254 ], + "D": [ 6223 ], + "Z": [ 6158 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6131 ], + "A1": [ 6129 ], + "B0": [ 6255 ], + "B1": [ 6256 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6257 ], + "COUT": [ 6258 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6239 ], + "S1": [ 6238 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6135 ], + "A1": [ 6133 ], + "B0": [ 6259 ], + "B1": [ 6260 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6261 ], + "COUT": [ 6257 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6241 ], + "S1": [ 6240 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_10": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6105 ], + "A1": [ 6103 ], + "B0": [ 6262 ], + "B1": [ 6263 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6264 ], + "COUT": [ 6265 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6226 ], + "S1": [ 6225 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_11": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6109 ], + "A1": [ 6107 ], + "B0": [ 6266 ], + "B1": [ 6267 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6268 ], + "COUT": [ 6264 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6228 ], + "S1": [ 6227 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_12": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6113 ], + "A1": [ 6111 ], + "B0": [ 6269 ], + "B1": [ 6270 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6271 ], + "COUT": [ 6268 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6230 ], + "S1": [ 6229 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_13": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6117 ], + "A1": [ 6115 ], + "B0": [ 6272 ], + "B1": [ 6273 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6274 ], + "COUT": [ 6271 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6232 ], + "S1": [ 6231 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_14": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6123 ], + "A1": [ 6119 ], + "B0": [ 6275 ], + "B1": [ 6276 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6277 ], + "COUT": [ 6274 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6234 ], + "S1": [ 6233 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_15": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6127 ], + "A1": [ 6125 ], + "B0": [ 6278 ], + "B1": [ 6279 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6258 ], + "COUT": [ 6277 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6237 ], + "S1": [ 6236 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_16": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6189 ], + "A1": [ 6147 ], + "B0": [ 6280 ], + "B1": [ 6281 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ "1" ], + "COUT": [ 6282 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6248 ], + "S1": [ 6247 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6139 ], + "A1": [ 6137 ], + "B0": [ 6283 ], + "B1": [ 6284 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6285 ], + "COUT": [ 6261 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6243 ], + "S1": [ 6242 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6097 ], + "A1": [ "0" ], + "B0": [ "0" ], + "B1": [ "0" ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6286 ], + "COUT": [ 6287 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6223 ], + "S1": [ 6288 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_4": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6121 ], + "A1": [ 6099 ], + "B0": [ 6289 ], + "B1": [ 6290 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6291 ], + "COUT": [ 6286 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6224 ], + "S1": [ 6222 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_5": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6145 ], + "A1": [ 6141 ], + "B0": [ 6292 ], + "B1": [ 6293 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6282 ], + "COUT": [ 6285 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6245 ], + "S1": [ 6244 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_6": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6149 ], + "A1": [ 6143 ], + "B0": [ 6294 ], + "B1": [ 6295 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6296 ], + "COUT": [ 6291 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6246 ], + "S1": [ 6235 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_7": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6153 ], + "A1": [ 6151 ], + "B0": [ 6297 ], + "B1": [ 6298 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6299 ], + "COUT": [ 6296 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6250 ], + "S1": [ 6249 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_8": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6157 ], + "A1": [ 6155 ], + "B0": [ 6300 ], + "B1": [ 6301 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6302 ], + "COUT": [ 6299 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6252 ], + "S1": [ 6251 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_9": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6101 ], + "A1": [ 6159 ], + "B0": [ 6303 ], + "B1": [ 6304 ], + "C0": [ "1" ], + "C1": [ "1" ], + "CIN": [ 6265 ], + "COUT": [ 6302 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6254 ], + "S1": [ 6253 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6305 ], + "LSR": [ "0" ], + "Q": [ 6189 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6306 ], + "LSR": [ "0" ], + "Q": [ 6190 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6307 ], + "LSR": [ "0" ], + "Q": [ 6191 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_10_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2679 ], + "C": [ 6192 ], + "D": [ 1552 ], + "Z": [ 6307 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6308 ], + "LSR": [ "0" ], + "Q": [ 6192 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_11_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2678 ], + "C": [ 6193 ], + "D": [ 1552 ], + "Z": [ 6308 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6309 ], + "LSR": [ "0" ], + "Q": [ 6193 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_12_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2683 ], + "C": [ 6194 ], + "D": [ 1552 ], + "Z": [ 6309 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6310 ], + "LSR": [ "0" ], + "Q": [ 6194 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_13_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2682 ], + "C": [ 6195 ], + "D": [ 1552 ], + "Z": [ 6310 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6311 ], + "LSR": [ "0" ], + "Q": [ 6195 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_14_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2630 ], + "C": [ 6196 ], + "D": [ 1552 ], + "Z": [ 6311 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6312 ], + "LSR": [ "0" ], + "Q": [ 6196 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_15_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2629 ], + "C": [ 6197 ], + "D": [ 1552 ], + "Z": [ 6312 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6313 ], + "LSR": [ "0" ], + "Q": [ 6197 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_16_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2635 ], + "C": [ 6198 ], + "D": [ 1552 ], + "Z": [ 6313 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6314 ], + "LSR": [ "0" ], + "Q": [ 6198 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_17_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2634 ], + "C": [ 6199 ], + "D": [ 1552 ], + "Z": [ 6314 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6315 ], + "LSR": [ "0" ], + "Q": [ 6199 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_18_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2640 ], + "C": [ 6200 ], + "D": [ 1552 ], + "Z": [ 6315 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6316 ], + "LSR": [ "0" ], + "Q": [ 6200 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_19_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2639 ], + "C": [ 6202 ], + "D": [ 1552 ], + "Z": [ 6316 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6317 ], + "C": [ 6201 ], + "D": [ 1552 ], + "Z": [ 6306 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6318 ], + "LSR": [ "0" ], + "Q": [ 6201 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6319 ], + "LSR": [ "0" ], + "Q": [ 6202 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_20_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2644 ], + "C": [ 6203 ], + "D": [ 1552 ], + "Z": [ 6319 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6320 ], + "LSR": [ "0" ], + "Q": [ 6203 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_21_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2643 ], + "C": [ 6204 ], + "D": [ 1552 ], + "Z": [ 6320 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6321 ], + "LSR": [ "0" ], + "Q": [ 6204 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_22_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2619 ], + "C": [ 6205 ], + "D": [ 1552 ], + "Z": [ 6321 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6322 ], + "LSR": [ "0" ], + "Q": [ 6205 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_23_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2618 ], + "C": [ 6206 ], + "D": [ 1552 ], + "Z": [ 6322 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6323 ], + "LSR": [ "0" ], + "Q": [ 6206 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_24_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2624 ], + "C": [ 6207 ], + "D": [ 1552 ], + "Z": [ 6323 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6324 ], + "LSR": [ "0" ], + "Q": [ 6207 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_25_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2623 ], + "C": [ 6208 ], + "D": [ 1552 ], + "Z": [ 6324 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6325 ], + "LSR": [ "0" ], + "Q": [ 6208 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_26_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2649 ], + "C": [ 6209 ], + "D": [ 1552 ], + "Z": [ 6325 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6326 ], + "LSR": [ "0" ], + "Q": [ 6209 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_27_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2648 ], + "C": [ 6210 ], + "D": [ 1552 ], + "Z": [ 6326 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6327 ], + "LSR": [ "0" ], + "Q": [ 6210 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_28_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2653 ], + "C": [ 6211 ], + "D": [ 1552 ], + "Z": [ 6327 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6328 ], + "LSR": [ "0" ], + "Q": [ 6211 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_29_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2652 ], + "C": [ 6213 ], + "D": [ 1552 ], + "Z": [ 6328 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_2_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2659 ], + "C": [ 6212 ], + "D": [ 1552 ], + "Z": [ 6318 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6329 ], + "LSR": [ "0" ], + "Q": [ 6212 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6330 ], + "LSR": [ "0" ], + "Q": [ 6213 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_30_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2613 ], + "C": [ 6188 ], + "D": [ 1552 ], + "Z": [ 6330 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6331 ], + "LSR": [ "0" ], + "Q": [ 6188 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_31_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2612 ], + "C": [ 6223 ], + "D": [ 1552 ], + "Z": [ 6331 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_3_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2658 ], + "C": [ 6214 ], + "D": [ 1552 ], + "Z": [ 6329 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6332 ], + "LSR": [ "0" ], + "Q": [ 6214 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_4_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2664 ], + "C": [ 6215 ], + "D": [ 1552 ], + "Z": [ 6332 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6333 ], + "LSR": [ "0" ], + "Q": [ 6215 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_5_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2663 ], + "C": [ 6216 ], + "D": [ 1552 ], + "Z": [ 6333 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6334 ], + "LSR": [ "0" ], + "Q": [ 6216 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_6_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2669 ], + "C": [ 6217 ], + "D": [ 1552 ], + "Z": [ 6334 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6335 ], + "LSR": [ "0" ], + "Q": [ 6217 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_7_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2668 ], + "C": [ 6218 ], + "D": [ 1552 ], + "Z": [ 6335 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6336 ], + "LSR": [ "0" ], + "Q": [ 6218 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_8_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2674 ], + "C": [ 6219 ], + "D": [ 1552 ], + "Z": [ 6336 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5631 ], + "CLK": [ 3 ], + "DI": [ 6337 ], + "LSR": [ "0" ], + "Q": [ 6219 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_9_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 2673 ], + "C": [ 6191 ], + "D": [ 1552 ], + "Z": [ 6337 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6338 ], + "C": [ 6190 ], + "D": [ 1552 ], + "Z": [ 6305 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_DI_LUT4_Z_B_CCU2C_S0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 2687 ], + "B1": [ 2685 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 2657 ], + "COUT": [ 6339 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6317 ], + "S1": [ 6338 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5680 ], + "LSR": [ "0" ], + "Q": [ 6290 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5679 ], + "LSR": [ "0" ], + "Q": [ 6289 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5708 ], + "LSR": [ "0" ], + "Q": [ 6263 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5707 ], + "LSR": [ "0" ], + "Q": [ 6262 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5651 ], + "LSR": [ "0" ], + "Q": [ 6267 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5650 ], + "LSR": [ "0" ], + "Q": [ 6266 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5656 ], + "LSR": [ "0" ], + "Q": [ 6270 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5655 ], + "LSR": [ "0" ], + "Q": [ 6269 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5661 ], + "LSR": [ "0" ], + "Q": [ 6273 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5660 ], + "LSR": [ "0" ], + "Q": [ 6272 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5666 ], + "LSR": [ "0" ], + "Q": [ 6276 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5665 ], + "LSR": [ "0" ], + "Q": [ 6275 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5689 ], + "LSR": [ "0" ], + "Q": [ 6295 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5670 ], + "LSR": [ "0" ], + "Q": [ 6279 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5669 ], + "LSR": [ "0" ], + "Q": [ 6278 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5640 ], + "LSR": [ "0" ], + "Q": [ 6256 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5639 ], + "LSR": [ "0" ], + "Q": [ 6255 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5645 ], + "LSR": [ "0" ], + "Q": [ 6260 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5644 ], + "LSR": [ "0" ], + "Q": [ 6259 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5675 ], + "LSR": [ "0" ], + "Q": [ 6284 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5674 ], + "LSR": [ "0" ], + "Q": [ 6283 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5684 ], + "LSR": [ "0" ], + "Q": [ 6293 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5683 ], + "LSR": [ "0" ], + "Q": [ 6292 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5688 ], + "LSR": [ "0" ], + "Q": [ 6294 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2606 ], + "LSR": [ "0" ], + "Q": [ 6281 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 2605 ], + "LSR": [ "0" ], + "Q": [ 6280 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5694 ], + "LSR": [ "0" ], + "Q": [ 6298 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5693 ], + "LSR": [ "0" ], + "Q": [ 6297 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5699 ], + "LSR": [ "0" ], + "Q": [ 6301 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5698 ], + "LSR": [ "0" ], + "Q": [ 6300 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5704 ], + "LSR": [ "0" ], + "Q": [ 6304 ] + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:36.102-36.164" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 5998 ], + "CLK": [ 3 ], + "DI": [ 5703 ], + "LSR": [ "0" ], + "Q": [ 6303 ] + } + }, + "core_cpu.memory_arbitration_isStuck_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:115.33-116.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 1552 ], + "Z": [ 5998 ] + } + }, + "core_cpu.memory_arbitration_isValid_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6340 ], + "CLK": [ 3 ], + "DI": [ 6341 ], + "LSR": [ 71 ], + "Q": [ 1881 ] + } + }, + "core_cpu.memory_arbitration_isValid_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1552 ], + "D": [ 1901 ], + "Z": [ 6340 ] + } + }, + "core_cpu.memory_arbitration_isValid_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1548 ], + "C": [ 395 ], + "D": [ 1883 ], + "Z": [ 6341 ] + } + }, + "core_cpu.memory_to_writeBack_ENV_CTRL_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6342 ], + "B": [ 6343 ], + "C": [ 1442 ], + "D": [ 1974 ], + "Z": [ 1451 ] + } + }, + "core_cpu.memory_to_writeBack_ENV_CTRL_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6000 ], + "LSR": [ "0" ], + "Q": [ 1974 ] + } + }, + "core_cpu.memory_to_writeBack_INSTRUCTION_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6001 ], + "LSR": [ 71 ], + "Q": [ 6342 ] + } + }, + "core_cpu.memory_to_writeBack_INSTRUCTION_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6002 ], + "LSR": [ 71 ], + "Q": [ 6343 ] + } + }, + "core_cpu.memory_to_writeBack_INSTRUCTION_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6003 ], + "LSR": [ 71 ], + "Q": [ 4923 ] + } + }, + "core_cpu.memory_to_writeBack_INSTRUCTION_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6004 ], + "LSR": [ 71 ], + "Q": [ 3612 ] + } + }, + "core_cpu.memory_to_writeBack_INSTRUCTION_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6005 ], + "LSR": [ 71 ], + "Q": [ 3611 ] + } + }, + "core_cpu.memory_to_writeBack_INSTRUCTION_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5117 ], + "LSR": [ 71 ], + "Q": [ 2533 ] + } + }, + "core_cpu.memory_to_writeBack_INSTRUCTION_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5116 ], + "LSR": [ 71 ], + "Q": [ 2283 ] + } + }, + "core_cpu.memory_to_writeBack_INSTRUCTION_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5115 ], + "LSR": [ 71 ], + "Q": [ 2282 ] + } + }, + "core_cpu.memory_to_writeBack_INSTRUCTION_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5111 ], + "LSR": [ 71 ], + "Q": [ 2281 ] + } + }, + "core_cpu.memory_to_writeBack_INSTRUCTION_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5114 ], + "LSR": [ 71 ], + "Q": [ 2280 ] + } + }, + "core_cpu.memory_to_writeBack_IS_MUL_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1442 ], + "D": [ 6344 ], + "Z": [ 4799 ] + } + }, + "core_cpu.memory_to_writeBack_IS_MUL_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4916 ], + "B": [ 4917 ], + "C": [ 6345 ], + "D": [ 6346 ], + "Z": [ 5002 ] + } + }, + "core_cpu.memory_to_writeBack_IS_MUL_LUT4_D_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6347 ], + "C": [ 3457 ], + "D": [ 4801 ], + "Z": [ 5001 ] + } + }, + "core_cpu.memory_to_writeBack_IS_MUL_LUT4_D_Z_LUT4_Z_D_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6348 ], + "BLUT": [ 6349 ], + "C0": [ 4917 ], + "Z": [ 6346 ] + } + }, + "core_cpu.memory_to_writeBack_IS_MUL_LUT4_D_Z_LUT4_Z_D_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 4914 ], + "C": [ 5044 ], + "D": [ 4916 ], + "Z": [ 6348 ] + } + }, + "core_cpu.memory_to_writeBack_IS_MUL_LUT4_D_Z_LUT4_Z_D_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 4915 ], + "D": [ 4916 ], + "Z": [ 6349 ] + } + }, + "core_cpu.memory_to_writeBack_IS_MUL_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6011 ], + "LSR": [ "0" ], + "Q": [ 6344 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_ADDRESS_LOW_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010100110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 4929 ], + "B": [ 4924 ], + "C": [ 4916 ], + "D": [ 4917 ], + "Z": [ 4845 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_ADDRESS_LOW_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6012 ], + "LSR": [ "0" ], + "Q": [ 4917 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_ADDRESS_LOW_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6013 ], + "LSR": [ "0" ], + "Q": [ 4916 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_ENABLE_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1442 ], + "D": [ 6350 ], + "Z": [ 4797 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_ENABLE_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6014 ], + "LSR": [ "0" ], + "Q": [ 6350 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5227 ], + "LSR": [ "0" ], + "Q": [ 4929 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5230 ], + "LSR": [ "0" ], + "Q": [ 4853 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5233 ], + "LSR": [ "0" ], + "Q": [ 4794 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5238 ], + "LSR": [ "0" ], + "Q": [ 4805 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5242 ], + "LSR": [ "0" ], + "Q": [ 4812 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5246 ], + "LSR": [ "0" ], + "Q": [ 4819 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5250 ], + "LSR": [ "0" ], + "Q": [ 4826 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5254 ], + "LSR": [ "0" ], + "Q": [ 5044 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5258 ], + "LSR": [ "0" ], + "Q": [ 4924 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5262 ], + "LSR": [ "0" ], + "Q": [ 4854 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5266 ], + "LSR": [ "0" ], + "Q": [ 4864 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5270 ], + "LSR": [ "0" ], + "Q": [ 4873 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5275 ], + "LSR": [ "0" ], + "Q": [ 4863 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5278 ], + "LSR": [ "0" ], + "Q": [ 4888 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5282 ], + "LSR": [ "0" ], + "Q": [ 4897 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5286 ], + "LSR": [ "0" ], + "Q": [ 4906 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5290 ], + "LSR": [ "0" ], + "Q": [ 4915 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5294 ], + "LSR": [ "0" ], + "Q": [ 4931 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5298 ], + "LSR": [ "0" ], + "Q": [ 4937 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5302 ], + "LSR": [ "0" ], + "Q": [ 4948 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5306 ], + "LSR": [ "0" ], + "Q": [ 4958 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5310 ], + "LSR": [ "0" ], + "Q": [ 4968 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5314 ], + "LSR": [ "0" ], + "Q": [ 4978 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5319 ], + "LSR": [ "0" ], + "Q": [ 4872 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5322 ], + "LSR": [ "0" ], + "Q": [ 4994 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5328 ], + "LSR": [ "0" ], + "Q": [ 6345 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5335 ], + "LSR": [ "0" ], + "Q": [ 4887 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5339 ], + "LSR": [ "0" ], + "Q": [ 4896 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5343 ], + "LSR": [ "0" ], + "Q": [ 4905 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5347 ], + "LSR": [ "0" ], + "Q": [ 4914 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5351 ], + "LSR": [ "0" ], + "Q": [ 4930 ] + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5355 ], + "LSR": [ "0" ], + "Q": [ 4942 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3111 ], + "LSR": [ "0" ], + "Q": [ 3610 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3110 ], + "LSR": [ "0" ], + "Q": [ 3517 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3136 ], + "LSR": [ "0" ], + "Q": [ 3607 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3135 ], + "LSR": [ "0" ], + "Q": [ 3523 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3140 ], + "LSR": [ "0" ], + "Q": [ 3526 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3139 ], + "LSR": [ "0" ], + "Q": [ 3529 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3010 ], + "LSR": [ "0" ], + "Q": [ 3532 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3009 ], + "LSR": [ "0" ], + "Q": [ 3535 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3017 ], + "LSR": [ "0" ], + "Q": [ 3538 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3016 ], + "LSR": [ "0" ], + "Q": [ 3541 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3032 ], + "LSR": [ "0" ], + "Q": [ 3544 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3031 ], + "LSR": [ "0" ], + "Q": [ 3547 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3116 ], + "LSR": [ "0" ], + "Q": [ 3520 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3039 ], + "LSR": [ "0" ], + "Q": [ 3550 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3038 ], + "LSR": [ "0" ], + "Q": [ 3556 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3046 ], + "LSR": [ "0" ], + "Q": [ 3559 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3045 ], + "LSR": [ "0" ], + "Q": [ 3562 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3053 ], + "LSR": [ "0" ], + "Q": [ 3565 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3052 ], + "LSR": [ "0" ], + "Q": [ 3568 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3060 ], + "LSR": [ "0" ], + "Q": [ 3571 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3059 ], + "LSR": [ "0" ], + "Q": [ 3574 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3067 ], + "LSR": [ "0" ], + "Q": [ 3577 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3066 ], + "LSR": [ "0" ], + "Q": [ 3580 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3115 ], + "LSR": [ "0" ], + "Q": [ 3553 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3074 ], + "LSR": [ "0" ], + "Q": [ 3454 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3073 ], + "LSR": [ "0" ], + "Q": [ 3589 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_32": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3087 ], + "LSR": [ "0" ], + "Q": [ 5048 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_33": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3086 ], + "LSR": [ "0" ], + "Q": [ 4876 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_34": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3094 ], + "LSR": [ "0" ], + "Q": [ 4985 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_35": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3093 ], + "LSR": [ "0" ], + "Q": [ 5006 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_36": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3100 ], + "LSR": [ "0" ], + "Q": [ 5012 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_37": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3099 ], + "LSR": [ "0" ], + "Q": [ 5018 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_38": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2996 ], + "LSR": [ "0" ], + "Q": [ 5024 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_39": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2995 ], + "LSR": [ "0" ], + "Q": [ 5030 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3121 ], + "LSR": [ "0" ], + "Q": [ 3586 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_40": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3003 ], + "LSR": [ "0" ], + "Q": [ 5036 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_41": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3002 ], + "LSR": [ "0" ], + "Q": [ 5042 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_42": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3080 ], + "LSR": [ "0" ], + "Q": [ 4800 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_43": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3079 ], + "LSR": [ "0" ], + "Q": [ 4808 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_44": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3025 ], + "LSR": [ "0" ], + "Q": [ 4815 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_45": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3024 ], + "LSR": [ "0" ], + "Q": [ 4822 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_46": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3105 ], + "LSR": [ "0" ], + "Q": [ 4829 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_47": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3104 ], + "LSR": [ "0" ], + "Q": [ 5045 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_48": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6016 ], + "LSR": [ "0" ], + "Q": [ 4840 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_49": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6017 ], + "LSR": [ "0" ], + "Q": [ 4851 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3120 ], + "LSR": [ "0" ], + "Q": [ 3592 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_50": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6018 ], + "LSR": [ "0" ], + "Q": [ 4861 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_51": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6019 ], + "LSR": [ "0" ], + "Q": [ 4870 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_52": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6020 ], + "LSR": [ "0" ], + "Q": [ 4885 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_53": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6021 ], + "LSR": [ "0" ], + "Q": [ 4894 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_54": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6022 ], + "LSR": [ "0" ], + "Q": [ 4903 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_55": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6023 ], + "LSR": [ "0" ], + "Q": [ 4912 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_56": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6024 ], + "LSR": [ "0" ], + "Q": [ 4926 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_57": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6025 ], + "LSR": [ "0" ], + "Q": [ 4939 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_58": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6026 ], + "LSR": [ "0" ], + "Q": [ 4950 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_59": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6027 ], + "LSR": [ "0" ], + "Q": [ 4960 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3126 ], + "LSR": [ "0" ], + "Q": [ 3595 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_60": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6028 ], + "LSR": [ "0" ], + "Q": [ 4970 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_61": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6029 ], + "LSR": [ "0" ], + "Q": [ 4980 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_62": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6030 ], + "LSR": [ "0" ], + "Q": [ 4996 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_63": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6031 ], + "LSR": [ "0" ], + "Q": [ 6347 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3125 ], + "LSR": [ "0" ], + "Q": [ 3598 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3131 ], + "LSR": [ "0" ], + "Q": [ 3601 ] + } + }, + "core_cpu.memory_to_writeBack_MUL_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3130 ], + "LSR": [ "0" ], + "Q": [ 3604 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3730 ], + "LSR": [ 71 ], + "Q": [ 5047 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3733 ], + "LSR": [ 71 ], + "Q": [ 4875 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3736 ], + "LSR": [ 71 ], + "Q": [ 4798 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3747 ], + "LSR": [ 71 ], + "Q": [ 4807 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3756 ], + "LSR": [ 71 ], + "Q": [ 4814 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3765 ], + "LSR": [ 71 ], + "Q": [ 4821 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3774 ], + "LSR": [ 71 ], + "Q": [ 4828 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3783 ], + "LSR": [ 71 ], + "Q": [ 5046 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3792 ], + "LSR": [ 71 ], + "Q": [ 4838 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3799 ], + "LSR": [ 71 ], + "Q": [ 4849 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3802 ], + "LSR": [ 71 ], + "Q": [ 4859 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3809 ], + "LSR": [ 71 ], + "Q": [ 4868 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3822 ], + "LSR": [ 71 ], + "Q": [ 4984 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3825 ], + "LSR": [ 71 ], + "Q": [ 4883 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3832 ], + "LSR": [ 71 ], + "Q": [ 4892 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3839 ], + "LSR": [ 71 ], + "Q": [ 4901 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 2337 ], + "LSR": [ 71 ], + "Q": [ 4910 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3856 ], + "LSR": [ 71 ], + "Q": [ 4921 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3865 ], + "LSR": [ 71 ], + "Q": [ 4936 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3874 ], + "LSR": [ 71 ], + "Q": [ 4947 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3883 ], + "LSR": [ 71 ], + "Q": [ 4957 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3892 ], + "LSR": [ 71 ], + "Q": [ 4967 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3901 ], + "LSR": [ 71 ], + "Q": [ 4977 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3914 ], + "LSR": [ 71 ], + "Q": [ 5005 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3917 ], + "LSR": [ 71 ], + "Q": [ 4993 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3924 ], + "LSR": [ 71 ], + "Q": [ 5003 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3937 ], + "LSR": [ 71 ], + "Q": [ 5011 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3944 ], + "LSR": [ 71 ], + "Q": [ 5017 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3951 ], + "LSR": [ 71 ], + "Q": [ 5023 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3958 ], + "LSR": [ 71 ], + "Q": [ 5029 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3965 ], + "LSR": [ 71 ], + "Q": [ 5035 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 3972 ], + "LSR": [ 71 ], + "Q": [ 5041 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_VALID_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2499 ], + "B": [ 2280 ], + "C": [ 2726 ], + "D": [ 1442 ], + "Z": [ 6351 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_VALID_LUT4_C_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2523 ], + "B": [ 2533 ], + "C": [ 6351 ], + "D": [ 6352 ], + "Z": [ 5120 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_VALID_LUT4_C_Z_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2283 ], + "B": [ 2526 ], + "C": [ 2522 ], + "D": [ 2282 ], + "Z": [ 5121 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_VALID_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000010111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 2281 ], + "B": [ 2525 ], + "C": [ 2526 ], + "D": [ 2283 ], + "Z": [ 6352 ] + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_VALID_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 5102 ], + "LSR": [ "0" ], + "Q": [ 2726 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6032 ], + "LSR": [ "0" ], + "Q": [ 3614 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6033 ], + "LSR": [ "0" ], + "Q": [ 3515 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6034 ], + "LSR": [ "0" ], + "Q": [ 3605 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6035 ], + "LSR": [ "0" ], + "Q": [ 3521 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6036 ], + "LSR": [ "0" ], + "Q": [ 3524 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6037 ], + "LSR": [ "0" ], + "Q": [ 3527 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6038 ], + "LSR": [ "0" ], + "Q": [ 3530 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6039 ], + "LSR": [ "0" ], + "Q": [ 3533 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6040 ], + "LSR": [ "0" ], + "Q": [ 3536 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6041 ], + "LSR": [ "0" ], + "Q": [ 3539 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6042 ], + "LSR": [ "0" ], + "Q": [ 3542 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6043 ], + "LSR": [ "0" ], + "Q": [ 3545 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6044 ], + "LSR": [ "0" ], + "Q": [ 3518 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6045 ], + "LSR": [ "0" ], + "Q": [ 3548 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6046 ], + "LSR": [ "0" ], + "Q": [ 3554 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6047 ], + "LSR": [ "0" ], + "Q": [ 3557 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6048 ], + "LSR": [ "0" ], + "Q": [ 3560 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6049 ], + "LSR": [ "0" ], + "Q": [ 3563 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6050 ], + "LSR": [ "0" ], + "Q": [ 3566 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6051 ], + "LSR": [ "0" ], + "Q": [ 3569 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6052 ], + "LSR": [ "0" ], + "Q": [ 3572 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6053 ], + "LSR": [ "0" ], + "Q": [ 3575 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6054 ], + "LSR": [ "0" ], + "Q": [ 3578 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6055 ], + "LSR": [ "0" ], + "Q": [ 3551 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6056 ], + "LSR": [ "0" ], + "Q": [ 3581 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6057 ], + "LSR": [ "0" ], + "Q": [ 3587 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6058 ], + "LSR": [ "0" ], + "Q": [ 3584 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6059 ], + "LSR": [ "0" ], + "Q": [ 3590 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6060 ], + "LSR": [ "0" ], + "Q": [ 3593 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6061 ], + "LSR": [ "0" ], + "Q": [ 3596 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6062 ], + "LSR": [ "0" ], + "Q": [ 3599 ] + } + }, + "core_cpu.memory_to_writeBack_SRC1_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6063 ], + "LSR": [ "0" ], + "Q": [ 3602 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6064 ], + "LSR": [ "0" ], + "Q": [ 3613 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6065 ], + "LSR": [ "0" ], + "Q": [ 3643 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6066 ], + "LSR": [ "0" ], + "Q": [ 3642 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6067 ], + "LSR": [ "0" ], + "Q": [ 3615 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6068 ], + "LSR": [ "0" ], + "Q": [ 3616 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6069 ], + "LSR": [ "0" ], + "Q": [ 3617 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6070 ], + "LSR": [ "0" ], + "Q": [ 3618 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6071 ], + "LSR": [ "0" ], + "Q": [ 3619 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6072 ], + "LSR": [ "0" ], + "Q": [ 3620 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6073 ], + "LSR": [ "0" ], + "Q": [ 3621 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6074 ], + "LSR": [ "0" ], + "Q": [ 3622 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6075 ], + "LSR": [ "0" ], + "Q": [ 3623 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6076 ], + "LSR": [ "0" ], + "Q": [ 3625 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6077 ], + "LSR": [ "0" ], + "Q": [ 3624 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6078 ], + "LSR": [ "0" ], + "Q": [ 3626 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6079 ], + "LSR": [ "0" ], + "Q": [ 3627 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6080 ], + "LSR": [ "0" ], + "Q": [ 3628 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6081 ], + "LSR": [ "0" ], + "Q": [ 3629 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6082 ], + "LSR": [ "0" ], + "Q": [ 3630 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6083 ], + "LSR": [ "0" ], + "Q": [ 3631 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6084 ], + "LSR": [ "0" ], + "Q": [ 3632 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6085 ], + "LSR": [ "0" ], + "Q": [ 3633 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6086 ], + "LSR": [ "0" ], + "Q": [ 3634 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6087 ], + "LSR": [ "0" ], + "Q": [ 3635 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6088 ], + "LSR": [ "0" ], + "Q": [ 3583 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6089 ], + "LSR": [ "0" ], + "Q": [ 3644 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6090 ], + "LSR": [ "0" ], + "Q": [ 3636 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6091 ], + "LSR": [ "0" ], + "Q": [ 3637 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6092 ], + "LSR": [ "0" ], + "Q": [ 3638 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6093 ], + "LSR": [ "0" ], + "Q": [ 3639 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6094 ], + "LSR": [ "0" ], + "Q": [ 3640 ] + } + }, + "core_cpu.memory_to_writeBack_SRC2_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6095 ], + "LSR": [ "0" ], + "Q": [ 3641 ] + } + }, + "core_cpu.timerInterrupt_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6353 ], + "B": [ 6354 ], + "C": [ 6355 ], + "D": [ 6356 ], + "Z": [ 1448 ] + } + }, + "core_cpu.writeBack_arbitration_isValid_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6357 ], + "LSR": [ 71 ], + "Q": [ 1442 ] + } + }, + "core_cpu.writeBack_arbitration_isValid_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1552 ], + "C": [ 1901 ], + "D": [ 1881 ], + "Z": [ 6357 ] + } + }, + "core_cpu_debug_resetOut_regNext_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8212.3-8214.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 1889 ], + "LSR": [ "0" ], + "Q": [ 6358 ] + } + }, + "core_dbus_decoder.logic_hits_0_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 950 ], + "B": [ 944 ], + "C": [ 6359 ], + "D": [ 6360 ], + "Z": [ 264 ] + } + }, + "core_dbus_decoder.logic_hits_0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6361 ], + "C": [ 6362 ], + "D": [ 6363 ], + "Z": [ 950 ] + } + }, + "core_dbus_decoder.logic_hits_1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6364 ], + "B": [ 6361 ], + "C": [ 6362 ], + "D": [ 6365 ], + "Z": [ 6360 ] + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6366 ], + "C": [ 66 ], + "D": [ 6359 ], + "Z": [ 265 ] + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6367 ], + "C": [ 68 ], + "D": [ 6360 ], + "Z": [ 263 ] + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_D_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6368 ], + "BLUT": [ 6369 ], + "C0": [ 954 ], + "Z": [ 266 ] + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_D_Z_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000001110101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 261 ], + "B": [ 955 ], + "C": [ 956 ], + "D": [ 953 ], + "Z": [ 6368 ] + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_D_Z_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111010111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 261 ], + "B": [ 955 ], + "C": [ 956 ], + "D": [ 953 ], + "Z": [ 6369 ] + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 942 ], + "B": [ 6364 ], + "C": [ 6361 ], + "D": [ 6362 ], + "Z": [ 6359 ] + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 445 ], + "B": [ 423 ], + "C": [ 401 ], + "D": [ 399 ], + "Z": [ 6363 ] + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_Z_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 411 ], + "B": [ 409 ], + "C": [ 407 ], + "D": [ 413 ], + "Z": [ 942 ] + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 411 ], + "B": [ 413 ], + "C": [ 409 ], + "D": [ 407 ], + "Z": [ 6365 ] + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 457 ], + "B": [ 455 ], + "C": [ 453 ], + "D": [ 451 ], + "Z": [ 6362 ] + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_Z_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 405 ], + "B": [ 403 ], + "C": [ 461 ], + "D": [ 459 ], + "Z": [ 6361 ] + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_Z_B_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 445 ], + "B": [ 423 ], + "C": [ 401 ], + "D": [ 399 ], + "Z": [ 6364 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6370 ], + "D1": [ 6371 ], + "SD": [ 6372 ], + "Z": [ 6373 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_1": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6374 ], + "D1": [ 6375 ], + "SD": [ 6372 ], + "Z": [ 6376 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_1_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6377 ], + "BLUT": [ 6378 ], + "C0": [ 6363 ], + "Z": [ 6374 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_1_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6361 ], + "D": [ 6362 ], + "Z": [ 6377 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_1_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 942 ], + "B": [ 6364 ], + "C": [ 6361 ], + "D": [ 6362 ], + "Z": [ 6378 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_1_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6379 ], + "BLUT": [ 6380 ], + "C0": [ 6363 ], + "Z": [ 6375 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_1_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 942 ], + "B": [ 6364 ], + "C": [ 6361 ], + "D": [ 6362 ], + "Z": [ 6379 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_1_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6380 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6381 ], + "BLUT": [ 6382 ], + "C0": [ 6363 ], + "Z": [ 6370 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6381 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 942 ], + "B": [ 6364 ], + "C": [ 6361 ], + "D": [ 6362 ], + "Z": [ 6382 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6383 ], + "BLUT": [ 6384 ], + "C0": [ 6363 ], + "Z": [ 6371 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 942 ], + "B": [ 6364 ], + "C": [ 6361 ], + "D": [ 6362 ], + "Z": [ 6383 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6384 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_Z_L6MUX21_D1": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6376 ], + "D1": [ 6373 ], + "SD": [ 5226 ], + "Z": [ 956 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_Z_L6MUX21_D1_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6385 ], + "BLUT": [ 6386 ], + "C0": [ 5235 ], + "Z": [ 955 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_Z_L6MUX21_D1_Z_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6364 ], + "B": [ 6361 ], + "C": [ 6362 ], + "D": [ 6365 ], + "Z": [ 6385 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_Z_L6MUX21_D1_Z_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6364 ], + "B": [ 6361 ], + "C": [ 6362 ], + "D": [ 6365 ], + "Z": [ 6386 ] + } + }, + "core_dbus_decoder.logic_rspHits_0_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7192.3-7198.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6387 ], + "CLK": [ 3 ], + "DI": [ 950 ], + "LSR": [ "0" ], + "Q": [ 6372 ] + } + }, + "core_dbus_decoder.logic_rspHits_1_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7192.3-7198.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6387 ], + "CLK": [ 3 ], + "DI": [ 6360 ], + "LSR": [ "0" ], + "Q": [ 5235 ] + } + }, + "core_dbus_decoder.logic_rspHits_1_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 263 ], + "B": [ 264 ], + "C": [ 265 ], + "D": [ 6388 ], + "Z": [ 6387 ] + } + }, + "core_dbus_decoder.logic_rspHits_2_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7192.3-7198.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6387 ], + "CLK": [ 3 ], + "DI": [ 6359 ], + "LSR": [ "0" ], + "Q": [ 5226 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7184.3-7190.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6389 ], + "LSR": [ 71 ], + "Q": [ 954 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7184.3-7190.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6390 ], + "LSR": [ 71 ], + "Q": [ 953 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6391 ], + "D1": [ 6392 ], + "SD": [ 6393 ], + "Z": [ 6390 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6394 ], + "BLUT": [ 6395 ], + "C0": [ 6388 ], + "Z": [ 6391 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 263 ], + "B": [ 265 ], + "C": [ 264 ], + "D": [ 397 ], + "Z": [ 6394 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6395 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6396 ], + "BLUT": [ 6397 ], + "C0": [ 6388 ], + "Z": [ 6392 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 263 ], + "B": [ 265 ], + "C": [ 264 ], + "D": [ 397 ], + "Z": [ 6396 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6397 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_SD_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 5604 ], + "D": [ 953 ], + "Z": [ 6393 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6398 ], + "D1": [ 6399 ], + "SD": [ 6400 ], + "Z": [ 6389 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6401 ], + "D1": [ 6402 ], + "SD": [ 6388 ], + "Z": [ 6398 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6403 ], + "BLUT": [ 6404 ], + "C0": [ 397 ], + "Z": [ 6401 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6403 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6404 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6405 ], + "BLUT": [ 6406 ], + "C0": [ 397 ], + "Z": [ 6402 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6405 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 263 ], + "B": [ 265 ], + "C": [ 264 ], + "D": [ 6393 ], + "Z": [ 6406 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6407 ], + "D1": [ 6408 ], + "SD": [ 6388 ], + "Z": [ 6399 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6409 ], + "BLUT": [ 6410 ], + "C0": [ 397 ], + "Z": [ 6407 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6409 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6410 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6411 ], + "BLUT": [ 6412 ], + "C0": [ 397 ], + "Z": [ 6408 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6411 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 263 ], + "B": [ 265 ], + "C": [ 264 ], + "D": [ 6393 ], + "Z": [ 6412 ] + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_SD_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001111111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 5604 ], + "C": [ 953 ], + "D": [ 954 ], + "Z": [ 6400 ] + } + }, + "core_ibus_decoder._zz_5__L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155.21-155.62" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6413 ], + "D1": [ 6414 ], + "SD": [ 24 ], + "Z": [ 6415 ] + } + }, + "core_ibus_decoder._zz_5__L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:153.19-153.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6416 ], + "BLUT": [ 6417 ], + "C0": [ 19 ], + "Z": [ 6413 ] + } + }, + "core_ibus_decoder._zz_5__L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145.39-146.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6416 ] + } + }, + "core_ibus_decoder._zz_5__L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:143.39-144.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6417 ] + } + }, + "core_ibus_decoder._zz_5__L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:154.19-154.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6418 ], + "BLUT": [ 6419 ], + "C0": [ 19 ], + "Z": [ 6414 ] + } + }, + "core_ibus_decoder._zz_5__L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150.39-151.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 65 ], + "B": [ 66 ], + "C": [ 68 ], + "D": [ 67 ], + "Z": [ 6418 ] + } + }, + "core_ibus_decoder._zz_5__L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:148.39-149.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6419 ] + } + }, + "core_ibus_decoder.logic_hits_0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 41 ], + "D": [ 19 ], + "Z": [ 6420 ] + } + }, + "core_ibus_decoder.logic_hits_1_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 24 ], + "D": [ 6421 ], + "Z": [ 6422 ] + } + }, + "core_ibus_decoder.logic_hits_1_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6388 ], + "D": [ 6359 ], + "Z": [ 6423 ] + } + }, + "core_ibus_decoder.logic_hits_1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 19 ], + "D": [ 41 ], + "Z": [ 6421 ] + } + }, + "core_ibus_decoder.logic_rspHits_0_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7314.3-7319.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6415 ], + "CLK": [ 3 ], + "DI": [ 6420 ], + "LSR": [ "0" ], + "Q": [ 29 ] + } + }, + "core_ibus_decoder.logic_rspHits_1_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7314.3-7319.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6415 ], + "CLK": [ 3 ], + "DI": [ 6421 ], + "LSR": [ "0" ], + "Q": [ 38 ] + } + }, + "core_ibus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7306.3-7312.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6424 ], + "LSR": [ 71 ], + "Q": [ 37 ] + } + }, + "core_ibus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7306.3-7312.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6425 ], + "LSR": [ 71 ], + "Q": [ 36 ] + } + }, + "core_ibus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011110011000011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6415 ], + "C": [ 2112 ], + "D": [ 36 ], + "Z": [ 6425 ] + } + }, + "core_ibus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111100011100001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6415 ], + "B": [ 2112 ], + "C": [ 37 ], + "D": [ 36 ], + "Z": [ 6424 ] + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6426 ], + "BLUT": [ 6427 ], + "C0": [ 6428 ], + "Z": [ 6429 ] + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6430 ], + "BLUT": [ 6431 ], + "C0": [ 6432 ], + "Z": [ 6433 ] + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100111101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6428 ], + "B": [ 6 ], + "C": [ 6434 ], + "D": [ 6435 ], + "Z": [ 6430 ] + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000010100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6428 ], + "B": [ 6 ], + "C": [ 6434 ], + "D": [ 6435 ], + "Z": [ 6431 ] + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_2": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6436 ], + "BLUT": [ 6437 ], + "C0": [ 6428 ], + "Z": [ 6438 ] + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_2_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100010000011100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6434 ], + "B": [ 6435 ], + "C": [ 6432 ], + "D": [ 6 ], + "Z": [ 6436 ] + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_2_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110000010101010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6434 ], + "B": [ 6435 ], + "C": [ 6432 ], + "D": [ 6 ], + "Z": [ 6437 ] + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_3": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6439 ], + "BLUT": [ 6440 ], + "C0": [ 6432 ], + "Z": [ 6441 ] + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_3_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6434 ], + "B": [ 6428 ], + "C": [ 6 ], + "D": [ 6435 ], + "Z": [ 6439 ] + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_3_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001101111000111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6434 ], + "B": [ 6428 ], + "C": [ 6 ], + "D": [ 6435 ], + "Z": [ 6440 ] + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110101101110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6432 ], + "B": [ 6434 ], + "C": [ 6435 ], + "D": [ 6 ], + "Z": [ 6426 ] + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6432 ], + "B": [ 6434 ], + "C": [ 6435 ], + "D": [ 6 ], + "Z": [ 6427 ] + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_data_fragment_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:766.3-772.6|PQVexRiscvUlx3s.v:5575.18-5585.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6442 ], + "CLK": [ 9 ], + "DI": [ 7 ], + "LSR": [ "0" ], + "Q": [ 6443 ] + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_data_last_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:766.3-772.6|PQVexRiscvUlx3s.v:5575.18-5585.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6442 ], + "CLK": [ 9 ], + "DI": [ 6 ], + "LSR": [ "0" ], + "Q": [ 6444 ] + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_target_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:115.33-116.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 6445 ], + "Z": [ 6446 ] + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_target_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:766.3-772.6|PQVexRiscvUlx3s.v:5575.18-5585.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6442 ], + "CLK": [ 9 ], + "DI": [ 6446 ], + "LSR": [ "0" ], + "Q": [ 6445 ] + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_target_buffercc.buffers_0_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:753.15-758.4|PQVexRiscvUlx3s.v:120.3-123.6|PQVexRiscvUlx3s.v:5575.18-5585.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6445 ], + "LSR": [ "0" ], + "Q": [ 6447 ] + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_target_buffercc.buffers_1_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:753.15-758.4|PQVexRiscvUlx3s.v:120.3-123.6|PQVexRiscvUlx3s.v:5575.18-5585.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6447 ], + "LSR": [ "0" ], + "Q": [ 6448 ] + } + }, + "jtagBridge_1_.flowCCByToggle_1_.io_input_valid_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6449 ], + "B": [ 6450 ], + "C": [ 6451 ], + "D": [ 6452 ], + "Z": [ 6442 ] + } + }, + "jtagBridge_1_.flowCCByToggle_1_.outputArea_flow_regNext_payload_fragment_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:774.3-778.6|PQVexRiscvUlx3s.v:5575.18-5585.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6443 ], + "LSR": [ "0" ], + "Q": [ 6453 ] + } + }, + "jtagBridge_1_.flowCCByToggle_1_.outputArea_flow_regNext_payload_last_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:774.3-778.6|PQVexRiscvUlx3s.v:5575.18-5585.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6444 ], + "LSR": [ "0" ], + "Q": [ 6454 ] + } + }, + "jtagBridge_1_.flowCCByToggle_1_.outputArea_flow_regNext_valid_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:780.3-786.6|PQVexRiscvUlx3s.v:5575.18-5585.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6455 ], + "LSR": [ 525 ], + "Q": [ 6456 ] + } + }, + "jtagBridge_1_.flowCCByToggle_1_.outputArea_flow_valid_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6448 ], + "D": [ 6457 ], + "Z": [ 6455 ] + } + }, + "jtagBridge_1_.flowCCByToggle_1_.outputArea_hit_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:774.3-778.6|PQVexRiscvUlx3s.v:5575.18-5585.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6448 ], + "LSR": [ "0" ], + "Q": [ 6457 ] + } + }, + "jtagBridge_1_.io_remote_rsp_payload_data_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1001 ], + "C": [ 1638 ], + "D": [ 2720 ], + "Z": [ 6458 ] + } + }, + "jtagBridge_1_.io_remote_rsp_payload_data_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1650 ], + "C": [ 1880 ], + "D": [ 2720 ], + "Z": [ 6459 ] + } + }, + "jtagBridge_1_.io_remote_rsp_payload_data_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1000 ], + "C": [ 1556 ], + "D": [ 2720 ], + "Z": [ 6460 ] + } + }, + "jtagBridge_1_.io_remote_rsp_payload_data_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1558 ], + "C": [ 1888 ], + "D": [ 2720 ], + "Z": [ 6461 ] + } + }, + "jtagBridge_1_.io_remote_rsp_payload_data_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1686 ], + "C": [ 1646 ], + "D": [ 2720 ], + "Z": [ 6462 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 7 ], + "LSR": [ 6464 ], + "Q": [ 6465 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6465 ], + "LSR": [ 6464 ], + "Q": [ 6466 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6467 ], + "LSR": [ 6464 ], + "Q": [ 6468 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6468 ], + "LSR": [ 6464 ], + "Q": [ 6469 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6469 ], + "LSR": [ 6464 ], + "Q": [ 6470 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6470 ], + "LSR": [ 6464 ], + "Q": [ 6471 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6471 ], + "LSR": [ 6464 ], + "Q": [ 6472 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6472 ], + "LSR": [ 6464 ], + "Q": [ 6473 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6473 ], + "LSR": [ 6464 ], + "Q": [ 6474 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6474 ], + "LSR": [ 6464 ], + "Q": [ 6475 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6475 ], + "LSR": [ 6464 ], + "Q": [ 6476 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6476 ], + "LSR": [ 6464 ], + "Q": [ 6477 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6466 ], + "LSR": [ 6464 ], + "Q": [ 6478 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6477 ], + "LSR": [ 6464 ], + "Q": [ 6479 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6479 ], + "LSR": [ 6464 ], + "Q": [ 6480 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6480 ], + "LSR": [ 6464 ], + "Q": [ 6481 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6481 ], + "LSR": [ 6464 ], + "Q": [ 6482 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6482 ], + "LSR": [ 6464 ], + "Q": [ 6483 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6483 ], + "LSR": [ 6464 ], + "Q": [ 6484 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6484 ], + "LSR": [ 6464 ], + "Q": [ 6485 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6485 ], + "LSR": [ 6464 ], + "Q": [ 6486 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6486 ], + "LSR": [ 6464 ], + "Q": [ 6487 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6487 ], + "LSR": [ 6464 ], + "Q": [ 6488 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6478 ], + "LSR": [ 6464 ], + "Q": [ 6489 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6488 ], + "LSR": [ 6464 ], + "Q": [ 6490 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6490 ], + "LSR": [ 6464 ], + "Q": [ 6491 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6489 ], + "LSR": [ 6464 ], + "Q": [ 6492 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6492 ], + "LSR": [ 6464 ], + "Q": [ 6493 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6493 ], + "LSR": [ 6464 ], + "Q": [ 6494 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6494 ], + "LSR": [ 6464 ], + "Q": [ 6495 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6495 ], + "LSR": [ 6464 ], + "Q": [ 6496 ] + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6463 ], + "CLK": [ 9 ], + "DI": [ 6496 ], + "LSR": [ 6464 ], + "Q": [ 6467 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6498 ], + "LSR": [ 6499 ], + "Q": [ 6500 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6501 ], + "LSR": [ "0" ], + "Q": [ 6502 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6503 ], + "LSR": [ "0" ], + "Q": [ 6504 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_10_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6505 ], + "C": [ 6506 ], + "D": [ 6450 ], + "Z": [ 6503 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6507 ], + "LSR": [ "0" ], + "Q": [ 6508 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_11_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6504 ], + "C": [ 6509 ], + "D": [ 6450 ], + "Z": [ 6507 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6510 ], + "LSR": [ "0" ], + "Q": [ 6511 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_12_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6508 ], + "C": [ 6512 ], + "D": [ 6450 ], + "Z": [ 6510 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6513 ], + "LSR": [ "0" ], + "Q": [ 6514 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_13_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6511 ], + "C": [ 6515 ], + "D": [ 6450 ], + "Z": [ 6513 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6516 ], + "LSR": [ "0" ], + "Q": [ 6517 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_14_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6514 ], + "C": [ 6518 ], + "D": [ 6450 ], + "Z": [ 6516 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6519 ], + "LSR": [ "0" ], + "Q": [ 6520 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_15_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6517 ], + "C": [ 6521 ], + "D": [ 6450 ], + "Z": [ 6519 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6522 ], + "LSR": [ "0" ], + "Q": [ 6523 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_16_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6520 ], + "C": [ 6524 ], + "D": [ 6450 ], + "Z": [ 6522 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6525 ], + "LSR": [ "0" ], + "Q": [ 6526 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_17_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6523 ], + "C": [ 6527 ], + "D": [ 6450 ], + "Z": [ 6525 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6528 ], + "LSR": [ "0" ], + "Q": [ 6529 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_18_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6526 ], + "C": [ 6530 ], + "D": [ 6450 ], + "Z": [ 6528 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6531 ], + "LSR": [ "0" ], + "Q": [ 6532 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_19_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6529 ], + "C": [ 6533 ], + "D": [ 6450 ], + "Z": [ 6531 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 7 ], + "C": [ 6534 ], + "D": [ 6450 ], + "Z": [ 6501 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6535 ], + "LSR": [ "0" ], + "Q": [ 6536 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6537 ], + "LSR": [ "0" ], + "Q": [ 6538 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_20_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6532 ], + "C": [ 6539 ], + "D": [ 6450 ], + "Z": [ 6537 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6540 ], + "LSR": [ "0" ], + "Q": [ 6541 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_21_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6538 ], + "C": [ 6542 ], + "D": [ 6450 ], + "Z": [ 6540 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6543 ], + "LSR": [ "0" ], + "Q": [ 6544 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_22_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6541 ], + "C": [ 6545 ], + "D": [ 6450 ], + "Z": [ 6543 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6546 ], + "LSR": [ "0" ], + "Q": [ 6547 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_23_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6544 ], + "C": [ 6548 ], + "D": [ 6450 ], + "Z": [ 6546 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6549 ], + "LSR": [ "0" ], + "Q": [ 6550 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_24_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6547 ], + "C": [ 6551 ], + "D": [ 6450 ], + "Z": [ 6549 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6552 ], + "LSR": [ "0" ], + "Q": [ 6553 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_25_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6550 ], + "C": [ 6554 ], + "D": [ 6450 ], + "Z": [ 6552 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6555 ], + "LSR": [ "0" ], + "Q": [ 6556 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_26_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6553 ], + "C": [ 6557 ], + "D": [ 6450 ], + "Z": [ 6555 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6558 ], + "LSR": [ "0" ], + "Q": [ 6559 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_27_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6556 ], + "C": [ 6560 ], + "D": [ 6450 ], + "Z": [ 6558 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6561 ], + "LSR": [ "0" ], + "Q": [ 6562 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_28_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6559 ], + "C": [ 6563 ], + "D": [ 6450 ], + "Z": [ 6561 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6564 ], + "LSR": [ "0" ], + "Q": [ 6565 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_29_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6562 ], + "C": [ 6566 ], + "D": [ 6450 ], + "Z": [ 6564 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_2_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6502 ], + "C": [ 6567 ], + "D": [ 6450 ], + "Z": [ 6535 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6568 ], + "LSR": [ "0" ], + "Q": [ 6569 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6570 ], + "LSR": [ "0" ], + "Q": [ 6571 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_30_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6565 ], + "C": [ 6572 ], + "D": [ 6450 ], + "Z": [ 6570 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6573 ], + "LSR": [ "0" ], + "Q": [ 6574 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_31_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6571 ], + "C": [ 6575 ], + "D": [ 6450 ], + "Z": [ 6573 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_32": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6576 ], + "LSR": [ "0" ], + "Q": [ 6498 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_32_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6574 ], + "C": [ 6577 ], + "D": [ 6450 ], + "Z": [ 6576 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_33": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6578 ], + "LSR": [ "0" ], + "Q": [ 6579 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_3_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6536 ], + "C": [ 6580 ], + "D": [ 6450 ], + "Z": [ 6568 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6581 ], + "LSR": [ "0" ], + "Q": [ 6582 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_4_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6569 ], + "C": [ 6583 ], + "D": [ 6450 ], + "Z": [ 6581 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6584 ], + "LSR": [ "0" ], + "Q": [ 6585 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_5_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6582 ], + "C": [ 6586 ], + "D": [ 6450 ], + "Z": [ 6584 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6587 ], + "LSR": [ "0" ], + "Q": [ 6588 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_6_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6585 ], + "C": [ 6589 ], + "D": [ 6450 ], + "Z": [ 6587 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6590 ], + "LSR": [ "0" ], + "Q": [ 6591 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_7_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6588 ], + "C": [ 6592 ], + "D": [ 6450 ], + "Z": [ 6590 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6593 ], + "LSR": [ "0" ], + "Q": [ 6594 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_8_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6591 ], + "C": [ 6595 ], + "D": [ 6450 ], + "Z": [ 6593 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6497 ], + "CLK": [ 9 ], + "DI": [ 6596 ], + "LSR": [ "0" ], + "Q": [ 6505 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_9_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6594 ], + "C": [ 6597 ], + "D": [ 6450 ], + "Z": [ 6596 ] + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_LSR_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6428 ], + "D": [ 6497 ], + "Z": [ 6499 ] + } + }, + "jtagBridge_1_.jtag_tap_bypass_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6598 ], + "C": [ 6599 ], + "D": [ 6600 ], + "Z": [ 6601 ] + } + }, + "jtagBridge_1_.jtag_tap_bypass_LUT4_C_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6435 ], + "B": [ 6434 ], + "C": [ 6428 ], + "D": [ 6432 ], + "Z": [ 6600 ] + } + }, + "jtagBridge_1_.jtag_tap_bypass_LUT4_C_Z_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6432 ], + "B": [ 6435 ], + "C": [ 6434 ], + "D": [ 6602 ], + "Z": [ 6497 ] + } + }, + "jtagBridge_1_.jtag_tap_bypass_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100010100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6601 ], + "B": [ 6579 ], + "C": [ 6450 ], + "D": [ 6602 ], + "Z": [ 6603 ] + } + }, + "jtagBridge_1_.jtag_tap_bypass_LUT4_C_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6452 ], + "B": [ 6450 ], + "C": [ 6449 ], + "D": [ 6451 ], + "Z": [ 6463 ] + } + }, + "jtagBridge_1_.jtag_tap_bypass_LUT4_C_Z_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6451 ], + "C": [ 6449 ], + "D": [ 6452 ], + "Z": [ 6602 ] + } + }, + "jtagBridge_1_.jtag_tap_bypass_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 9 ], + "DI": [ 7 ], + "LSR": [ "0" ], + "Q": [ 6599 ] + } + }, + "jtagBridge_1_.jtag_tap_fsm_state_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6435 ], + "B": [ 6434 ], + "C": [ 6428 ], + "D": [ 6432 ], + "Z": [ 6464 ] + } + }, + "jtagBridge_1_.jtag_tap_fsm_state_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 9 ], + "DI": [ 6429 ], + "LSR": [ "0" ], + "Q": [ 6435 ] + } + }, + "jtagBridge_1_.jtag_tap_fsm_state_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 9 ], + "DI": [ 6433 ], + "LSR": [ "0" ], + "Q": [ 6432 ] + } + }, + "jtagBridge_1_.jtag_tap_fsm_state_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 9 ], + "DI": [ 6438 ], + "LSR": [ "0" ], + "Q": [ 6434 ] + } + }, + "jtagBridge_1_.jtag_tap_fsm_state_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 9 ], + "DI": [ 6441 ], + "LSR": [ "0" ], + "Q": [ 6428 ] + } + }, + "jtagBridge_1_.jtag_tap_instructionShift_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6604 ], + "CLK": [ 9 ], + "DI": [ 6605 ], + "LSR": [ "0" ], + "Q": [ 6606 ] + } + }, + "jtagBridge_1_.jtag_tap_instructionShift_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6604 ], + "CLK": [ 9 ], + "DI": [ 6607 ], + "LSR": [ "0" ], + "Q": [ 6608 ] + } + }, + "jtagBridge_1_.jtag_tap_instructionShift_TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6606 ], + "C": [ 6609 ], + "D": [ 6600 ], + "Z": [ 6607 ] + } + }, + "jtagBridge_1_.jtag_tap_instructionShift_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6604 ], + "CLK": [ 9 ], + "DI": [ 6610 ], + "LSR": [ "0" ], + "Q": [ 6611 ] + } + }, + "jtagBridge_1_.jtag_tap_instructionShift_TRELLIS_FF_Q_2_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6608 ], + "C": [ 6452 ], + "D": [ 6600 ], + "Z": [ 6610 ] + } + }, + "jtagBridge_1_.jtag_tap_instructionShift_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6604 ], + "CLK": [ 9 ], + "DI": [ 6612 ], + "LSR": [ "0" ], + "Q": [ 6598 ] + } + }, + "jtagBridge_1_.jtag_tap_instructionShift_TRELLIS_FF_Q_3_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6611 ], + "C": [ 6449 ], + "D": [ 6600 ], + "Z": [ 6612 ] + } + }, + "jtagBridge_1_.jtag_tap_instructionShift_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000101000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6435 ], + "B": [ 6434 ], + "C": [ 6428 ], + "D": [ 6432 ], + "Z": [ 6604 ] + } + }, + "jtagBridge_1_.jtag_tap_instructionShift_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 7 ], + "C": [ 6613 ], + "D": [ 6600 ], + "Z": [ 6605 ] + } + }, + "jtagBridge_1_.jtag_tap_instruction_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6609 ], + "D": [ 6613 ], + "Z": [ 6451 ] + } + }, + "jtagBridge_1_.jtag_tap_instruction_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6432 ], + "B": [ 6434 ], + "C": [ 6428 ], + "D": [ 6435 ], + "Z": [ 6450 ] + } + }, + "jtagBridge_1_.jtag_tap_instruction_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:88.171-88.230" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6614 ], + "CLK": [ 9 ], + "DI": [ 6606 ], + "LSR": [ 6464 ], + "Q": [ 6613 ] + } + }, + "jtagBridge_1_.jtag_tap_instruction_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:88.171-88.230" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6614 ], + "CLK": [ 9 ], + "DI": [ 6608 ], + "LSR": [ 6464 ], + "Q": [ 6609 ] + } + }, + "jtagBridge_1_.jtag_tap_instruction_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:88.171-88.230" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6614 ], + "CLK": [ 9 ], + "DI": [ 6611 ], + "LSR": [ 6464 ], + "Q": [ 6452 ] + } + }, + "jtagBridge_1_.jtag_tap_instruction_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "INV", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:89.169-89.228" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6614 ], + "CLK": [ 9 ], + "DI": [ 6598 ], + "LSR": [ 6464 ], + "Q": [ 6449 ] + } + }, + "jtagBridge_1_.jtag_tap_instruction_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6434 ], + "B": [ 6428 ], + "C": [ 6432 ], + "D": [ 6435 ], + "Z": [ 6614 ] + } + }, + "jtagBridge_1_.jtag_tap_tdoUnbufferd_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6615 ], + "BLUT": [ 6616 ], + "C0": [ 6463 ], + "Z": [ 6617 ] + } + }, + "jtagBridge_1_.jtag_tap_tdoUnbufferd_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6491 ], + "C": [ 6603 ], + "D": [ 6602 ], + "Z": [ 6615 ] + } + }, + "jtagBridge_1_.jtag_tap_tdoUnbufferd_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6601 ], + "C": [ 6603 ], + "D": [ 6602 ], + "Z": [ 6616 ] + } + }, + "jtagBridge_1_.jtag_tap_tdoUnbufferd_regNext_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "INV", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5799.3-5801.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:6.100-6.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 9 ], + "DI": [ 6617 ], + "LSR": [ "0" ], + "Q": [ 8 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1600 ], + "LSR": [ "0" ], + "Q": [ 6534 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1644 ], + "LSR": [ "0" ], + "Q": [ 6567 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1568 ], + "LSR": [ "0" ], + "Q": [ 6509 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1572 ], + "LSR": [ "0" ], + "Q": [ 6512 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1576 ], + "LSR": [ "0" ], + "Q": [ 6515 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1580 ], + "LSR": [ "0" ], + "Q": [ 6518 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1584 ], + "LSR": [ "0" ], + "Q": [ 6521 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1588 ], + "LSR": [ "0" ], + "Q": [ 6524 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1592 ], + "LSR": [ "0" ], + "Q": [ 6527 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1596 ], + "LSR": [ "0" ], + "Q": [ 6530 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1602 ], + "LSR": [ "0" ], + "Q": [ 6533 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1606 ], + "LSR": [ "0" ], + "Q": [ 6539 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1656 ], + "LSR": [ "0" ], + "Q": [ 6580 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1610 ], + "LSR": [ "0" ], + "Q": [ 6542 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1614 ], + "LSR": [ "0" ], + "Q": [ 6545 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1618 ], + "LSR": [ "0" ], + "Q": [ 6548 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1622 ], + "LSR": [ "0" ], + "Q": [ 6551 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1626 ], + "LSR": [ "0" ], + "Q": [ 6554 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1630 ], + "LSR": [ "0" ], + "Q": [ 6557 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1634 ], + "LSR": [ "0" ], + "Q": [ 6560 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 6458 ], + "LSR": [ "0" ], + "Q": [ 6563 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 6462 ], + "LSR": [ "0" ], + "Q": [ 6566 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 6459 ], + "LSR": [ "0" ], + "Q": [ 6572 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1660 ], + "LSR": [ "0" ], + "Q": [ 6583 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 6460 ], + "LSR": [ "0" ], + "Q": [ 6575 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 6461 ], + "LSR": [ "0" ], + "Q": [ 6577 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1664 ], + "LSR": [ "0" ], + "Q": [ 6586 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1668 ], + "LSR": [ "0" ], + "Q": [ 6589 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1672 ], + "LSR": [ "0" ], + "Q": [ 6592 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1676 ], + "LSR": [ "0" ], + "Q": [ 6595 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1560 ], + "LSR": [ "0" ], + "Q": [ 6597 ] + } + }, + "jtagBridge_1_.system_rsp_payload_data_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 526 ], + "CLK": [ 3 ], + "DI": [ 1564 ], + "LSR": [ "0" ], + "Q": [ 6506 ] + } + }, + "jtagBridge_1_.system_rsp_valid_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6500 ], + "C": [ 6618 ], + "D": [ 6450 ], + "Z": [ 6578 ] + } + }, + "jtagBridge_1_.system_rsp_valid_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5753.3-5762.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:84.168-84.227" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6456 ], + "CLK": [ 3 ], + "DI": [ "0" ], + "LSR": [ 526 ], + "Q": [ 6618 ] + } + }, + "memory_ramBlocks_0._zz_1__LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6619 ], + "B": [ 6620 ], + "C": [ 6621 ], + "D": [ 6622 ], + "Z": [ 6623 ] + } + }, + "memory_ramBlocks_0._zz_1__LUT4_A_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6619 ], + "B": [ 6624 ], + "C": [ 6621 ], + "D": [ 6625 ], + "Z": [ 6626 ] + } + }, + "memory_ramBlocks_0._zz_1__LUT4_A_Z_PFUMX_BLUT": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6627 ], + "BLUT": [ 6623 ], + "C0": [ 6628 ], + "Z": [ 2112 ] + } + }, + "memory_ramBlocks_0._zz_1__LUT4_A_Z_PFUMX_BLUT_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6627 ] + } + }, + "memory_ramBlocks_0._zz_1__LUT4_A_Z_PFUMX_BLUT_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 36 ], + "B": [ 37 ], + "C": [ 29 ], + "D": [ 38 ], + "Z": [ 6628 ] + } + }, + "memory_ramBlocks_0._zz_1__LUT4_A_Z_PFUMX_BLUT_Z_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 2113 ], + "D": [ 2112 ], + "Z": [ 2251 ] + } + }, + "memory_ramBlocks_0._zz_1__LUT4_A_Z_PFUMX_BLUT_Z_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 1682 ], + "C": [ 1900 ], + "D": [ 5054 ], + "Z": [ 2238 ] + } + }, + "memory_ramBlocks_0._zz_1__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:7042.3-7048.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6629 ], + "LSR": [ 71 ], + "Q": [ 6619 ] + } + }, + "memory_ramBlocks_0._zz_1__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6630 ], + "D": [ 6631 ], + "Z": [ 6629 ] + } + }, + "memory_ramBlocks_0._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6630 ], + "C": [ 6631 ], + "D": [ 523 ], + "Z": [ 6632 ] + } + }, + "memory_ramBlocks_0._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_B_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6630 ], + "C": [ 6631 ], + "D": [ 521 ], + "Z": [ 6633 ] + } + }, + "memory_ramBlocks_0._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_B_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6630 ], + "C": [ 6631 ], + "D": [ 519 ], + "Z": [ 6634 ] + } + }, + "memory_ramBlocks_0._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_B_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6630 ], + "C": [ 6631 ], + "D": [ 517 ], + "Z": [ 6635 ] + } + }, + "memory_ramBlocks_0._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 397 ], + "Z": [ 6630 ] + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_address_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 415 ], + "C": [ 95 ], + "D": [ 6367 ], + "Z": [ 6636 ] + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_address_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 417 ], + "C": [ 99 ], + "D": [ 6367 ], + "Z": [ 6637 ] + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_address_LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 437 ], + "C": [ 138 ], + "D": [ 6367 ], + "Z": [ 6638 ] + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_address_LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 439 ], + "C": [ 142 ], + "D": [ 6367 ], + "Z": [ 6639 ] + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_address_LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 441 ], + "C": [ 146 ], + "D": [ 6367 ], + "Z": [ 6640 ] + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_address_LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 443 ], + "C": [ 150 ], + "D": [ 6367 ], + "Z": [ 6641 ] + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_address_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 419 ], + "C": [ 103 ], + "D": [ 6367 ], + "Z": [ 6642 ] + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_address_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 421 ], + "C": [ 107 ], + "D": [ 6367 ], + "Z": [ 6643 ] + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_address_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 425 ], + "C": [ 114 ], + "D": [ 6367 ], + "Z": [ 6644 ] + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_address_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 427 ], + "C": [ 118 ], + "D": [ 6367 ], + "Z": [ 6645 ] + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_address_LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 429 ], + "C": [ 122 ], + "D": [ 6367 ], + "Z": [ 6646 ] + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_address_LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 431 ], + "C": [ 126 ], + "D": [ 6367 ], + "Z": [ 6647 ] + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_address_LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 433 ], + "C": [ 130 ], + "D": [ 6367 ], + "Z": [ 6648 ] + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_address_LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 435 ], + "C": [ 134 ], + "D": [ 6367 ], + "Z": [ 6649 ] + } + }, + "memory_ramBlocks_0.io_bus_cmd_valid_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6650 ], + "D": [ 6651 ], + "Z": [ 6631 ] + } + }, + "memory_ramBlocks_0.ram_symbol0.0.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6652 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 5330 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6632 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol0.0.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 545 ], + "Z": [ 6652 ] + } + }, + "memory_ramBlocks_0.ram_symbol0.1.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6653 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 5324 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6632 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol0.1.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 547 ], + "Z": [ 6653 ] + } + }, + "memory_ramBlocks_0.ram_symbol0.2.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6654 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2220 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6632 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol0.2.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 501 ], + "Z": [ 6654 ] + } + }, + "memory_ramBlocks_0.ram_symbol0.3.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6655 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2218 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6632 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol0.3.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 499 ], + "Z": [ 6655 ] + } + }, + "memory_ramBlocks_0.ram_symbol0.4.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6656 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2216 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6632 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol0.4.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 497 ], + "Z": [ 6656 ] + } + }, + "memory_ramBlocks_0.ram_symbol0.5.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6657 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2214 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6632 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol0.5.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 495 ], + "Z": [ 6657 ] + } + }, + "memory_ramBlocks_0.ram_symbol0.6.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6658 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2212 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6632 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol0.6.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 493 ], + "Z": [ 6658 ] + } + }, + "memory_ramBlocks_0.ram_symbol0.7.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6659 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2210 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6632 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol0.7.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 491 ], + "Z": [ 6659 ] + } + }, + "memory_ramBlocks_0.ram_symbol1.0.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6660 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2208 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6633 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol1.0.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 489 ], + "Z": [ 6660 ] + } + }, + "memory_ramBlocks_0.ram_symbol1.1.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6661 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2206 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6633 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol1.1.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 549 ], + "Z": [ 6661 ] + } + }, + "memory_ramBlocks_0.ram_symbol1.2.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6662 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2204 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6633 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol1.2.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 551 ], + "Z": [ 6662 ] + } + }, + "memory_ramBlocks_0.ram_symbol1.3.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6663 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2202 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6633 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol1.3.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 553 ], + "Z": [ 6663 ] + } + }, + "memory_ramBlocks_0.ram_symbol1.4.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6664 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2198 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6633 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol1.4.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 485 ], + "Z": [ 6664 ] + } + }, + "memory_ramBlocks_0.ram_symbol1.5.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6665 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2196 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6633 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol1.5.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 483 ], + "Z": [ 6665 ] + } + }, + "memory_ramBlocks_0.ram_symbol1.6.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6666 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2194 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6633 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol1.6.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 481 ], + "Z": [ 6666 ] + } + }, + "memory_ramBlocks_0.ram_symbol1.7.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6667 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2192 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6633 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol1.7.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 479 ], + "Z": [ 6667 ] + } + }, + "memory_ramBlocks_0.ram_symbol2.0.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6668 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2190 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6634 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol2.0.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 477 ], + "Z": [ 6668 ] + } + }, + "memory_ramBlocks_0.ram_symbol2.1.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6669 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2188 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6634 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol2.1.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 475 ], + "Z": [ 6669 ] + } + }, + "memory_ramBlocks_0.ram_symbol2.2.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6670 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2186 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6634 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol2.2.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 473 ], + "Z": [ 6670 ] + } + }, + "memory_ramBlocks_0.ram_symbol2.3.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6671 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2184 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6634 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol2.3.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 471 ], + "Z": [ 6671 ] + } + }, + "memory_ramBlocks_0.ram_symbol2.4.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6672 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2182 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6634 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol2.4.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 469 ], + "Z": [ 6672 ] + } + }, + "memory_ramBlocks_0.ram_symbol2.5.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6673 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2180 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6634 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol2.5.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 467 ], + "Z": [ 6673 ] + } + }, + "memory_ramBlocks_0.ram_symbol2.6.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6674 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2234 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6634 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol2.6.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 515 ], + "Z": [ 6674 ] + } + }, + "memory_ramBlocks_0.ram_symbol2.7.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6675 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2232 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6634 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol2.7.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 513 ], + "Z": [ 6675 ] + } + }, + "memory_ramBlocks_0.ram_symbol3.0.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6676 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2230 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6635 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol3.0.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 511 ], + "Z": [ 6676 ] + } + }, + "memory_ramBlocks_0.ram_symbol3.1.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6677 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2228 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6635 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol3.1.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 509 ], + "Z": [ 6677 ] + } + }, + "memory_ramBlocks_0.ram_symbol3.2.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6678 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2226 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6635 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol3.2.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 507 ], + "Z": [ 6678 ] + } + }, + "memory_ramBlocks_0.ram_symbol3.3.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6679 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2224 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6635 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol3.3.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 505 ], + "Z": [ 6679 ] + } + }, + "memory_ramBlocks_0.ram_symbol3.4.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6680 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2222 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6635 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol3.4.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 503 ], + "Z": [ 6680 ] + } + }, + "memory_ramBlocks_0.ram_symbol3.5.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6681 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2200 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6635 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol3.5.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 487 ], + "Z": [ 6681 ] + } + }, + "memory_ramBlocks_0.ram_symbol3.6.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6682 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2178 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6635 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol3.6.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 465 ], + "Z": [ 6682 ] + } + }, + "memory_ramBlocks_0.ram_symbol3.7.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6641 ], + "ADA1": [ 6640 ], + "ADA10": [ 6643 ], + "ADA11": [ 6642 ], + "ADA12": [ 6637 ], + "ADA13": [ 6636 ], + "ADA2": [ 6639 ], + "ADA3": [ 6638 ], + "ADA4": [ 6649 ], + "ADA5": [ 6648 ], + "ADA6": [ 6647 ], + "ADA7": [ 6646 ], + "ADA8": [ 6645 ], + "ADA9": [ 6644 ], + "ADB0": [ 6641 ], + "ADB1": [ 6640 ], + "ADB10": [ 6643 ], + "ADB11": [ 6642 ], + "ADB12": [ 6637 ], + "ADB13": [ 6636 ], + "ADB2": [ 6639 ], + "ADB3": [ 6638 ], + "ADB4": [ 6649 ], + "ADB5": [ 6648 ], + "ADB6": [ 6647 ], + "ADB7": [ 6646 ], + "ADB8": [ 6645 ], + "ADB9": [ 6644 ], + "CEA": [ "1" ], + "CEB": [ 6631 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6683 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2176 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6635 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_0.ram_symbol3.7.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6367 ], + "D": [ 463 ], + "Z": [ 6683 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6684 ], + "D1": [ 6685 ], + "SD": [ 397 ], + "Z": [ 68 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6686 ], + "D1": [ 6687 ], + "SD": [ 6688 ], + "Z": [ 6684 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6689 ], + "BLUT": [ 6690 ], + "C0": [ 6691 ], + "Z": [ 6686 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 6692 ], + "Z": [ 6689 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6690 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6693 ], + "BLUT": [ 6694 ], + "C0": [ 6691 ], + "Z": [ 6687 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 6692 ], + "Z": [ 6693 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6694 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6695 ], + "D1": [ 6696 ], + "SD": [ 6688 ], + "Z": [ 6685 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6697 ], + "BLUT": [ 6698 ], + "C0": [ 6691 ], + "Z": [ 6695 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6388 ], + "C": [ 6360 ], + "D": [ 6692 ], + "Z": [ 6697 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6698 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6699 ], + "BLUT": [ 6700 ], + "C0": [ 6691 ], + "Z": [ 6696 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6701 ], + "D": [ 6692 ], + "Z": [ 6699 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6700 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6702 ], + "D1": [ 6703 ], + "SD": [ 24 ], + "Z": [ 6650 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6704 ], + "D1": [ 6705 ], + "SD": [ 6420 ], + "Z": [ 6702 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6706 ], + "BLUT": [ 6707 ], + "C0": [ 6688 ], + "Z": [ 6704 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6701 ], + "C": [ 6360 ], + "D": [ 6388 ], + "Z": [ 6706 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6360 ], + "D": [ 6388 ], + "Z": [ 6707 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6708 ], + "BLUT": [ 6709 ], + "C0": [ 6688 ], + "Z": [ 6705 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6701 ], + "C": [ 6360 ], + "D": [ 6388 ], + "Z": [ 6708 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6360 ], + "D": [ 6388 ], + "Z": [ 6709 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6710 ], + "D1": [ 6711 ], + "SD": [ 6420 ], + "Z": [ 6703 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6712 ], + "BLUT": [ 6713 ], + "C0": [ 6688 ], + "Z": [ 6710 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6701 ], + "C": [ 6360 ], + "D": [ 6388 ], + "Z": [ 6712 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6360 ], + "D": [ 6388 ], + "Z": [ 6713 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6714 ], + "BLUT": [ 6715 ], + "C0": [ 6688 ], + "Z": [ 6711 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6715 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.locked_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1202.3-1213.6|PQVexRiscvUlx3s.v:7415.17-7438.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6650 ], + "CLK": [ 3 ], + "DI": [ 6716 ], + "LSR": [ 71 ], + "Q": [ 6688 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.locked_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 68 ], + "D": [ 6650 ], + "Z": [ 6716 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.maskLocked_0_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1215.3-1220.6|PQVexRiscvUlx3s.v:7415.17-7438.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6650 ], + "CLK": [ 3 ], + "DI": [ 6367 ], + "LSR": [ "0" ], + "Q": [ 6701 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.maskLocked_1_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010101000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6717 ], + "B": [ 6388 ], + "C": [ 6360 ], + "D": [ 6688 ], + "Z": [ 6718 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.maskLocked_1_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110110011001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6701 ], + "B": [ 6717 ], + "C": [ 6360 ], + "D": [ 6388 ], + "Z": [ 6714 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.maskLocked_1_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1215.3-1220.6|PQVexRiscvUlx3s.v:7415.17-7438.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6650 ], + "CLK": [ 3 ], + "DI": [ 6719 ], + "LSR": [ "0" ], + "Q": [ 6717 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.maskRouted_0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6388 ], + "B": [ 6360 ], + "C": [ 6701 ], + "D": [ 6688 ], + "Z": [ 6367 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.maskRouted_1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 24 ], + "B": [ 67 ], + "C": [ 6717 ], + "D": [ 6688 ], + "Z": [ 6719 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_._zz_1__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1270.3-1286.6|PQVexRiscvUlx3s.v:7439.14-7460.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:74.161-74.220" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6720 ], + "CLK": [ 3 ], + "DI": [ 68 ], + "LSR": [ 71 ], + "Q": [ 6651 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_._zz_1__TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6631 ], + "D": [ 68 ], + "Z": [ 6720 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_._zz_2__LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6367 ], + "B": [ 397 ], + "C": [ 6692 ], + "D": [ 6691 ], + "Z": [ 6721 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_._zz_2__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1270.3-1286.6|PQVexRiscvUlx3s.v:7439.14-7460.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:74.161-74.220" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 68 ], + "CLK": [ 3 ], + "DI": [ 68 ], + "LSR": [ 71 ], + "Q": [ 6691 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo._zz_1__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6721 ], + "D": [ 6650 ], + "Z": [ 6722 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_valueNext_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6723 ], + "B": [ 6724 ], + "C": [ 6725 ], + "D": [ 6726 ], + "Z": [ 6727 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_valueNext_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011011111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6726 ], + "B": [ 6724 ], + "C": [ 6723 ], + "D": [ 6725 ], + "Z": [ 6728 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_valueNext_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6726 ], + "B": [ 6725 ], + "C": [ 6723 ], + "D": [ 6724 ], + "Z": [ 6729 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_value_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1421.3-1436.6|PQVexRiscvUlx3s.v:7461.27-7472.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6727 ], + "LSR": [ 71 ], + "Q": [ 6726 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_value_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1421.3-1436.6|PQVexRiscvUlx3s.v:7461.27-7472.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6728 ], + "LSR": [ 71 ], + "Q": [ 6725 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_value_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1421.3-1436.6|PQVexRiscvUlx3s.v:7461.27-7472.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6729 ], + "LSR": [ 71 ], + "Q": [ 6723 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6730 ], + "BLUT": [ 6731 ], + "C0": [ 6732 ], + "Z": [ 6733 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6734 ], + "BLUT": [ 6735 ], + "C0": [ 6736 ], + "Z": [ 6737 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6732 ], + "B": [ 6721 ], + "C": [ 6650 ], + "D": [ 6738 ], + "Z": [ 6734 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6721 ], + "C": [ 6650 ], + "D": [ 6738 ], + "Z": [ 6735 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_2": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6739 ], + "BLUT": [ 6740 ], + "C0": [ 6738 ], + "Z": [ 6741 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_2_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6721 ], + "D": [ 6650 ], + "Z": [ 6739 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_2_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6736 ], + "B": [ 6732 ], + "C": [ 6721 ], + "D": [ 6650 ], + "Z": [ 6740 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6721 ], + "C": [ 6650 ], + "D": [ 6736 ], + "Z": [ 6730 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6738 ], + "B": [ 6721 ], + "C": [ 6650 ], + "D": [ 6736 ], + "Z": [ 6731 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_value_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1421.3-1436.6|PQVexRiscvUlx3s.v:7461.27-7472.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6733 ], + "LSR": [ 71 ], + "Q": [ 6732 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_value_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1421.3-1436.6|PQVexRiscvUlx3s.v:7461.27-7472.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6737 ], + "LSR": [ 71 ], + "Q": [ 6736 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_value_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1421.3-1436.6|PQVexRiscvUlx3s.v:7461.27-7472.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6741 ], + "LSR": [ 71 ], + "Q": [ 6738 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.ram.0.0.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 6367, 6719, "0", "0" ], + "DO": [ 6624, 6620, 6742, 6743 ], + "RAD": [ 6723, 6725, 6726, "0" ], + "WAD": [ 6738, 6736, 6732, "0" ], + "WCK": [ 3 ], + "WRE": [ 6722 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6744 ], + "C": [ 6745 ], + "D": [ 6619 ], + "Z": [ 6724 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6744 ], + "D": [ 6745 ], + "Z": [ 6692 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6726 ], + "C": [ 6732 ], + "D": [ 6746 ], + "Z": [ 6744 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_C_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6736 ], + "B": [ 6725 ], + "C": [ 6723 ], + "D": [ 6738 ], + "Z": [ 6746 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6747 ], + "BLUT": [ 6748 ], + "C0": [ 261 ], + "Z": [ 6388 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001011100010001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 953 ], + "B": [ 954 ], + "C": [ 955 ], + "D": [ 956 ], + "Z": [ 6747 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6748 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1421.3-1436.6|PQVexRiscvUlx3s.v:7461.27-7472.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6749 ], + "CLK": [ 3 ], + "DI": [ 6722 ], + "LSR": [ 71 ], + "Q": [ 6745 ] + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6721 ], + "C": [ 6650 ], + "D": [ 6724 ], + "Z": [ 6749 ] + } + }, + "memory_ramBlocks_1._zz_1__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:7042.3-7048.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6750 ], + "LSR": [ 71 ], + "Q": [ 6621 ] + } + }, + "memory_ramBlocks_1._zz_1__TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6751 ], + "C": [ 6752 ], + "D": [ 6753 ], + "Z": [ 6750 ] + } + }, + "memory_ramBlocks_1._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6751 ], + "B": [ 6752 ], + "C": [ 523 ], + "D": [ 6753 ], + "Z": [ 6754 ] + } + }, + "memory_ramBlocks_1._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_A_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6751 ], + "B": [ 6752 ], + "C": [ 521 ], + "D": [ 6753 ], + "Z": [ 6755 ] + } + }, + "memory_ramBlocks_1._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_A_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6751 ], + "B": [ 6752 ], + "C": [ 519 ], + "D": [ 6753 ], + "Z": [ 6756 ] + } + }, + "memory_ramBlocks_1._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_A_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6751 ], + "B": [ 6752 ], + "C": [ 517 ], + "D": [ 6753 ], + "Z": [ 6757 ] + } + }, + "memory_ramBlocks_1._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 397 ], + "Z": [ 6751 ] + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_address_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 415 ], + "C": [ 95 ], + "D": [ 6366 ], + "Z": [ 6758 ] + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_address_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 417 ], + "C": [ 99 ], + "D": [ 6366 ], + "Z": [ 6759 ] + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_address_LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 437 ], + "C": [ 138 ], + "D": [ 6366 ], + "Z": [ 6760 ] + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_address_LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 439 ], + "C": [ 142 ], + "D": [ 6366 ], + "Z": [ 6761 ] + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_address_LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 441 ], + "C": [ 146 ], + "D": [ 6366 ], + "Z": [ 6762 ] + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_address_LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 443 ], + "C": [ 150 ], + "D": [ 6366 ], + "Z": [ 6763 ] + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_address_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 419 ], + "C": [ 103 ], + "D": [ 6366 ], + "Z": [ 6764 ] + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_address_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 421 ], + "C": [ 107 ], + "D": [ 6366 ], + "Z": [ 6765 ] + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_address_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 425 ], + "C": [ 114 ], + "D": [ 6366 ], + "Z": [ 6766 ] + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_address_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 427 ], + "C": [ 118 ], + "D": [ 6366 ], + "Z": [ 6767 ] + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_address_LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 429 ], + "C": [ 122 ], + "D": [ 6366 ], + "Z": [ 6768 ] + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_address_LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 431 ], + "C": [ 126 ], + "D": [ 6366 ], + "Z": [ 6769 ] + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_address_LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 433 ], + "C": [ 130 ], + "D": [ 6366 ], + "Z": [ 6770 ] + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_address_LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100110011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 435 ], + "C": [ 134 ], + "D": [ 6366 ], + "Z": [ 6771 ] + } + }, + "memory_ramBlocks_1.io_bus_cmd_valid_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6752 ], + "D": [ 6753 ], + "Z": [ 6772 ] + } + }, + "memory_ramBlocks_1.ram_symbol0.0.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6773 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 5329 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6754 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol0.0.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 545 ], + "Z": [ 6773 ] + } + }, + "memory_ramBlocks_1.ram_symbol0.1.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6774 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 5323 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6754 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol0.1.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 547 ], + "Z": [ 6774 ] + } + }, + "memory_ramBlocks_1.ram_symbol0.2.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6775 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2219 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6754 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol0.2.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 501 ], + "Z": [ 6775 ] + } + }, + "memory_ramBlocks_1.ram_symbol0.3.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6776 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2217 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6754 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol0.3.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 499 ], + "Z": [ 6776 ] + } + }, + "memory_ramBlocks_1.ram_symbol0.4.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6777 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2215 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6754 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol0.4.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 497 ], + "Z": [ 6777 ] + } + }, + "memory_ramBlocks_1.ram_symbol0.5.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6778 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2213 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6754 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol0.5.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 495 ], + "Z": [ 6778 ] + } + }, + "memory_ramBlocks_1.ram_symbol0.6.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6779 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2211 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6754 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol0.6.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 493 ], + "Z": [ 6779 ] + } + }, + "memory_ramBlocks_1.ram_symbol0.7.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6780 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2209 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6754 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol0.7.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 491 ], + "Z": [ 6780 ] + } + }, + "memory_ramBlocks_1.ram_symbol1.0.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6781 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2207 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6755 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol1.0.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 489 ], + "Z": [ 6781 ] + } + }, + "memory_ramBlocks_1.ram_symbol1.1.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6782 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2205 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6755 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol1.1.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 549 ], + "Z": [ 6782 ] + } + }, + "memory_ramBlocks_1.ram_symbol1.2.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6783 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2203 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6755 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol1.2.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 551 ], + "Z": [ 6783 ] + } + }, + "memory_ramBlocks_1.ram_symbol1.3.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6784 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2201 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6755 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol1.3.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 553 ], + "Z": [ 6784 ] + } + }, + "memory_ramBlocks_1.ram_symbol1.4.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6785 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2197 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6755 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol1.4.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 485 ], + "Z": [ 6785 ] + } + }, + "memory_ramBlocks_1.ram_symbol1.5.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6786 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2195 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6755 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol1.5.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 483 ], + "Z": [ 6786 ] + } + }, + "memory_ramBlocks_1.ram_symbol1.6.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6787 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2193 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6755 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol1.6.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 481 ], + "Z": [ 6787 ] + } + }, + "memory_ramBlocks_1.ram_symbol1.7.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6788 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2191 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6755 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol1.7.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 479 ], + "Z": [ 6788 ] + } + }, + "memory_ramBlocks_1.ram_symbol2.0.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6789 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2189 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6756 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol2.0.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 477 ], + "Z": [ 6789 ] + } + }, + "memory_ramBlocks_1.ram_symbol2.1.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6790 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2187 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6756 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol2.1.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 475 ], + "Z": [ 6790 ] + } + }, + "memory_ramBlocks_1.ram_symbol2.2.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6791 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2185 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6756 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol2.2.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 473 ], + "Z": [ 6791 ] + } + }, + "memory_ramBlocks_1.ram_symbol2.3.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6792 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2183 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6756 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol2.3.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 471 ], + "Z": [ 6792 ] + } + }, + "memory_ramBlocks_1.ram_symbol2.4.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6793 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2181 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6756 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol2.4.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 469 ], + "Z": [ 6793 ] + } + }, + "memory_ramBlocks_1.ram_symbol2.5.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6794 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2179 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6756 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol2.5.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 467 ], + "Z": [ 6794 ] + } + }, + "memory_ramBlocks_1.ram_symbol2.6.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6795 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2233 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6756 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol2.6.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 515 ], + "Z": [ 6795 ] + } + }, + "memory_ramBlocks_1.ram_symbol2.7.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6796 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2231 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6756 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol2.7.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 513 ], + "Z": [ 6796 ] + } + }, + "memory_ramBlocks_1.ram_symbol3.0.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6797 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2229 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6757 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol3.0.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 511 ], + "Z": [ 6797 ] + } + }, + "memory_ramBlocks_1.ram_symbol3.1.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6798 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2227 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6757 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol3.1.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 509 ], + "Z": [ 6798 ] + } + }, + "memory_ramBlocks_1.ram_symbol3.2.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6799 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2225 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6757 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol3.2.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 507 ], + "Z": [ 6799 ] + } + }, + "memory_ramBlocks_1.ram_symbol3.3.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6800 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2223 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6757 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol3.3.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 505 ], + "Z": [ 6800 ] + } + }, + "memory_ramBlocks_1.ram_symbol3.4.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6801 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2221 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6757 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol3.4.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 503 ], + "Z": [ 6801 ] + } + }, + "memory_ramBlocks_1.ram_symbol3.5.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6802 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2199 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6757 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol3.5.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 487 ], + "Z": [ 6802 ] + } + }, + "memory_ramBlocks_1.ram_symbol3.6.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6803 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2177 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6757 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol3.6.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 465 ], + "Z": [ 6803 ] + } + }, + "memory_ramBlocks_1.ram_symbol3.7.0.0": { + "hide_name": 0, + "type": "DP16KD", + "parameters": { + "CLKAMUX": "CLKA", + "CLKBMUX": "CLKB", + "DATA_WIDTH_A": "00000000000000000000000000000001", + "DATA_WIDTH_B": "00000000000000000000000000000001", + "GSR": "DISABLED", + "INITVAL_00": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_01": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_02": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_03": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_04": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_05": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_06": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_07": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_08": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_09": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_0F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_10": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_11": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_12": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_13": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_14": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_15": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_16": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_17": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_18": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_19": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_1F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_20": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_21": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_22": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_23": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_24": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_25": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_26": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_27": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_28": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_29": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_2F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_30": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_31": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_32": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_33": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_34": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_35": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_36": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_37": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_38": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_39": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3A": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3B": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3C": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3D": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3E": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "INITVAL_3F": "000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx000xxxxxxxx0xxxxxxxx", + "WRITEMODE_A": "READBEFOREWRITE", + "WRITEMODE_B": "READBEFOREWRITE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/brams_map.v:37.5-43.4" + }, + "port_directions": { + "ADA0": "input", + "ADA1": "input", + "ADA10": "input", + "ADA11": "input", + "ADA12": "input", + "ADA13": "input", + "ADA2": "input", + "ADA3": "input", + "ADA4": "input", + "ADA5": "input", + "ADA6": "input", + "ADA7": "input", + "ADA8": "input", + "ADA9": "input", + "ADB0": "input", + "ADB1": "input", + "ADB10": "input", + "ADB11": "input", + "ADB12": "input", + "ADB13": "input", + "ADB2": "input", + "ADB3": "input", + "ADB4": "input", + "ADB5": "input", + "ADB6": "input", + "ADB7": "input", + "ADB8": "input", + "ADB9": "input", + "CEA": "input", + "CEB": "input", + "CLKA": "input", + "CLKB": "input", + "DIA0": "input", + "DIA1": "input", + "DIA10": "input", + "DIA11": "input", + "DIA12": "input", + "DIA13": "input", + "DIA14": "input", + "DIA15": "input", + "DIA16": "input", + "DIA17": "input", + "DIA2": "input", + "DIA3": "input", + "DIA4": "input", + "DIA5": "input", + "DIA6": "input", + "DIA7": "input", + "DIA8": "input", + "DIA9": "input", + "DOB0": "output", + "OCEA": "input", + "OCEB": "input", + "RSTA": "input", + "RSTB": "input", + "WEA": "input", + "WEB": "input" + }, + "connections": { + "ADA0": [ 6763 ], + "ADA1": [ 6762 ], + "ADA10": [ 6765 ], + "ADA11": [ 6764 ], + "ADA12": [ 6759 ], + "ADA13": [ 6758 ], + "ADA2": [ 6761 ], + "ADA3": [ 6760 ], + "ADA4": [ 6771 ], + "ADA5": [ 6770 ], + "ADA6": [ 6769 ], + "ADA7": [ 6768 ], + "ADA8": [ 6767 ], + "ADA9": [ 6766 ], + "ADB0": [ 6763 ], + "ADB1": [ 6762 ], + "ADB10": [ 6765 ], + "ADB11": [ 6764 ], + "ADB12": [ 6759 ], + "ADB13": [ 6758 ], + "ADB2": [ 6761 ], + "ADB3": [ 6760 ], + "ADB4": [ 6771 ], + "ADB5": [ 6770 ], + "ADB6": [ 6769 ], + "ADB7": [ 6768 ], + "ADB8": [ 6767 ], + "ADB9": [ 6766 ], + "CEA": [ "1" ], + "CEB": [ 6772 ], + "CLKA": [ 3 ], + "CLKB": [ 3 ], + "DIA0": [ 6804 ], + "DIA1": [ "0" ], + "DIA10": [ "0" ], + "DIA11": [ "0" ], + "DIA12": [ "0" ], + "DIA13": [ "0" ], + "DIA14": [ "0" ], + "DIA15": [ "0" ], + "DIA16": [ "0" ], + "DIA17": [ "0" ], + "DIA2": [ "0" ], + "DIA3": [ "0" ], + "DIA4": [ "0" ], + "DIA5": [ "0" ], + "DIA6": [ "0" ], + "DIA7": [ "0" ], + "DIA8": [ "0" ], + "DIA9": [ "0" ], + "DOB0": [ 2175 ], + "OCEA": [ "1" ], + "OCEB": [ "1" ], + "RSTA": [ "0" ], + "RSTB": [ "0" ], + "WEA": [ 6757 ], + "WEB": [ "0" ] + } + }, + "memory_ramBlocks_1.ram_symbol3.7.0.0_DIA0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6366 ], + "D": [ 463 ], + "Z": [ 6804 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_output_ready_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6805 ], + "BLUT": [ 6806 ], + "C0": [ 6807 ], + "Z": [ 66 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_output_ready_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6808 ], + "B": [ 6809 ], + "C": [ 397 ], + "D": [ 6810 ], + "Z": [ 6805 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_output_ready_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6806 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_output_valid_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6811 ], + "BLUT": [ 6812 ], + "C0": [ 6422 ], + "Z": [ 6752 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_output_valid_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000011110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6813 ], + "C": [ 6423 ], + "D": [ 6814 ], + "Z": [ 6812 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.locked_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1202.3-1213.6|PQVexRiscvUlx3s.v:7415.17-7438.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6752 ], + "CLK": [ 3 ], + "DI": [ 6815 ], + "LSR": [ 71 ], + "Q": [ 6814 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.locked_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6752 ], + "D": [ 66 ], + "Z": [ 6815 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskLocked_0_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1215.3-1220.6|PQVexRiscvUlx3s.v:7415.17-7438.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6752 ], + "CLK": [ 3 ], + "DI": [ 6366 ], + "LSR": [ "0" ], + "Q": [ 6813 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskLocked_1_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110110011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6813 ], + "B": [ 6816 ], + "C": [ 6423 ], + "D": [ 6814 ], + "Z": [ 6811 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskLocked_1_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6814 ], + "D": [ 6816 ], + "Z": [ 6817 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskLocked_1_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1215.3-1220.6|PQVexRiscvUlx3s.v:7415.17-7438.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6752 ], + "CLK": [ 3 ], + "DI": [ 6818 ], + "LSR": [ "0" ], + "Q": [ 6816 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskRouted_0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6809 ], + "D": [ 6808 ], + "Z": [ 6366 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskRouted_1_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6422 ], + "C": [ 6809 ], + "D": [ 6817 ], + "Z": [ 6818 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskRouted_1_LUT4_Z_D_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6817 ], + "C": [ 6809 ], + "D": [ 41 ], + "Z": [ 65 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskRouted_1_LUT4_Z_D_LUT4_B_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6718 ], + "BLUT": [ 6819 ], + "C0": [ 6420 ], + "Z": [ 67 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskRouted_1_LUT4_Z_D_LUT4_B_Z_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6819 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_._zz_1__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1270.3-1286.6|PQVexRiscvUlx3s.v:7439.14-7460.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:74.161-74.220" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6820 ], + "CLK": [ 3 ], + "DI": [ 66 ], + "LSR": [ 71 ], + "Q": [ 6753 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_._zz_1__TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6753 ], + "C": [ 6752 ], + "D": [ 66 ], + "Z": [ 6820 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_._zz_2__LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6366 ], + "B": [ 397 ], + "C": [ 6810 ], + "D": [ 6807 ], + "Z": [ 6821 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_._zz_2__LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6822 ], + "C": [ 6823 ], + "D": [ 6824 ], + "Z": [ 6825 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_._zz_2__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1270.3-1286.6|PQVexRiscvUlx3s.v:7439.14-7460.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:74.161-74.220" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 66 ], + "CLK": [ 3 ], + "DI": [ 66 ], + "LSR": [ 71 ], + "Q": [ 6807 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo._zz_1__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6752 ], + "D": [ 6821 ], + "Z": [ 6826 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_valueNext_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6827 ], + "B": [ 6828 ], + "C": [ 6829 ], + "D": [ 6830 ], + "Z": [ 6831 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_valueNext_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011011111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6830 ], + "B": [ 6828 ], + "C": [ 6827 ], + "D": [ 6829 ], + "Z": [ 6832 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_valueNext_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6830 ], + "B": [ 6829 ], + "C": [ 6827 ], + "D": [ 6828 ], + "Z": [ 6833 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_value_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1421.3-1436.6|PQVexRiscvUlx3s.v:7461.27-7472.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6831 ], + "LSR": [ 71 ], + "Q": [ 6830 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_value_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1421.3-1436.6|PQVexRiscvUlx3s.v:7461.27-7472.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6832 ], + "LSR": [ 71 ], + "Q": [ 6829 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_value_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1421.3-1436.6|PQVexRiscvUlx3s.v:7461.27-7472.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6833 ], + "LSR": [ 71 ], + "Q": [ 6827 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011100001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6752 ], + "B": [ 6821 ], + "C": [ 6825 ], + "D": [ 6822 ], + "Z": [ 6834 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6835 ], + "BLUT": [ 6836 ], + "C0": [ 6824 ], + "Z": [ 6837 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6838 ], + "BLUT": [ 6839 ], + "C0": [ 6823 ], + "Z": [ 6840 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111101111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6825 ], + "B": [ 6752 ], + "C": [ 6821 ], + "D": [ 6822 ], + "Z": [ 6838 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6825 ], + "B": [ 6752 ], + "C": [ 6821 ], + "D": [ 6822 ], + "Z": [ 6839 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6752 ], + "C": [ 6821 ], + "D": [ 6823 ], + "Z": [ 6835 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6822 ], + "B": [ 6752 ], + "C": [ 6821 ], + "D": [ 6823 ], + "Z": [ 6836 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_value_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1421.3-1436.6|PQVexRiscvUlx3s.v:7461.27-7472.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6837 ], + "LSR": [ 71 ], + "Q": [ 6824 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_value_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1421.3-1436.6|PQVexRiscvUlx3s.v:7461.27-7472.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6840 ], + "LSR": [ 71 ], + "Q": [ 6823 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_value_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1421.3-1436.6|PQVexRiscvUlx3s.v:7461.27-7472.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6834 ], + "LSR": [ 71 ], + "Q": [ 6822 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.ram.0.0.0": { + "hide_name": 0, + "type": "TRELLIS_DPR16X4", + "parameters": { + "INITVAL": "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/lutrams_map.v:19.4-27.3" + }, + "port_directions": { + "DI": "input", + "DO": "output", + "RAD": "input", + "WAD": "input", + "WCK": "input", + "WRE": "input" + }, + "connections": { + "DI": [ 6366, 6818, "0", "0" ], + "DO": [ 6625, 6622, 6841, 6842 ], + "RAD": [ 6827, 6829, 6830, "0" ], + "WAD": [ 6822, 6823, 6824, "0" ], + "WCK": [ 3 ], + "WRE": [ 6826 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6843 ], + "C": [ 6844 ], + "D": [ 6621 ], + "Z": [ 6828 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6843 ], + "D": [ 6844 ], + "Z": [ 6810 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6822 ], + "C": [ 6827 ], + "D": [ 6845 ], + "Z": [ 6843 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_C_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6824 ], + "B": [ 6830 ], + "C": [ 6823 ], + "D": [ 6829 ], + "Z": [ 6845 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:185.21-185.63" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6846 ], + "D1": [ 6847 ], + "SD": [ 6359 ], + "Z": [ 6809 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D0_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:183.21-183.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6848 ], + "D1": [ 6849 ], + "SD": [ 261 ], + "Z": [ 6846 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179.19-179.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6850 ], + "BLUT": [ 6851 ], + "C0": [ 956 ], + "Z": [ 6848 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161.39-162.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 6814 ], + "Z": [ 6850 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:159.39-160.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 6814 ], + "Z": [ 6851 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180.19-180.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6852 ], + "BLUT": [ 6853 ], + "C0": [ 956 ], + "Z": [ 6849 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166.39-167.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 6814 ], + "Z": [ 6852 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:164.39-165.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 6814 ], + "Z": [ 6853 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D1_L6MUX21_Z": { + "hide_name": 0, + "type": "L6MUX21", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:184.21-184.64" + }, + "port_directions": { + "D0": "input", + "D1": "input", + "SD": "input", + "Z": "output" + }, + "connections": { + "D0": [ 6854 ], + "D1": [ 6855 ], + "SD": [ 261 ], + "Z": [ 6847 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181.19-181.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6856 ], + "BLUT": [ 6857 ], + "C0": [ 956 ], + "Z": [ 6854 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171.39-172.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 6814 ], + "Z": [ 6856 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:169.39-170.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 6814 ], + "Z": [ 6857 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182.19-182.65" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6858 ], + "BLUT": [ 6859 ], + "C0": [ 956 ], + "Z": [ 6855 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101010001000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176.41-177.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6814 ], + "B": [ 953 ], + "C": [ 954 ], + "D": [ 955 ], + "Z": [ 6858 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011001100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:174.41-175.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6814 ], + "C": [ 953 ], + "D": [ 954 ], + "Z": [ 6859 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6813 ], + "D": [ 6814 ], + "Z": [ 6808 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1421.3-1436.6|PQVexRiscvUlx3s.v:7461.27-7472.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6860 ], + "CLK": [ 3 ], + "DI": [ 6826 ], + "LSR": [ 71 ], + "Q": [ 6844 ] + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6752 ], + "C": [ 6821 ], + "D": [ 6828 ], + "Z": [ 6860 ] + } + }, + "muraxApb3Timer_1_._zz_10__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111010001000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6861 ], + "B": [ 6862 ], + "C": [ 6863 ], + "D": [ 6864 ], + "Z": [ 6865 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 479 ], + "LSR": [ "0" ], + "Q": [ 6867 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 481 ], + "LSR": [ "0" ], + "Q": [ 6868 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 495 ], + "LSR": [ "0" ], + "Q": [ 6869 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 497 ], + "LSR": [ "0" ], + "Q": [ 6870 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 499 ], + "LSR": [ "0" ], + "Q": [ 6871 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 501 ], + "LSR": [ "0" ], + "Q": [ 6872 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 547 ], + "LSR": [ "0" ], + "Q": [ 638 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 545 ], + "LSR": [ "0" ], + "Q": [ 6873 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 483 ], + "LSR": [ "0" ], + "Q": [ 6874 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 485 ], + "LSR": [ "0" ], + "Q": [ 6875 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 553 ], + "LSR": [ "0" ], + "Q": [ 6876 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 551 ], + "LSR": [ "0" ], + "Q": [ 6877 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 549 ], + "LSR": [ "0" ], + "Q": [ 578 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 489 ], + "LSR": [ "0" ], + "Q": [ 6878 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 491 ], + "LSR": [ "0" ], + "Q": [ 6879 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6866 ], + "CLK": [ 3 ], + "DI": [ 493 ], + "LSR": [ "0" ], + "Q": [ 6880 ] + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6862 ], + "D": [ 577 ], + "Z": [ 6866 ] + } + }, + "muraxApb3Timer_1_._zz_8__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6881 ], + "B": [ 633 ], + "C": [ 6862 ], + "D": [ 6882 ], + "Z": [ 6883 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.pendings_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1138.3-1144.6|PQVexRiscvUlx3s.v:6460.17-6467.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6884 ], + "LSR": [ 71 ], + "Q": [ 6355 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.pendings_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1138.3-1144.6|PQVexRiscvUlx3s.v:6460.17-6467.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6885 ], + "LSR": [ 71 ], + "Q": [ 6353 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.pendings_TRELLIS_FF_Q_1_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6886 ], + "BLUT": [ 6887 ], + "C0": [ 6888 ], + "Z": [ 6885 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.pendings_TRELLIS_FF_Q_1_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6886 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.pendings_TRELLIS_FF_Q_1_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6889 ], + "B": [ 545 ], + "C": [ 6862 ], + "D": [ 6353 ], + "Z": [ 6887 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.pendings_TRELLIS_FF_Q_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6890 ], + "BLUT": [ 6891 ], + "C0": [ 6863 ], + "Z": [ 6884 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.pendings_TRELLIS_FF_Q_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6890 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.pendings_TRELLIS_FF_Q_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 547 ], + "B": [ 6862 ], + "C": [ 6889 ], + "D": [ 6355 ], + "Z": [ 6891 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6715.3-6757.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6892 ], + "CLK": [ 3 ], + "DI": [ 547 ], + "LSR": [ 71 ], + "Q": [ 6356 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6715.3-6757.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 6892 ], + "CLK": [ 3 ], + "DI": [ 545 ], + "LSR": [ 71 ], + "Q": [ 6354 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6862 ], + "D": [ 6893 ], + "Z": [ 6892 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6355 ], + "B": [ 6889 ], + "C": [ 6893 ], + "D": [ 6356 ], + "Z": [ 640 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6353 ], + "B": [ 6889 ], + "C": [ 6893 ], + "D": [ 6354 ], + "Z": [ 622 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C_1_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 541 ], + "D": [ 543 ], + "Z": [ 619 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C_1_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 633 ], + "B": [ 6894 ], + "C": [ 6895 ], + "D": [ 636 ], + "Z": [ 624 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C_1_Z_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 577 ], + "D": [ 6873 ], + "Z": [ 621 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C_1_Z_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6896 ], + "BLUT": [ 6897 ], + "C0": [ 6898 ], + "Z": [ 623 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C_1_Z_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6899 ], + "B": [ 6900 ], + "C": [ 586 ], + "D": [ 6901 ], + "Z": [ 6896 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C_1_Z_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6897 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6881 ], + "B": [ 6902 ], + "C": [ 6903 ], + "D": [ 589 ], + "Z": [ 642 ] + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6899 ], + "B": [ 6904 ], + "C": [ 586 ], + "D": [ 6905 ], + "Z": [ 641 ] + } + }, + "muraxApb3Timer_1_.io_apb_PSEL_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 542 ], + "C": [ 397 ], + "D": [ 944 ], + "Z": [ 6862 ] + } + }, + "muraxApb3Timer_1_.io_apb_PSEL_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 437 ], + "C": [ 6906 ], + "D": [ 646 ], + "Z": [ 577 ] + } + }, + "muraxApb3Timer_1_.io_apb_PSEL_LUT4_B_Z_LUT4_Z_C_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 437 ], + "B": [ 6906 ], + "C": [ 439 ], + "D": [ 616 ], + "Z": [ 6893 ] + } + }, + "muraxApb3Timer_1_.io_apb_PSEL_LUT4_B_Z_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 435 ], + "D": [ 433 ], + "Z": [ 6906 ] + } + }, + "muraxApb3Timer_1_.io_apb_PSEL_LUT4_B_Z_LUT4_Z_D_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 646 ], + "D": [ 603 ], + "Z": [ 6907 ] + } + }, + "muraxApb3Timer_1_.io_apb_PSEL_LUT4_B_Z_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 439 ], + "D": [ 613 ], + "Z": [ 646 ] + } + }, + "muraxApb3Timer_1_.io_apb_PSEL_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6908 ], + "BLUT": [ 6909 ], + "C0": [ 599 ], + "Z": [ 542 ] + } + }, + "muraxApb3Timer_1_.io_apb_PSEL_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 413 ], + "B": [ 409 ], + "C": [ 407 ], + "D": [ 945 ], + "Z": [ 6908 ] + } + }, + "muraxApb3Timer_1_.io_apb_PSEL_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 6909 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_CCU2C_B0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1072.17-1072.35|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6910 ], + "B1": [ 6911 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6912 ], + "COUT": [ 6913 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6914 ], + "S1": [ 6915 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_CCU2C_B0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1072.17-1072.35|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6916 ], + "B1": [ 6917 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6918 ], + "COUT": [ 6912 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6919 ], + "S1": [ 6920 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_CCU2C_B0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1072.17-1072.35|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6921 ], + "B1": [ 6922 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6923 ], + "COUT": [ 6918 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6924 ], + "S1": [ 6925 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_CCU2C_B0_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1072.17-1072.35|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6926 ], + "B1": [ 6927 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6928 ], + "COUT": [ 6923 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6929 ], + "S1": [ 6930 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_CCU2C_B0_4": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1072.17-1072.35|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6931 ], + "B1": [ 6932 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6933 ], + "COUT": [ 6934 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6935 ], + "S1": [ 6936 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_CCU2C_B0_5": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1072.17-1072.35|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6937 ], + "B1": [ 6938 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6939 ], + "COUT": [ 6933 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6940 ], + "S1": [ 6941 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_CCU2C_B0_6": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1072.17-1072.35|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6942 ], + "B1": [ 6943 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6913 ], + "COUT": [ 6939 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6944 ], + "S1": [ 6945 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_CCU2C_B0_7": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1072.17-1072.35|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "1" ], + "A1": [ "0" ], + "B0": [ 6946 ], + "B1": [ 6947 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 6928 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6948 ], + "S1": [ 6949 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6936 ], + "LSR": [ 6950 ], + "Q": [ 6932 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6935 ], + "LSR": [ 6950 ], + "Q": [ 6931 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6925 ], + "LSR": [ 6950 ], + "Q": [ 6922 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6924 ], + "LSR": [ 6950 ], + "Q": [ 6921 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6930 ], + "LSR": [ 6950 ], + "Q": [ 6927 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6929 ], + "LSR": [ 6950 ], + "Q": [ 6926 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6949 ], + "LSR": [ 6950 ], + "Q": [ 6947 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6948 ], + "LSR": [ 6950 ], + "Q": [ 6946 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6941 ], + "LSR": [ 6950 ], + "Q": [ 6938 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6940 ], + "LSR": [ 6950 ], + "Q": [ 6937 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6945 ], + "LSR": [ 6950 ], + "Q": [ 6943 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6944 ], + "LSR": [ 6950 ], + "Q": [ 6942 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6915 ], + "LSR": [ 6950 ], + "Q": [ 6911 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6914 ], + "LSR": [ 6950 ], + "Q": [ 6910 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6920 ], + "LSR": [ 6950 ], + "Q": [ 6917 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1071.3-1076.6|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:68.164-68.215" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 6919 ], + "LSR": [ 6950 ], + "Q": [ 6916 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_LSR_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 6951 ], + "BLUT": [ 6952 ], + "C0": [ 577 ], + "Z": [ 6950 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_LSR_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111110000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6953 ], + "B": [ 6954 ], + "C": [ 6955 ], + "D": [ 6862 ], + "Z": [ 6951 ] + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_LSR_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6953 ], + "C": [ 6954 ], + "D": [ 6955 ], + "Z": [ 6952 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__CCU2C_A0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 6956 ], + "A1": [ "0" ], + "B0": [ 6957 ], + "B1": [ 6902 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 6958 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6959 ], + "S1": [ 6960 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__CCU2C_A0_S0_CCU2C_S0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6961 ], + "B1": [ 583 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6962 ], + "COUT": [ 6963 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6964 ], + "S1": [ 6965 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__CCU2C_A0_S0_CCU2C_S0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6966 ], + "B1": [ 6967 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6968 ], + "COUT": [ 6962 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6969 ], + "S1": [ 6970 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__CCU2C_A0_S0_CCU2C_S0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6971 ], + "B1": [ 6972 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6973 ], + "COUT": [ 6968 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6974 ], + "S1": [ 6975 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__CCU2C_A0_S0_CCU2C_S0_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6976 ], + "B1": [ 6977 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6958 ], + "COUT": [ 6973 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6978 ], + "S1": [ 6979 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__CCU2C_A0_S0_CCU2C_S0_4": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6980 ], + "B1": [ 6981 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6982 ], + "COUT": [ 6983 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6984 ], + "S1": [ 6985 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__CCU2C_A0_S0_CCU2C_S0_5": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6986 ], + "B1": [ 6987 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6988 ], + "COUT": [ 6982 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6989 ], + "S1": [ 6990 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__CCU2C_A0_S0_CCU2C_S0_6": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 6991 ], + "B1": [ 6992 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 6963 ], + "COUT": [ 6988 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 6993 ], + "S1": [ 6994 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0110111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6992 ], + "B": [ 6995 ], + "C": [ 6996 ], + "D": [ 6997 ], + "Z": [ 6956 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6902 ], + "B": [ 634 ], + "C": [ 6998 ], + "D": [ 6999 ], + "Z": [ 6997 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7000 ], + "B": [ 7001 ], + "C": [ 7002 ], + "D": [ 7003 ], + "Z": [ 6996 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6957 ], + "B": [ 6894 ], + "C": [ 6991 ], + "D": [ 7004 ], + "Z": [ 7003 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_1_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6971 ], + "B": [ 7005 ], + "C": [ 6986 ], + "D": [ 7006 ], + "Z": [ 7002 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_1_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6977 ], + "B": [ 7007 ], + "C": [ 6966 ], + "D": [ 7008 ], + "Z": [ 7001 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_1_A_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6980 ], + "C": [ 7009 ], + "D": [ 7010 ], + "Z": [ 7000 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_1_A_LUT4_Z_3_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6967 ], + "B": [ 7011 ], + "C": [ 6987 ], + "D": [ 7012 ], + "Z": [ 7010 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7013 ], + "B": [ 6972 ], + "C": [ 6981 ], + "D": [ 7014 ], + "Z": [ 6999 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7015 ], + "BLUT": [ 7016 ], + "C0": [ 7017 ], + "Z": [ 6998 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6972 ], + "B": [ 7013 ], + "C": [ 7018 ], + "D": [ 6976 ], + "Z": [ 7015 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7016 ] + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_C_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6961 ], + "B": [ 7019 ], + "C": [ 583 ], + "D": [ 585 ], + "Z": [ 7017 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6985 ], + "LSR": [ 6883 ], + "Q": [ 6981 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6984 ], + "LSR": [ 6883 ], + "Q": [ 6980 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6975 ], + "LSR": [ 6883 ], + "Q": [ 6972 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6974 ], + "LSR": [ 6883 ], + "Q": [ 6971 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6979 ], + "LSR": [ 6883 ], + "Q": [ 6977 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6978 ], + "LSR": [ 6883 ], + "Q": [ 6976 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6960 ], + "LSR": [ 6883 ], + "Q": [ 6902 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6959 ], + "LSR": [ 6883 ], + "Q": [ 6957 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6990 ], + "LSR": [ 6883 ], + "Q": [ 6987 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6989 ], + "LSR": [ 6883 ], + "Q": [ 6986 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6994 ], + "LSR": [ 6883 ], + "Q": [ 6992 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6993 ], + "LSR": [ 6883 ], + "Q": [ 6991 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6965 ], + "LSR": [ 6883 ], + "Q": [ 583 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6964 ], + "LSR": [ 6883 ], + "Q": [ 6961 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6970 ], + "LSR": [ 6883 ], + "Q": [ 6967 ] + } + }, + "muraxApb3Timer_1_.timerA.counter_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7020 ], + "CLK": [ 3 ], + "DI": [ 6969 ], + "LSR": [ 6883 ], + "Q": [ 6966 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6956 ], + "B": [ 7021 ], + "C": [ 7020 ], + "D": [ 7022 ], + "Z": [ 6882 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6862 ], + "D": [ 633 ], + "Z": [ 7023 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 439 ], + "C": [ 7024 ], + "D": [ 7025 ], + "Z": [ 6881 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 596 ], + "D": [ 7025 ], + "Z": [ 633 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_Z_C_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 439 ], + "C": [ 7024 ], + "D": [ 7025 ], + "Z": [ 582 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_Z_C_LUT4_C_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 7025 ], + "C": [ 7024 ], + "D": [ 439 ], + "Z": [ 589 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_Z_C_LUT4_C_1_Z_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6881 ], + "B": [ 6957 ], + "C": [ 7026 ], + "D": [ 589 ], + "Z": [ 6898 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_Z_C_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 586 ], + "B": [ 7027 ], + "C": [ 7028 ], + "D": [ 589 ], + "Z": [ 7029 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 443 ], + "B": [ 449 ], + "C": [ 447 ], + "D": [ 441 ], + "Z": [ 7024 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_Z_D_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 7025 ], + "C": [ 616 ], + "D": [ 439 ], + "Z": [ 586 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_Z_D_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 597 ], + "D": [ 7025 ], + "Z": [ 6899 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 437 ], + "C": [ 433 ], + "D": [ 435 ], + "Z": [ 7025 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_Z_D_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 441 ], + "B": [ 449 ], + "C": [ 447 ], + "D": [ 443 ], + "Z": [ 616 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6956 ], + "C": [ 7021 ], + "D": [ 7020 ], + "Z": [ 6888 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 437 ], + "B": [ 6906 ], + "C": [ 439 ], + "D": [ 613 ], + "Z": [ 6889 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1101.3-1112.6|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7030 ], + "CLK": [ 3 ], + "DI": [ 7031 ], + "LSR": [ 71 ], + "Q": [ 7021 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6881 ], + "B": [ 633 ], + "C": [ 6862 ], + "D": [ 7020 ], + "Z": [ 7030 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_TRELLIS_FF_Q_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7032 ], + "BLUT": [ 7033 ], + "C0": [ 6956 ], + "Z": [ 7031 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_TRELLIS_FF_Q_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7032 ] + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_TRELLIS_FF_Q_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000011111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6881 ], + "B": [ 633 ], + "C": [ 6862 ], + "D": [ 6882 ], + "Z": [ 7033 ] + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7034 ], + "BLUT": [ 7035 ], + "C0": [ 6895 ], + "Z": [ 7020 ] + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7034 ] + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6953 ], + "B": [ 6954 ], + "C": [ 635 ], + "D": [ 6955 ], + "Z": [ 7035 ] + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6946 ], + "B": [ 6873 ], + "C": [ 6942 ], + "D": [ 6877 ], + "Z": [ 6953 ] + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7036 ], + "B": [ 7037 ], + "C": [ 7038 ], + "D": [ 7039 ], + "Z": [ 6955 ] + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6917 ], + "B": [ 6879 ], + "C": [ 6938 ], + "D": [ 6874 ], + "Z": [ 7039 ] + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_1_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6921 ], + "B": [ 6870 ], + "C": [ 6937 ], + "D": [ 6875 ], + "Z": [ 7038 ] + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_1_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6927 ], + "B": [ 6871 ], + "C": [ 6916 ], + "D": [ 6880 ], + "Z": [ 7037 ] + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_1_A_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6943 ], + "B": [ 6876 ], + "C": [ 6931 ], + "D": [ 6868 ], + "Z": [ 7036 ] + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6947 ], + "B": [ 638 ], + "C": [ 7040 ], + "D": [ 7041 ], + "Z": [ 6954 ] + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_2_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6869 ], + "B": [ 6922 ], + "C": [ 6932 ], + "D": [ 6867 ], + "Z": [ 7041 ] + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_2_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7042 ], + "BLUT": [ 7043 ], + "C0": [ 7044 ], + "Z": [ 7040 ] + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_2_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6922 ], + "B": [ 6869 ], + "C": [ 6872 ], + "D": [ 6926 ], + "Z": [ 7042 ] + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_2_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7043 ] + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_2_C_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6910 ], + "B": [ 6878 ], + "C": [ 6911 ], + "D": [ 578 ], + "Z": [ 7044 ] + } + }, + "muraxApb3Timer_1_.timerABridge_clearsEnable_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 636 ], + "B": [ 7022 ], + "C": [ 6864 ], + "D": [ 6899 ], + "Z": [ 7045 ] + } + }, + "muraxApb3Timer_1_.timerABridge_clearsEnable_LUT4_B_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 646 ], + "D": [ 7025 ], + "Z": [ 636 ] + } + }, + "muraxApb3Timer_1_.timerABridge_clearsEnable_LUT4_B_Z_PFUMX_ALUT": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7045 ], + "BLUT": [ 7046 ], + "C0": [ 619 ], + "Z": [ 7047 ] + } + }, + "muraxApb3Timer_1_.timerABridge_clearsEnable_LUT4_B_Z_PFUMX_ALUT_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7046 ] + } + }, + "muraxApb3Timer_1_.timerABridge_clearsEnable_LUT4_B_Z_PFUMX_ALUT_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 568 ], + "B": [ 6907 ], + "C": [ 575 ], + "D": [ 566 ], + "Z": [ 7048 ] + } + }, + "muraxApb3Timer_1_.timerABridge_clearsEnable_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6715.3-6757.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7049 ], + "CLK": [ 3 ], + "DI": [ 477 ], + "LSR": [ 71 ], + "Q": [ 7022 ] + } + }, + "muraxApb3Timer_1_.timerABridge_ticksEnable_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6715.3-6757.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7049 ], + "CLK": [ 3 ], + "DI": [ 547 ], + "LSR": [ 71 ], + "Q": [ 635 ] + } + }, + "muraxApb3Timer_1_.timerABridge_ticksEnable_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6715.3-6757.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7049 ], + "CLK": [ 3 ], + "DI": [ 545 ], + "LSR": [ 71 ], + "Q": [ 6895 ] + } + }, + "muraxApb3Timer_1_.timerABridge_ticksEnable_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6862 ], + "D": [ 636 ], + "Z": [ 7049 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 479 ], + "LSR": [ "0" ], + "Q": [ 7014 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 481 ], + "LSR": [ "0" ], + "Q": [ 7009 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 495 ], + "LSR": [ "0" ], + "Q": [ 7013 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 497 ], + "LSR": [ "0" ], + "Q": [ 7005 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 499 ], + "LSR": [ "0" ], + "Q": [ 7007 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 501 ], + "LSR": [ "0" ], + "Q": [ 7018 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 547 ], + "LSR": [ "0" ], + "Q": [ 634 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 545 ], + "LSR": [ "0" ], + "Q": [ 6894 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 483 ], + "LSR": [ "0" ], + "Q": [ 7012 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 485 ], + "LSR": [ "0" ], + "Q": [ 7006 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 553 ], + "LSR": [ "0" ], + "Q": [ 6995 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 551 ], + "LSR": [ "0" ], + "Q": [ 7004 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 549 ], + "LSR": [ "0" ], + "Q": [ 585 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 489 ], + "LSR": [ "0" ], + "Q": [ 7019 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 491 ], + "LSR": [ "0" ], + "Q": [ 7011 ] + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7023 ], + "CLK": [ 3 ], + "DI": [ 493 ], + "LSR": [ "0" ], + "Q": [ 7008 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__CCU2C_A0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ 7050 ], + "A1": [ "0" ], + "B0": [ 7026 ], + "B1": [ 6903 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ "0" ], + "COUT": [ 7051 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7052 ], + "S1": [ 7053 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__CCU2C_A0_S0_CCU2C_S0": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 7054 ], + "B1": [ 588 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 7055 ], + "COUT": [ 7056 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7057 ], + "S1": [ 7058 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__CCU2C_A0_S0_CCU2C_S0_1": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 7059 ], + "B1": [ 7060 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 7061 ], + "COUT": [ 7055 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7062 ], + "S1": [ 7063 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__CCU2C_A0_S0_CCU2C_S0_2": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 7064 ], + "B1": [ 7065 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 7066 ], + "COUT": [ 7061 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7067 ], + "S1": [ 7068 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__CCU2C_A0_S0_CCU2C_S0_3": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 7028 ], + "B1": [ 7069 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 7051 ], + "COUT": [ 7066 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7070 ], + "S1": [ 7071 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__CCU2C_A0_S0_CCU2C_S0_4": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 7072 ], + "B1": [ 7073 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 7074 ], + "COUT": [ 7075 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7076 ], + "S1": [ 7077 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__CCU2C_A0_S0_CCU2C_S0_5": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 7078 ], + "B1": [ 7079 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 7080 ], + "COUT": [ 7074 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7081 ], + "S1": [ 7082 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__CCU2C_A0_S0_CCU2C_S0_6": { + "hide_name": 0, + "type": "CCU2C", + "parameters": { + "INIT0": "1001011010101010", + "INIT1": "1001011010101010", + "INJECT1_0": "NO", + "INJECT1_1": "NO" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:74.7-80.4" + }, + "port_directions": { + "A0": "input", + "A1": "input", + "B0": "input", + "B1": "input", + "C0": "input", + "C1": "input", + "CIN": "input", + "COUT": "output", + "D0": "input", + "D1": "input", + "S0": "output", + "S1": "output" + }, + "connections": { + "A0": [ "0" ], + "A1": [ "0" ], + "B0": [ 7083 ], + "B1": [ 7084 ], + "C0": [ "0" ], + "C1": [ "0" ], + "CIN": [ 7056 ], + "COUT": [ 7080 ], + "D0": [ "1" ], + "D1": [ "1" ], + "S0": [ 7085 ], + "S1": [ 7086 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 7087 ], + "C": [ 7088 ], + "D": [ 7089 ], + "Z": [ 7050 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7026 ], + "B": [ 6901 ], + "C": [ 7083 ], + "D": [ 7090 ], + "Z": [ 7089 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7091 ], + "B": [ 7092 ], + "C": [ 7093 ], + "D": [ 7094 ], + "Z": [ 7088 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_1_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7084 ], + "B": [ 7095 ], + "C": [ 7072 ], + "D": [ 7096 ], + "Z": [ 7094 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_1_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7060 ], + "B": [ 7097 ], + "C": [ 7079 ], + "D": [ 7098 ], + "Z": [ 7093 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_1_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7064 ], + "B": [ 7099 ], + "C": [ 7078 ], + "D": [ 7100 ], + "Z": [ 7092 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_1_A_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7069 ], + "B": [ 7101 ], + "C": [ 7059 ], + "D": [ 7102 ], + "Z": [ 7091 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6903 ], + "B": [ 6905 ], + "C": [ 7103 ], + "D": [ 7104 ], + "Z": [ 7087 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_2_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7105 ], + "B": [ 7065 ], + "C": [ 7073 ], + "D": [ 7106 ], + "Z": [ 7104 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_2_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7107 ], + "BLUT": [ 7108 ], + "C0": [ 7109 ], + "Z": [ 7103 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_2_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011000000001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7065 ], + "B": [ 7105 ], + "C": [ 7027 ], + "D": [ 7028 ], + "Z": [ 7107 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_2_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7108 ] + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_2_C_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001000000001001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7054 ], + "B": [ 7110 ], + "C": [ 588 ], + "D": [ 587 ], + "Z": [ 7109 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7077 ], + "LSR": [ 6865 ], + "Q": [ 7073 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7076 ], + "LSR": [ 6865 ], + "Q": [ 7072 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7068 ], + "LSR": [ 6865 ], + "Q": [ 7065 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7067 ], + "LSR": [ 6865 ], + "Q": [ 7064 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7071 ], + "LSR": [ 6865 ], + "Q": [ 7069 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7070 ], + "LSR": [ 6865 ], + "Q": [ 7028 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7053 ], + "LSR": [ 6865 ], + "Q": [ 6903 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7052 ], + "LSR": [ 6865 ], + "Q": [ 7026 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7082 ], + "LSR": [ 6865 ], + "Q": [ 7079 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7081 ], + "LSR": [ 6865 ], + "Q": [ 7078 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7086 ], + "LSR": [ 6865 ], + "Q": [ 7084 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7085 ], + "LSR": [ 6865 ], + "Q": [ 7083 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7058 ], + "LSR": [ 6865 ], + "Q": [ 588 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7057 ], + "LSR": [ 6865 ], + "Q": [ 7054 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7063 ], + "LSR": [ 6865 ], + "Q": [ 7060 ] + } + }, + "muraxApb3Timer_1_.timerB.counter_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1114.3-1121.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:83.170-83.229" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7111 ], + "CLK": [ 3 ], + "DI": [ 7062 ], + "LSR": [ 6865 ], + "Q": [ 7059 ] + } + }, + "muraxApb3Timer_1_.timerB.inhibitFull_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 7050 ], + "C": [ 7112 ], + "D": [ 7111 ], + "Z": [ 6863 ] + } + }, + "muraxApb3Timer_1_.timerB.inhibitFull_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 586 ], + "D": [ 589 ], + "Z": [ 6861 ] + } + }, + "muraxApb3Timer_1_.timerB.inhibitFull_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1101.3-1112.6|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7113 ], + "CLK": [ 3 ], + "DI": [ 7114 ], + "LSR": [ 71 ], + "Q": [ 7112 ] + } + }, + "muraxApb3Timer_1_.timerB.inhibitFull_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6861 ], + "C": [ 6862 ], + "D": [ 7111 ], + "Z": [ 7113 ] + } + }, + "muraxApb3Timer_1_.timerB.inhibitFull_TRELLIS_FF_Q_DI_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7115 ], + "BLUT": [ 7116 ], + "C0": [ 7050 ], + "Z": [ 7114 ] + } + }, + "muraxApb3Timer_1_.timerB.inhibitFull_TRELLIS_FF_Q_DI_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7115 ] + } + }, + "muraxApb3Timer_1_.timerB.inhibitFull_TRELLIS_FF_Q_DI_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000101110111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6861 ], + "B": [ 6862 ], + "C": [ 6863 ], + "D": [ 6864 ], + "Z": [ 7116 ] + } + }, + "muraxApb3Timer_1_.timerB.io_tick_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7117 ], + "BLUT": [ 7118 ], + "C0": [ 6900 ], + "Z": [ 7111 ] + } + }, + "muraxApb3Timer_1_.timerB.io_tick_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7117 ] + } + }, + "muraxApb3Timer_1_.timerB.io_tick_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6953 ], + "B": [ 6954 ], + "C": [ 6904 ], + "D": [ 6955 ], + "Z": [ 7118 ] + } + }, + "muraxApb3Timer_1_.timerBBridge_clearsEnable_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6715.3-6757.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7119 ], + "CLK": [ 3 ], + "DI": [ 477 ], + "LSR": [ 71 ], + "Q": [ 6864 ] + } + }, + "muraxApb3Timer_1_.timerBBridge_ticksEnable_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6715.3-6757.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7119 ], + "CLK": [ 3 ], + "DI": [ 547 ], + "LSR": [ 71 ], + "Q": [ 6904 ] + } + }, + "muraxApb3Timer_1_.timerBBridge_ticksEnable_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6715.3-6757.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7119 ], + "CLK": [ 3 ], + "DI": [ 545 ], + "LSR": [ 71 ], + "Q": [ 6900 ] + } + }, + "muraxApb3Timer_1_.timerBBridge_ticksEnable_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6862 ], + "D": [ 6899 ], + "Z": [ 7119 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 479 ], + "LSR": [ "0" ], + "Q": [ 7106 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 481 ], + "LSR": [ "0" ], + "Q": [ 7096 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 495 ], + "LSR": [ "0" ], + "Q": [ 7105 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 497 ], + "LSR": [ "0" ], + "Q": [ 7099 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 499 ], + "LSR": [ "0" ], + "Q": [ 7101 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 501 ], + "LSR": [ "0" ], + "Q": [ 7027 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 547 ], + "LSR": [ "0" ], + "Q": [ 6905 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 545 ], + "LSR": [ "0" ], + "Q": [ 6901 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 483 ], + "LSR": [ "0" ], + "Q": [ 7098 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 485 ], + "LSR": [ "0" ], + "Q": [ 7100 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 553 ], + "LSR": [ "0" ], + "Q": [ 7095 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 551 ], + "LSR": [ "0" ], + "Q": [ 7090 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 549 ], + "LSR": [ "0" ], + "Q": [ 587 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 489 ], + "LSR": [ "0" ], + "Q": [ 7110 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 491 ], + "LSR": [ "0" ], + "Q": [ 7097 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6759.3-6791.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7120 ], + "CLK": [ 3 ], + "DI": [ 493 ], + "LSR": [ "0" ], + "Q": [ 7102 ] + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6862 ], + "D": [ 586 ], + "Z": [ 7120 ] + } + }, + "myMem_1_.io_bus_PSEL_LUT4_A": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 540 ], + "B": [ 7121 ], + "C": [ 397 ], + "D": [ 944 ], + "Z": [ 7122 ] + } + }, + "myMem_1_.io_bus_PSEL_LUT4_A_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 577 ], + "D": [ 7123 ], + "Z": [ 7121 ] + } + }, + "myMem_1_.io_bus_PSEL_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 599 ], + "D": [ 7124 ], + "Z": [ 540 ] + } + }, + "myMem_1_.io_bus_PSEL_LUT4_Z_D_LUT4_B": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 577 ], + "B": [ 7124 ], + "C": [ 7123 ], + "D": [ 541 ], + "Z": [ 605 ] + } + }, + "myMem_1_.io_bus_PSEL_LUT4_Z_D_LUT4_B_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6872 ], + "B": [ 577 ], + "C": [ 7125 ], + "D": [ 619 ], + "Z": [ 7126 ] + } + }, + "myMem_1_.io_bus_PSEL_LUT4_Z_D_LUT4_B_Z_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7127 ], + "BLUT": [ 7128 ], + "C0": [ 7029 ], + "Z": [ 7125 ] + } + }, + "myMem_1_.io_bus_PSEL_LUT4_Z_D_LUT4_B_Z_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 633 ], + "B": [ 7018 ], + "C": [ 6976 ], + "D": [ 582 ], + "Z": [ 7127 ] + } + }, + "myMem_1_.io_bus_PSEL_LUT4_Z_D_LUT4_B_Z_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7128 ] + } + }, + "myMem_1_.io_bus_PSEL_LUT4_Z_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 431 ], + "B": [ 429 ], + "C": [ 427 ], + "D": [ 425 ], + "Z": [ 7123 ] + } + }, + "myMem_1_.io_bus_PSEL_LUT4_Z_D_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 409 ], + "B": [ 407 ], + "C": [ 945 ], + "D": [ 413 ], + "Z": [ 7124 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 463 ], + "LSR": [ "0" ], + "Q": [ 7129 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 465 ], + "LSR": [ "0" ], + "Q": [ 7130 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 467 ], + "LSR": [ "0" ], + "Q": [ 7131 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 469 ], + "LSR": [ "0" ], + "Q": [ 7132 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 471 ], + "LSR": [ "0" ], + "Q": [ 7133 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 473 ], + "LSR": [ "0" ], + "Q": [ 7134 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 475 ], + "LSR": [ "0" ], + "Q": [ 7135 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 477 ], + "LSR": [ "0" ], + "Q": [ 7136 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 479 ], + "LSR": [ "0" ], + "Q": [ 7137 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 481 ], + "LSR": [ "0" ], + "Q": [ 7138 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 483 ], + "LSR": [ "0" ], + "Q": [ 7139 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 485 ], + "LSR": [ "0" ], + "Q": [ 7140 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 487 ], + "LSR": [ "0" ], + "Q": [ 7141 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 553 ], + "LSR": [ "0" ], + "Q": [ 7142 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 551 ], + "LSR": [ "0" ], + "Q": [ 7143 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 549 ], + "LSR": [ "0" ], + "Q": [ 592 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 489 ], + "LSR": [ "0" ], + "Q": [ 7144 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 491 ], + "LSR": [ "0" ], + "Q": [ 7145 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 493 ], + "LSR": [ "0" ], + "Q": [ 7146 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 495 ], + "LSR": [ "0" ], + "Q": [ 7147 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 497 ], + "LSR": [ "0" ], + "Q": [ 7148 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 499 ], + "LSR": [ "0" ], + "Q": [ 7149 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 501 ], + "LSR": [ "0" ], + "Q": [ 7150 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 503 ], + "LSR": [ "0" ], + "Q": [ 7151 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 547 ], + "LSR": [ "0" ], + "Q": [ 631 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 545 ], + "LSR": [ "0" ], + "Q": [ 7152 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 505 ], + "LSR": [ "0" ], + "Q": [ 7153 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 507 ], + "LSR": [ "0" ], + "Q": [ 7154 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 509 ], + "LSR": [ "0" ], + "Q": [ 7155 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 511 ], + "LSR": [ "0" ], + "Q": [ 7156 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 513 ], + "LSR": [ "0" ], + "Q": [ 7157 ] + } + }, + "myMem_1_.myReg_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6831.3-6841.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7122 ], + "CLK": [ 3 ], + "DI": [ 515 ], + "LSR": [ "0" ], + "Q": [ 7158 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 605 ], + "D": [ 7129 ], + "Z": [ 7159 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 605 ], + "D": [ 7130 ], + "Z": [ 7160 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7133 ], + "C": [ 563 ], + "D": [ 575 ], + "Z": [ 7161 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7134 ], + "C": [ 562 ], + "D": [ 575 ], + "Z": [ 7162 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7135 ], + "C": [ 567 ], + "D": [ 575 ], + "Z": [ 7163 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7136 ], + "C": [ 7047 ], + "D": [ 7048 ], + "Z": [ 7164 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 7165 ], + "C": [ 619 ], + "D": [ 7166 ], + "Z": [ 7167 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_14_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 577 ], + "B": [ 6867 ], + "C": [ 7168 ], + "D": [ 7169 ], + "Z": [ 7165 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_14_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000001110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7137 ], + "C": [ 571 ], + "D": [ 575 ], + "Z": [ 7166 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_14_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 582 ], + "B": [ 6981 ], + "C": [ 584 ], + "D": [ 7014 ], + "Z": [ 7168 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_14_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 586 ], + "B": [ 7106 ], + "C": [ 7073 ], + "D": [ 589 ], + "Z": [ 7169 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111110001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7138 ], + "C": [ 7170 ], + "D": [ 619 ], + "Z": [ 7171 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_15_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 577 ], + "B": [ 6868 ], + "C": [ 7172 ], + "D": [ 7173 ], + "Z": [ 7170 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_15_C_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 582 ], + "B": [ 6980 ], + "C": [ 584 ], + "D": [ 7009 ], + "Z": [ 7172 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_15_C_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 586 ], + "B": [ 7096 ], + "C": [ 7072 ], + "D": [ 589 ], + "Z": [ 7173 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111110001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7139 ], + "C": [ 7174 ], + "D": [ 619 ], + "Z": [ 7175 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_16_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 577 ], + "B": [ 6874 ], + "C": [ 7176 ], + "D": [ 7177 ], + "Z": [ 7174 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_16_C_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 582 ], + "B": [ 6987 ], + "C": [ 584 ], + "D": [ 7012 ], + "Z": [ 7176 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_16_C_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 586 ], + "B": [ 7098 ], + "C": [ 7079 ], + "D": [ 589 ], + "Z": [ 7177 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111010001000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7178 ], + "B": [ 619 ], + "C": [ 605 ], + "D": [ 7140 ], + "Z": [ 7179 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_17_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 586 ], + "B": [ 7100 ], + "C": [ 7180 ], + "D": [ 7181 ], + "Z": [ 7178 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_17_A_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6881 ], + "B": [ 6986 ], + "C": [ 7078 ], + "D": [ 589 ], + "Z": [ 7181 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_17_A_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 633 ], + "B": [ 7006 ], + "C": [ 577 ], + "D": [ 6875 ], + "Z": [ 7180 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111010001000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7182 ], + "B": [ 619 ], + "C": [ 605 ], + "D": [ 7142 ], + "Z": [ 7183 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_18_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6881 ], + "B": [ 6992 ], + "C": [ 7184 ], + "D": [ 7185 ], + "Z": [ 7182 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_18_A_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 586 ], + "B": [ 7095 ], + "C": [ 7084 ], + "D": [ 589 ], + "Z": [ 7185 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_18_A_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 633 ], + "B": [ 6995 ], + "C": [ 577 ], + "D": [ 6876 ], + "Z": [ 7184 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111110001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7143 ], + "C": [ 7186 ], + "D": [ 619 ], + "Z": [ 7187 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_19_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 577 ], + "B": [ 6877 ], + "C": [ 7188 ], + "D": [ 7189 ], + "Z": [ 7186 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_19_C_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 582 ], + "B": [ 6991 ], + "C": [ 584 ], + "D": [ 7004 ], + "Z": [ 7188 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_19_C_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 586 ], + "B": [ 7090 ], + "C": [ 7083 ], + "D": [ 589 ], + "Z": [ 7189 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 605 ], + "D": [ 7141 ], + "Z": [ 7190 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111010011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 581 ], + "B": [ 619 ], + "C": [ 576 ], + "D": [ 593 ], + "Z": [ 7191 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7144 ], + "C": [ 7192 ], + "D": [ 602 ], + "Z": [ 7193 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_21_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6878 ], + "B": [ 577 ], + "C": [ 7194 ], + "D": [ 619 ], + "Z": [ 7192 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_21_C_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7195 ], + "BLUT": [ 7196 ], + "C0": [ 7197 ], + "Z": [ 7194 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_21_C_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 584 ], + "B": [ 7019 ], + "C": [ 7110 ], + "D": [ 586 ], + "Z": [ 7195 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_21_C_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7196 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7198 ], + "B": [ 7199 ], + "C": [ 619 ], + "D": [ 7200 ], + "Z": [ 7201 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_22_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 584 ], + "B": [ 7011 ], + "C": [ 7060 ], + "D": [ 589 ], + "Z": [ 7198 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_22_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 577 ], + "C": [ 6879 ], + "D": [ 7202 ], + "Z": [ 7199 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_22_A_LUT4_Z_1_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 582 ], + "B": [ 6967 ], + "C": [ 7097 ], + "D": [ 586 ], + "Z": [ 7202 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_22_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7145 ], + "C": [ 967 ], + "D": [ 6907 ], + "Z": [ 7200 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 7203 ], + "C": [ 619 ], + "D": [ 7204 ], + "Z": [ 7205 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_23_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 577 ], + "B": [ 6880 ], + "C": [ 7206 ], + "D": [ 7207 ], + "Z": [ 7203 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_23_B_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7146 ], + "C": [ 969 ], + "D": [ 6907 ], + "Z": [ 7204 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_23_B_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 582 ], + "B": [ 6966 ], + "C": [ 584 ], + "D": [ 7008 ], + "Z": [ 7206 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_23_B_LUT4_Z_C_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 586 ], + "B": [ 7102 ], + "C": [ 7059 ], + "D": [ 589 ], + "Z": [ 7207 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7208 ], + "B": [ 7209 ], + "C": [ 619 ], + "D": [ 7210 ], + "Z": [ 7211 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_24_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 584 ], + "B": [ 7013 ], + "C": [ 7065 ], + "D": [ 589 ], + "Z": [ 7208 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_24_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 577 ], + "C": [ 6869 ], + "D": [ 7212 ], + "Z": [ 7209 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_24_A_LUT4_Z_1_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 582 ], + "B": [ 6972 ], + "C": [ 7105 ], + "D": [ 586 ], + "Z": [ 7212 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_24_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7147 ], + "C": [ 971 ], + "D": [ 6907 ], + "Z": [ 7210 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7213 ], + "B": [ 7214 ], + "C": [ 619 ], + "D": [ 7215 ], + "Z": [ 7216 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_25_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 584 ], + "B": [ 7007 ], + "C": [ 7069 ], + "D": [ 589 ], + "Z": [ 7213 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_25_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 577 ], + "C": [ 6871 ], + "D": [ 7217 ], + "Z": [ 7214 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_25_A_LUT4_Z_1_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 582 ], + "B": [ 6977 ], + "C": [ 7101 ], + "D": [ 586 ], + "Z": [ 7217 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_25_A_LUT4_Z_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7149 ], + "C": [ 975 ], + "D": [ 6907 ], + "Z": [ 7215 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_25_A_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6881 ], + "B": [ 6961 ], + "C": [ 7054 ], + "D": [ 589 ], + "Z": [ 7197 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_25_A_LUT4_Z_A_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 439 ], + "C": [ 616 ], + "D": [ 7025 ], + "Z": [ 584 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7152 ], + "C": [ 615 ], + "D": [ 620 ], + "Z": [ 7218 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7151 ], + "C": [ 575 ], + "D": [ 895 ], + "Z": [ 7219 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 7154 ], + "C": [ 605 ], + "D": [ 7220 ], + "Z": [ 7221 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_4_D_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1001011000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7222 ], + "B": [ 934 ], + "C": [ 935 ], + "D": [ 575 ], + "Z": [ 7220 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_4_D_LUT4_Z_A_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0010101100100010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 937 ], + "B": [ 938 ], + "C": [ 939 ], + "D": [ 940 ], + "Z": [ 7222 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111110001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7155 ], + "C": [ 7223 ], + "D": [ 575 ], + "Z": [ 7224 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_5_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011010001001011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 939 ], + "B": [ 940 ], + "C": [ 937 ], + "D": [ 938 ], + "Z": [ 7223 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 605 ], + "D": [ 7157 ], + "Z": [ 7225 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 605 ], + "D": [ 7158 ], + "Z": [ 7226 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 605 ], + "D": [ 7131 ], + "Z": [ 7227 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7132 ], + "C": [ 557 ], + "D": [ 575 ], + "Z": [ 7228 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7229 ], + "BLUT": [ 7230 ], + "C0": [ 575 ], + "Z": [ 7231 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_1": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7232 ], + "BLUT": [ 7233 ], + "C0": [ 575 ], + "Z": [ 7234 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_1_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111111111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7156 ], + "C": [ 940 ], + "D": [ 939 ], + "Z": [ 7232 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_1_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 605 ], + "D": [ 7156 ], + "Z": [ 7233 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_2": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7235 ], + "BLUT": [ 7236 ], + "C0": [ 7237 ], + "Z": [ 7238 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_2_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7235 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_2_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7148 ], + "C": [ 973 ], + "D": [ 6907 ], + "Z": [ 7236 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_2_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 6870 ], + "B": [ 577 ], + "C": [ 7239 ], + "D": [ 619 ], + "Z": [ 7237 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_2_C0_LUT4_Z_C_PFUMX_Z": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7240 ], + "BLUT": [ 7241 ], + "C0": [ 7242 ], + "Z": [ 7239 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_2_C0_LUT4_Z_C_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 633 ], + "B": [ 7005 ], + "C": [ 6971 ], + "D": [ 582 ], + "Z": [ 7240 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_2_C0_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7241 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_2_C0_LUT4_Z_C_PFUMX_Z_C0_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011101110111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 586 ], + "B": [ 7099 ], + "C": [ 7064 ], + "D": [ 589 ], + "Z": [ 7242 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_3": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7243 ], + "BLUT": [ 7244 ], + "C0": [ 7126 ], + "Z": [ 7245 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_3_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7243 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_3_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111100010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7150 ], + "C": [ 977 ], + "D": [ 6907 ], + "Z": [ 7244 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_4": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7246 ], + "BLUT": [ 7247 ], + "C0": [ 632 ], + "Z": [ 7248 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_4_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 639 ], + "B": [ 643 ], + "C": [ 637 ], + "D": [ 619 ], + "Z": [ 7246 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_4_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7247 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111111111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 605 ], + "B": [ 7153 ], + "C": [ 7249 ], + "D": [ 933 ], + "Z": [ 7229 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_ALUT_LUT4_Z_C_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111100001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 7222 ], + "C": [ 935 ], + "D": [ 934 ], + "Z": [ 7249 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_BLUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:135.39-136.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 605 ], + "D": [ 7153 ], + "Z": [ 7230 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7159 ], + "LSR": [ "0" ], + "Q": [ 5357 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7160 ], + "LSR": [ "0" ], + "Q": [ 5272 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7227 ], + "LSR": [ "0" ], + "Q": [ 5234 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7228 ], + "LSR": [ "0" ], + "Q": [ 5239 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7161 ], + "LSR": [ "0" ], + "Q": [ 5243 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7162 ], + "LSR": [ "0" ], + "Q": [ 5247 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7163 ], + "LSR": [ "0" ], + "Q": [ 5251 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7164 ], + "LSR": [ "0" ], + "Q": [ 5255 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7167 ], + "LSR": [ "0" ], + "Q": [ 5259 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7171 ], + "LSR": [ "0" ], + "Q": [ 5263 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7175 ], + "LSR": [ "0" ], + "Q": [ 5267 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7179 ], + "LSR": [ "0" ], + "Q": [ 5271 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7190 ], + "LSR": [ "0" ], + "Q": [ 5316 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7183 ], + "LSR": [ "0" ], + "Q": [ 5279 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7187 ], + "LSR": [ "0" ], + "Q": [ 5283 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7191 ], + "LSR": [ "0" ], + "Q": [ 5287 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7193 ], + "LSR": [ "0" ], + "Q": [ 5291 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7201 ], + "LSR": [ "0" ], + "Q": [ 5295 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7205 ], + "LSR": [ "0" ], + "Q": [ 5299 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7211 ], + "LSR": [ "0" ], + "Q": [ 5303 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7238 ], + "LSR": [ "0" ], + "Q": [ 5307 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7216 ], + "LSR": [ "0" ], + "Q": [ 5311 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7245 ], + "LSR": [ "0" ], + "Q": [ 5315 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7219 ], + "LSR": [ "0" ], + "Q": [ 5332 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7248 ], + "LSR": [ "0" ], + "Q": [ 5325 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7218 ], + "LSR": [ "0" ], + "Q": [ 5331 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7231 ], + "LSR": [ "0" ], + "Q": [ 5336 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7221 ], + "LSR": [ "0" ], + "Q": [ 5340 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7224 ], + "LSR": [ "0" ], + "Q": [ 5344 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7234 ], + "LSR": [ "0" ], + "Q": [ 5348 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7225 ], + "LSR": [ "0" ], + "Q": [ 5352 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5970.3-5972.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7226 ], + "LSR": [ "0" ], + "Q": [ 5356 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_valid_PFUMX_C0": { + "hide_name": 0, + "type": "PFUMX", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139.19-139.63" + }, + "port_directions": { + "ALUT": "input", + "BLUT": "input", + "C0": "input", + "Z": "output" + }, + "connections": { + "ALUT": [ 7250 ], + "BLUT": [ 6626 ], + "C0": [ 7251 ], + "Z": [ 5603 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_valid_PFUMX_C0_ALUT_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:137.39-138.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ "0" ], + "Z": [ 7250 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_valid_PFUMX_C0_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 953 ], + "C": [ 954 ], + "D": [ 6372 ], + "Z": [ 5602 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_valid_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5954.3-5968.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7252 ], + "LSR": [ 71 ], + "Q": [ 7251 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_valid_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 397 ], + "D": [ 944 ], + "Z": [ 7252 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.state_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5954.3-5968.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:63.157-63.208" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 7253 ], + "LSR": [ 71 ], + "Q": [ 944 ] + } + }, + "pipelinedMemoryBusToApbBridge_1_.state_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 944 ], + "D": [ 599 ], + "Z": [ 7253 ] + } + }, + "resetCtrl_mainClockReset_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8149.3-8155.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:16.100-16.154" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 999 ], + "LSR": [ "0" ], + "Q": [ 525 ] + } + }, + "resetCtrl_systemClockReset_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "1 ", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "SET", + "SRMODE": "LSR_OVER_CE" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:8149.3-8155.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:69.162-69.213" + }, + "port_directions": { + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 3 ], + "DI": [ 999 ], + "LSR": [ 6358 ], + "Q": [ 71 ] + } + }, + "systemDebugger_1_.dispatcher_counter_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5849.3-5873.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7254 ], + "CLK": [ 3 ], + "DI": [ 7255 ], + "LSR": [ 525 ], + "Q": [ 7256 ] + } + }, + "systemDebugger_1_.dispatcher_counter_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5849.3-5873.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7254 ], + "CLK": [ 3 ], + "DI": [ 7257 ], + "LSR": [ 525 ], + "Q": [ 7258 ] + } + }, + "systemDebugger_1_.dispatcher_counter_TRELLIS_FF_Q_1_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6454 ], + "C": [ 7259 ], + "D": [ 7258 ], + "Z": [ 7257 ] + } + }, + "systemDebugger_1_.dispatcher_counter_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5849.3-5873.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7254 ], + "CLK": [ 3 ], + "DI": [ 7260 ], + "LSR": [ 525 ], + "Q": [ 7259 ] + } + }, + "systemDebugger_1_.dispatcher_counter_TRELLIS_FF_Q_2_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 7259 ], + "D": [ 6454 ], + "Z": [ 7260 ] + } + }, + "systemDebugger_1_.dispatcher_counter_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 7261 ], + "C": [ 6454 ], + "D": [ 6456 ], + "Z": [ 7254 ] + } + }, + "systemDebugger_1_.dispatcher_counter_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000011100001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7259 ], + "B": [ 7258 ], + "C": [ 6454 ], + "D": [ 7256 ], + "Z": [ 7255 ] + } + }, + "systemDebugger_1_.dispatcher_dataLoaded_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000001100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 7262 ], + "C": [ 7263 ], + "D": [ 7264 ], + "Z": [ 7265 ] + } + }, + "systemDebugger_1_.dispatcher_dataLoaded_LUT4_D_Z_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7266 ], + "B": [ 7267 ], + "C": [ 7265 ], + "D": [ 7268 ], + "Z": [ 530 ] + } + }, + "systemDebugger_1_.dispatcher_dataLoaded_LUT4_D_Z_LUT4_C_Z_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 527 ], + "D": [ 528 ], + "Z": [ 2738 ] + } + }, + "systemDebugger_1_.dispatcher_dataLoaded_LUT4_D_Z_LUT4_C_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 1019 ], + "D": [ 1018 ], + "Z": [ 528 ] + } + }, + "systemDebugger_1_.dispatcher_dataLoaded_LUT4_D_Z_LUT4_C_Z_LUT4_Z_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 5362 ], + "B": [ 5365 ], + "C": [ 5363 ], + "D": [ 2719 ], + "Z": [ 529 ] + } + }, + "systemDebugger_1_.dispatcher_dataLoaded_LUT4_D_Z_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7269 ], + "B": [ 7270 ], + "C": [ 7271 ], + "D": [ 7272 ], + "Z": [ 7268 ] + } + }, + "systemDebugger_1_.dispatcher_dataLoaded_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5849.3-5873.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7273 ], + "CLK": [ 3 ], + "DI": [ 7274 ], + "LSR": [ 525 ], + "Q": [ 7264 ] + } + }, + "systemDebugger_1_.dispatcher_dataLoaded_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 6454 ], + "C": [ 6456 ], + "D": [ 524 ], + "Z": [ 7273 ] + } + }, + "systemDebugger_1_.dispatcher_dataLoaded_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:115.33-116.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ "0" ], + "D": [ 524 ], + "Z": [ 7274 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 6453 ], + "LSR": [ "0" ], + "Q": [ 7275 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7275 ], + "LSR": [ "0" ], + "Q": [ 5368 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_10": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1743 ], + "LSR": [ "0" ], + "Q": [ 1745 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_11": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1745 ], + "LSR": [ "0" ], + "Q": [ 1747 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_12": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1747 ], + "LSR": [ "0" ], + "Q": [ 1693 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_13": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1693 ], + "LSR": [ "0" ], + "Q": [ 1695 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_14": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1695 ], + "LSR": [ "0" ], + "Q": [ 1697 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_15": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1697 ], + "LSR": [ "0" ], + "Q": [ 1699 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_16": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1699 ], + "LSR": [ "0" ], + "Q": [ 1683 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_17": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1683 ], + "LSR": [ "0" ], + "Q": [ 1702 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_18": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1702 ], + "LSR": [ "0" ], + "Q": [ 1704 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_19": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1704 ], + "LSR": [ "0" ], + "Q": [ 1706 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 5360 ], + "LSR": [ "0" ], + "Q": [ 1689 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_20": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1706 ], + "LSR": [ "0" ], + "Q": [ 1708 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_21": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1708 ], + "LSR": [ "0" ], + "Q": [ 1710 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_22": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1710 ], + "LSR": [ "0" ], + "Q": [ 1714 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_23": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1714 ], + "LSR": [ "0" ], + "Q": [ 1716 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_24": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1716 ], + "LSR": [ "0" ], + "Q": [ 1718 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_25": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1718 ], + "LSR": [ "0" ], + "Q": [ 1720 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_26": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1720 ], + "LSR": [ "0" ], + "Q": [ 1722 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_27": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1722 ], + "LSR": [ "0" ], + "Q": [ 1724 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_28": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1724 ], + "LSR": [ "0" ], + "Q": [ 1726 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_29": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1726 ], + "LSR": [ "0" ], + "Q": [ 1728 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1689 ], + "LSR": [ "0" ], + "Q": [ 1691 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_30": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1728 ], + "LSR": [ "0" ], + "Q": [ 1730 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_31": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1730 ], + "LSR": [ "0" ], + "Q": [ 1732 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_32": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1732 ], + "LSR": [ "0" ], + "Q": [ 1736 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_33": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1774 ], + "LSR": [ "0" ], + "Q": [ 7276 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_34": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7276 ], + "LSR": [ "0" ], + "Q": [ 7277 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_35": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7277 ], + "LSR": [ "0" ], + "Q": [ 7278 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_36": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7278 ], + "LSR": [ "0" ], + "Q": [ 7279 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_37": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7279 ], + "LSR": [ "0" ], + "Q": [ 7280 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_38": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7280 ], + "LSR": [ "0" ], + "Q": [ 7281 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_39": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7281 ], + "LSR": [ "0" ], + "Q": [ 7282 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1691 ], + "LSR": [ "0" ], + "Q": [ 1712 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_40": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7282 ], + "LSR": [ "0" ], + "Q": [ 7283 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_41": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7283 ], + "LSR": [ "0" ], + "Q": [ 7284 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_42": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7284 ], + "LSR": [ "0" ], + "Q": [ 7285 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_43": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7285 ], + "LSR": [ "0" ], + "Q": [ 7286 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_44": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7286 ], + "LSR": [ "0" ], + "Q": [ 7287 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_45": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7287 ], + "LSR": [ "0" ], + "Q": [ 7288 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_46": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7288 ], + "LSR": [ "0" ], + "Q": [ 7289 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_47": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7289 ], + "LSR": [ "0" ], + "Q": [ 7290 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_48": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7290 ], + "LSR": [ "0" ], + "Q": [ 7291 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_49": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7291 ], + "LSR": [ "0" ], + "Q": [ 7292 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1712 ], + "LSR": [ "0" ], + "Q": [ 1734 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_50": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7292 ], + "LSR": [ "0" ], + "Q": [ 7293 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_51": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7293 ], + "LSR": [ "0" ], + "Q": [ 7294 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_52": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7294 ], + "LSR": [ "0" ], + "Q": [ 7295 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_53": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7295 ], + "LSR": [ "0" ], + "Q": [ 7296 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_54": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7296 ], + "LSR": [ "0" ], + "Q": [ 7297 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_55": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7297 ], + "LSR": [ "0" ], + "Q": [ 7298 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_56": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7298 ], + "LSR": [ "0" ], + "Q": [ 7299 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_57": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 7299 ], + "LSR": [ "0" ], + "Q": [ 5358 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_58": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 5358 ], + "LSR": [ "0" ], + "Q": [ 5359 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_59": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 5359 ], + "LSR": [ "0" ], + "Q": [ 5367 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1734 ], + "LSR": [ "0" ], + "Q": [ 1738 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_60": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 5367 ], + "LSR": [ "0" ], + "Q": [ 5366 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_61": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 5366 ], + "LSR": [ "0" ], + "Q": [ 5362 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_62": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 5362 ], + "LSR": [ "0" ], + "Q": [ 2719 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1738 ], + "LSR": [ "0" ], + "Q": [ 1740 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_8": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1740 ], + "LSR": [ "0" ], + "Q": [ 1684 ] + } + }, + "systemDebugger_1_.dispatcher_dataShifter_TRELLIS_FF_Q_9": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 3726 ], + "CLK": [ 3 ], + "DI": [ 1684 ], + "LSR": [ "0" ], + "Q": [ 1743 ] + } + }, + "systemDebugger_1_.dispatcher_headerLoaded_LUT4_C": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 7261 ], + "D": [ 6456 ], + "Z": [ 7300 ] + } + }, + "systemDebugger_1_.dispatcher_headerLoaded_LUT4_D": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 6456 ], + "D": [ 7261 ], + "Z": [ 3726 ] + } + }, + "systemDebugger_1_.dispatcher_headerLoaded_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "ASYNC" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5849.3-5873.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:73.163-73.222" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7301 ], + "CLK": [ 3 ], + "DI": [ 7302 ], + "LSR": [ 525 ], + "Q": [ 7261 ] + } + }, + "systemDebugger_1_.dispatcher_headerLoaded_TRELLIS_FF_Q_CE_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:120.33-121.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ "0" ], + "C": [ 524 ], + "D": [ 6456 ], + "Z": [ 7301 ] + } + }, + "systemDebugger_1_.dispatcher_headerLoaded_TRELLIS_FF_Q_DI_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:125.33-126.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 7303 ], + "C": [ 7261 ], + "D": [ 524 ], + "Z": [ 7302 ] + } + }, + "systemDebugger_1_.dispatcher_headerLoaded_TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_Z": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000001111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:129.32-130.56" + }, + "port_directions": { + "A": "input", + "B": "input", + "C": "input", + "D": "input", + "Z": "output" + }, + "connections": { + "A": [ 7256 ], + "B": [ 7258 ], + "C": [ 7259 ], + "D": [ 6454 ], + "Z": [ 7303 ] + } + }, + "systemDebugger_1_.dispatcher_headerShifter_TRELLIS_FF_Q": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7300 ], + "CLK": [ 3 ], + "DI": [ 6453 ], + "LSR": [ "0" ], + "Q": [ 7263 ] + } + }, + "systemDebugger_1_.dispatcher_headerShifter_TRELLIS_FF_Q_1": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7300 ], + "CLK": [ 3 ], + "DI": [ 7263 ], + "LSR": [ "0" ], + "Q": [ 7262 ] + } + }, + "systemDebugger_1_.dispatcher_headerShifter_TRELLIS_FF_Q_2": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7300 ], + "CLK": [ 3 ], + "DI": [ 7262 ], + "LSR": [ "0" ], + "Q": [ 7272 ] + } + }, + "systemDebugger_1_.dispatcher_headerShifter_TRELLIS_FF_Q_3": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7300 ], + "CLK": [ 3 ], + "DI": [ 7272 ], + "LSR": [ "0" ], + "Q": [ 7271 ] + } + }, + "systemDebugger_1_.dispatcher_headerShifter_TRELLIS_FF_Q_4": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7300 ], + "CLK": [ 3 ], + "DI": [ 7271 ], + "LSR": [ "0" ], + "Q": [ 7270 ] + } + }, + "systemDebugger_1_.dispatcher_headerShifter_TRELLIS_FF_Q_5": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7300 ], + "CLK": [ 3 ], + "DI": [ 7270 ], + "LSR": [ "0" ], + "Q": [ 7269 ] + } + }, + "systemDebugger_1_.dispatcher_headerShifter_TRELLIS_FF_Q_6": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7300 ], + "CLK": [ 3 ], + "DI": [ 7269 ], + "LSR": [ "0" ], + "Q": [ 7267 ] + } + }, + "systemDebugger_1_.dispatcher_headerShifter_TRELLIS_FF_Q_7": { + "hide_name": 0, + "type": "TRELLIS_FF", + "parameters": { + "CEMUX": "CE", + "CLKMUX": "CLK", + "GSR": "DISABLED", + "LSRMUX": "LSR", + "REGSET": "RESET" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5875.3-5883.6|/usr/local/bin/../share/yosys/ecp5/cells_map.v:56.101-56.163" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "DI": "input", + "LSR": "input", + "Q": "output" + }, + "connections": { + "CE": [ 7300 ], + "CLK": [ 3 ], + "DI": [ 7267 ], + "LSR": [ "0" ], + "Q": [ 7266 ] + } + } + }, + "netnames": { + "_zz_11_": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7701.23-7701.30" + } + }, + "_zz_11__L6MUX21_SD_1_D0": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "_zz_11__L6MUX21_SD_1_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "_zz_11__L6MUX21_SD_1_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "_zz_11__L6MUX21_SD_1_D1": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "_zz_11__L6MUX21_SD_1_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "_zz_11__L6MUX21_SD_1_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "_zz_11__L6MUX21_SD_2_D0": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "_zz_11__L6MUX21_SD_2_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "_zz_11__L6MUX21_SD_2_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "_zz_11__L6MUX21_SD_2_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "_zz_11__L6MUX21_SD_2_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "_zz_11__L6MUX21_SD_2_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "_zz_11__L6MUX21_SD_2_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "_zz_11__L6MUX21_SD_2_D1": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "_zz_11__L6MUX21_SD_2_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "_zz_11__L6MUX21_SD_2_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "_zz_11__L6MUX21_SD_2_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "_zz_11__L6MUX21_SD_2_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "_zz_11__L6MUX21_SD_2_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "_zz_11__L6MUX21_SD_2_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "_zz_11__L6MUX21_SD_2_Z": { + "hide_name": 0, + "bits": [ 6701, 6717, 6360, 6388, 6688, 6420, 24 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "_zz_11__L6MUX21_SD_D0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "_zz_11__L6MUX21_SD_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "_zz_11__L6MUX21_SD_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "_zz_11__L6MUX21_SD_D0_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 36, 37, 41, 38, 29, 19, 12 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "_zz_11__L6MUX21_SD_D0_PFUMX_Z_C0_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 44, 45, 46, 47 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "_zz_11__L6MUX21_SD_D1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "_zz_11__L6MUX21_SD_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "_zz_11__L6MUX21_SD_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "_zz_11__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8171.21-8171.37" + } + }, + "_zz_13_": { + "hide_name": 0, + "bits": [ "0", "0", 150, 146, 142, 138, 134, 130, 126, 122, 118, 114, 107, 103, 99, 95, 41, 55, 56, 57, 59, 60, 61, 62, 48, 49, 50, 51, 52, 53, 54, 58 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7703.23-7703.30" + } + }, + "_zz_13__TRELLIS_FF_Q_10_DI": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_11_DI": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_12_DI": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_13_DI": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_14_DI": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_15_DI": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_16_DI": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_17_DI": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_18_DI": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_19_DI": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_20_DI": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_21_DI": { + "hide_name": 0, + "bits": [ 117 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_22_DI": { + "hide_name": 0, + "bits": [ 121 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_23_DI": { + "hide_name": 0, + "bits": [ 125 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_24_DI": { + "hide_name": 0, + "bits": [ 129 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_25_DI": { + "hide_name": 0, + "bits": [ 133 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_26_DI": { + "hide_name": 0, + "bits": [ 137 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_27_DI": { + "hide_name": 0, + "bits": [ 141 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_28_DI": { + "hide_name": 0, + "bits": [ 145 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_29_DI": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_3_DI": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_4_DI": { + "hide_name": 0, + "bits": [ 158 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_5_DI": { + "hide_name": 0, + "bits": [ 161 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_6_DI": { + "hide_name": 0, + "bits": [ 164 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_7_DI": { + "hide_name": 0, + "bits": [ 167 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_8_DI": { + "hide_name": 0, + "bits": [ 170 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_9_DI": { + "hide_name": 0, + "bits": [ 173 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_13__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8194.19-8194.43" + } + }, + "_zz_20_": { + "hide_name": 0, + "bits": [ 201, 198, 195, 192, 189, 185, 183, 179, 203, 200, 197, 194, 191, 188, 219, 217, 215, 213, 211, 209, 207, 205, 184, 181, 249, 245, 241, 237, 233, 229, 225, 222 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7710.23-7710.30" + } + }, + "_zz_20__PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 223 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "_zz_20__PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 224 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "_zz_20__PFUMX_Z_2_ALUT": { + "hide_name": 0, + "bits": [ 227 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "_zz_20__PFUMX_Z_2_BLUT": { + "hide_name": 0, + "bits": [ 228 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "_zz_20__PFUMX_Z_3_ALUT": { + "hide_name": 0, + "bits": [ 231 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "_zz_20__PFUMX_Z_3_BLUT": { + "hide_name": 0, + "bits": [ 232 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "_zz_20__PFUMX_Z_4_ALUT": { + "hide_name": 0, + "bits": [ 235 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "_zz_20__PFUMX_Z_4_BLUT": { + "hide_name": 0, + "bits": [ 236 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "_zz_20__PFUMX_Z_5_ALUT": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "_zz_20__PFUMX_Z_5_BLUT": { + "hide_name": 0, + "bits": [ 240 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "_zz_20__PFUMX_Z_6_ALUT": { + "hide_name": 0, + "bits": [ 243 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "_zz_20__PFUMX_Z_6_BLUT": { + "hide_name": 0, + "bits": [ 244 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "_zz_20__PFUMX_Z_7_ALUT": { + "hide_name": 0, + "bits": [ 247 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "_zz_20__PFUMX_Z_7_BLUT": { + "hide_name": 0, + "bits": [ 248 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "_zz_20__PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 220 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "_zz_20__PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 221 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "_zz_21_": { + "hide_name": 0, + "bits": [ 258, 257, 256, 254 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7711.23-7711.30" + } + }, + "_zz_21__LUT4_Z_D": { + "hide_name": 0, + "bits": [ 253, 252 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "_zz_23_": { + "hide_name": 0, + "bits": [ 262 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7713.23-7713.30" + } + }, + "_zz_23__PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 259 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "_zz_23__PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 260 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "_zz_24_": { + "hide_name": 0, + "bits": [ 269 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7714.23-7714.30" + } + }, + "_zz_24__TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 267 ], + "attributes": { + } + }, + "_zz_24__TRELLIS_FF_Q_CE_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 270 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "_zz_24__TRELLIS_FF_Q_CE_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 272 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "_zz_24__TRELLIS_FF_Q_CE_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 273 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "_zz_24__TRELLIS_FF_Q_CE_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 271 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "_zz_24__TRELLIS_FF_Q_CE_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 274 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "_zz_24__TRELLIS_FF_Q_CE_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "_zz_24__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 268 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8157.3-8183.6" + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 278 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 279 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 283 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 284 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 277 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 285 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 289 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "_zz_24__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 290 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "_zz_25_": { + "hide_name": 0, + "bits": [ 291 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7715.23-7715.30" + } + }, + "_zz_26_": { + "hide_name": 0, + "bits": [ 344, 343, 340, 338, 336, 334, 332, 330, 328, 326, 324, 322, 318, 316, 314, 312, 310, 308, 306, 304, 302, 300, 356, 354, 352, 350, 348, 346, 342, 320, 298, 296 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7716.23-7716.30" + } + }, + "_zz_27_": { + "hide_name": 0, + "bits": [ 382, 381, 379, 378, 377, 376, 375, 374, 373, 372, 371, 370, 368, 367, 366, 365, 364, 363, 362, 361, 360, 359, 388, 387, 386, 385, 384, 383, 380, 369, 358, 357 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7717.23-7717.30" + } + }, + "_zz_28_": { + "hide_name": 0, + "bits": [ 392, 391, 390, 389 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7718.23-7718.30" + } + }, + "_zz_30_": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7720.23-7720.30" + } + }, + "_zz_30__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 393 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8180.21-8180.39" + } + }, + "_zz_30__TRELLIS_FF_Q_DI_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 263, 264, 265, 266, 269, 280, 261 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "_zz_31_": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7721.23-7721.30" + } + }, + "_zz_31__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 293 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8205.19-8205.46" + } + }, + "_zz_32_": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407, 405, 403, 461, 459, 457, 455, 453, 451, 445, 423, 401, 399 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7722.23-7722.30" + } + }, + "_zz_32__TRELLIS_FF_Q_10_DI": { + "hide_name": 0, + "bits": [ 402 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_11_DI": { + "hide_name": 0, + "bits": [ 404 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_12_DI": { + "hide_name": 0, + "bits": [ 406 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_13_DI": { + "hide_name": 0, + "bits": [ 408 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_14_DI": { + "hide_name": 0, + "bits": [ 410 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_15_DI": { + "hide_name": 0, + "bits": [ 412 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_16_DI": { + "hide_name": 0, + "bits": [ 414 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_17_DI": { + "hide_name": 0, + "bits": [ 416 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_18_DI": { + "hide_name": 0, + "bits": [ 418 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_19_DI": { + "hide_name": 0, + "bits": [ 420 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 400 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_20_DI": { + "hide_name": 0, + "bits": [ 424 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_21_DI": { + "hide_name": 0, + "bits": [ 426 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_22_DI": { + "hide_name": 0, + "bits": [ 428 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_23_DI": { + "hide_name": 0, + "bits": [ 430 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_24_DI": { + "hide_name": 0, + "bits": [ 432 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_25_DI": { + "hide_name": 0, + "bits": [ 434 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_26_DI": { + "hide_name": 0, + "bits": [ 436 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_27_DI": { + "hide_name": 0, + "bits": [ 438 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_28_DI": { + "hide_name": 0, + "bits": [ 440 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_29_DI": { + "hide_name": 0, + "bits": [ 442 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 422 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_30_DI": { + "hide_name": 0, + "bits": [ 446 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_31_DI": { + "hide_name": 0, + "bits": [ 448 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_3_DI": { + "hide_name": 0, + "bits": [ 444 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_4_DI": { + "hide_name": 0, + "bits": [ 450 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_5_DI": { + "hide_name": 0, + "bits": [ 452 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_6_DI": { + "hide_name": 0, + "bits": [ 454 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_7_DI": { + "hide_name": 0, + "bits": [ 456 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_8_DI": { + "hide_name": 0, + "bits": [ 458 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_9_DI": { + "hide_name": 0, + "bits": [ 460 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_32__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 398 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8206.19-8206.46" + } + }, + "_zz_33_": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7723.23-7723.30" + } + }, + "_zz_33__TRELLIS_FF_Q_10_DI": { + "hide_name": 0, + "bits": [ 466 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_11_DI": { + "hide_name": 0, + "bits": [ 468 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_12_DI": { + "hide_name": 0, + "bits": [ 470 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_13_DI": { + "hide_name": 0, + "bits": [ 472 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_14_DI": { + "hide_name": 0, + "bits": [ 474 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_15_DI": { + "hide_name": 0, + "bits": [ 476 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_16_DI": { + "hide_name": 0, + "bits": [ 478 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_17_DI": { + "hide_name": 0, + "bits": [ 480 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_18_DI": { + "hide_name": 0, + "bits": [ 482 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_19_DI": { + "hide_name": 0, + "bits": [ 484 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 464 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_20_DI": { + "hide_name": 0, + "bits": [ 488 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_21_DI": { + "hide_name": 0, + "bits": [ 490 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_22_DI": { + "hide_name": 0, + "bits": [ 492 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_23_DI": { + "hide_name": 0, + "bits": [ 494 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_24_DI": { + "hide_name": 0, + "bits": [ 496 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_25_DI": { + "hide_name": 0, + "bits": [ 498 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_26_DI": { + "hide_name": 0, + "bits": [ 500 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 486 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_3_DI": { + "hide_name": 0, + "bits": [ 502 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_4_DI": { + "hide_name": 0, + "bits": [ 504 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_5_DI": { + "hide_name": 0, + "bits": [ 506 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_6_DI": { + "hide_name": 0, + "bits": [ 508 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_7_DI": { + "hide_name": 0, + "bits": [ 510 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_8_DI": { + "hide_name": 0, + "bits": [ 512 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_9_DI": { + "hide_name": 0, + "bits": [ 514 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_33__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 462 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "_zz_34_": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7724.23-7724.30" + } + }, + "_zz_34__TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 518 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8208.19-8208.46" + } + }, + "_zz_34__TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 520 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8208.19-8208.46" + } + }, + "_zz_34__TRELLIS_FF_Q_3_DI": { + "hide_name": 0, + "bits": [ 522 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8208.19-8208.46" + } + }, + "_zz_34__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 516 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8208.19-8208.46" + } + }, + "_zz_35_": { + "hide_name": 0, + "bits": [ 526 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7726.23-7726.30" + } + }, + "_zz_35__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 6454, 6456, 524 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "_zz_47_": { + "hide_name": 0, + "bits": [ 531 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7666.23-7666.30" + } + }, + "_zz_48_": { + "hide_name": 0, + "bits": [ 294 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7667.23-7667.30" + } + }, + "_zz_48__L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 532 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "_zz_48__L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 534 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "_zz_48__L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 535 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "_zz_48__L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 533 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "_zz_48__L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 536 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "_zz_48__L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 537 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "_zz_4_": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7694.23-7694.29" + } + }, + "_zz_4__L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "_zz_4__L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "_zz_5_": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7695.23-7695.29" + } + }, + "_zz_5__TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 538 ], + "attributes": { + } + }, + "_zz_5__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 539 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8157.3-8183.6" + } + }, + "_zz_7_": { + "hide_name": 0, + "bits": [ "0", "0", 151, 147, 143, 139, 135, 131, 127, 123, 119, 115, 108, 104, 100, 96, 92, 89, 86, 83, 80, 77, 174, 171, 168, 165, 162, 159, 156, 153, 110, 176 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7697.23-7697.29" + } + }, + "apb3Router_1_._zz_1_": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "hdlname": "apb3Router_1_ _zz_1_", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6934.23-6934.29" + } + }, + "apb3Router_1_._zz_2_": { + "hide_name": 0, + "bits": [ 540 ], + "attributes": { + "hdlname": "apb3Router_1_ _zz_2_", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6935.23-6935.29" + } + }, + "apb3Router_1_._zz_3_": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "apb3Router_1_ _zz_3_", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6931.23-6931.29" + } + }, + "apb3Router_1_._zz_4_": { + "hide_name": 0, + "bits": [ 7218, 7248, 7245, 7216, 7238, 7211, 7205, 7201, 7193, 7191, 7187, 7183, 7179, 7175, 7171, 7167, 7164, 7163, 7162, 7161, 7228, 7227, 7226, 7225, 7234, 7224, 7221, 7231, 7219, 7190, 7160, 7159 ], + "attributes": { + "hdlname": "apb3Router_1_ _zz_4_", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6932.23-6932.29" + } + }, + "apb3Router_1_.io_input_PADDR": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407 ], + "attributes": { + "hdlname": "apb3Router_1_ io_input_PADDR", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6896.23-6896.37" + } + }, + "apb3Router_1_.io_input_PENABLE": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "apb3Router_1_ io_input_PENABLE", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6898.23-6898.39" + } + }, + "apb3Router_1_.io_input_PRDATA": { + "hide_name": 0, + "bits": [ 7218, 7248, 7245, 7216, 7238, 7211, 7205, 7201, 7193, 7191, 7187, 7183, 7179, 7175, 7171, 7167, 7164, 7163, 7162, 7161, 7228, 7227, 7226, 7225, 7234, 7224, 7221, 7231, 7219, 7190, 7160, 7159 ], + "attributes": { + "hdlname": "apb3Router_1_ io_input_PRDATA", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6902.23-6902.38" + } + }, + "apb3Router_1_.io_input_PREADY": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "apb3Router_1_ io_input_PREADY", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6899.23-6899.38" + } + }, + "apb3Router_1_.io_input_PSEL": { + "hide_name": 0, + "bits": [ 7304, 542, 540 ], + "attributes": { + "hdlname": "apb3Router_1_ io_input_PSEL", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6897.23-6897.36", + "unused_bits": "0 " + } + }, + "apb3Router_1_.io_input_PWDATA": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "apb3Router_1_ io_input_PWDATA", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6901.23-6901.38" + } + }, + "apb3Router_1_.io_input_PWRITE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "apb3Router_1_ io_input_PWRITE", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6900.23-6900.38" + } + }, + "apb3Router_1_.io_outputs_0_PADDR": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407 ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_0_PADDR", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6904.23-6904.41" + } + }, + "apb3Router_1_.io_outputs_0_PENABLE": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_0_PENABLE", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6906.23-6906.43" + } + }, + "apb3Router_1_.io_outputs_0_PRDATA": { + "hide_name": 0, + "bits": [ 7305, 7306, 7307, 7308, 7309, 7310, 7311, 7312, 7313, 7314, "0", "0", "0", "0", "0", 7315, 7316, 7317, 7318, 7319, 7320, "0", "0", "0", 7321, 7322, 7323, 7324, 7325, "0", "0", "0" ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_0_PRDATA", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6910.23-6910.42", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 15 16 17 18 19 20 24 25 26 27 28" + } + }, + "apb3Router_1_.io_outputs_0_PREADY": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_0_PREADY", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6907.23-6907.42" + } + }, + "apb3Router_1_.io_outputs_0_PSEL": { + "hide_name": 0, + "bits": [ 7304 ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_0_PSEL", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6905.23-6905.40", + "unused_bits": "0 " + } + }, + "apb3Router_1_.io_outputs_0_PSLVERROR": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_0_PSLVERROR", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6911.23-6911.45" + } + }, + "apb3Router_1_.io_outputs_0_PWDATA": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_0_PWDATA", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6909.23-6909.42" + } + }, + "apb3Router_1_.io_outputs_0_PWRITE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_0_PWRITE", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6908.23-6908.42" + } + }, + "apb3Router_1_.io_outputs_1_PADDR": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407 ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_1_PADDR", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6912.23-6912.41" + } + }, + "apb3Router_1_.io_outputs_1_PENABLE": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_1_PENABLE", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6914.23-6914.43" + } + }, + "apb3Router_1_.io_outputs_1_PRDATA": { + "hide_name": 0, + "bits": [ 7326, 7327, 7328, 7329, 7330, 7331, 7332, 7333, 7334, 7335, 7336, 7337, 7338, 7339, 7340, 7341, 7342, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_1_PRDATA", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6918.23-6918.42", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16" + } + }, + "apb3Router_1_.io_outputs_1_PREADY": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_1_PREADY", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6915.23-6915.42" + } + }, + "apb3Router_1_.io_outputs_1_PSEL": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_1_PSEL", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6913.23-6913.40" + } + }, + "apb3Router_1_.io_outputs_1_PSLVERROR": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_1_PSLVERROR", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6919.23-6919.45" + } + }, + "apb3Router_1_.io_outputs_1_PWDATA": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_1_PWDATA", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6917.23-6917.42" + } + }, + "apb3Router_1_.io_outputs_1_PWRITE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_1_PWRITE", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6916.23-6916.42" + } + }, + "apb3Router_1_.io_outputs_2_PADDR": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407 ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_2_PADDR", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6920.23-6920.41" + } + }, + "apb3Router_1_.io_outputs_2_PENABLE": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_2_PENABLE", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6922.23-6922.43" + } + }, + "apb3Router_1_.io_outputs_2_PREADY": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_2_PREADY", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6923.23-6923.42" + } + }, + "apb3Router_1_.io_outputs_2_PSEL": { + "hide_name": 0, + "bits": [ 540 ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_2_PSEL", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6921.23-6921.40" + } + }, + "apb3Router_1_.io_outputs_2_PSLVERROR": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_2_PSLVERROR", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6927.23-6927.45" + } + }, + "apb3Router_1_.io_outputs_2_PWDATA": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_2_PWDATA", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6925.23-6925.42" + } + }, + "apb3Router_1_.io_outputs_2_PWRITE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "apb3Router_1_ io_outputs_2_PWRITE", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6924.23-6924.42" + } + }, + "apb3Router_1_.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "apb3Router_1_ mainClock", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6928.23-6928.32" + } + }, + "apb3Router_1_.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "apb3Router_1_ resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6929.23-6929.49" + } + }, + "apb3Router_1_.selIndex": { + "hide_name": 0, + "bits": [ 543, 541 ], + "attributes": { + "hdlname": "apb3Router_1_ selIndex", + "src": "PQVexRiscvUlx3s.v:7878.14-7913.4|PQVexRiscvUlx3s.v:6936.23-6936.31" + } + }, + "apb3Router_1__io_input_PRDATA": { + "hide_name": 0, + "bits": [ 7218, 7248, 7245, 7216, 7238, 7211, 7205, 7201, 7193, 7191, 7187, 7183, 7179, 7175, 7171, 7167, 7164, 7163, 7162, 7161, 7228, 7227, 7226, 7225, 7234, 7224, 7221, 7231, 7219, 7190, 7160, 7159 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7582.23-7582.52" + } + }, + "apb3Router_1__io_input_PREADY": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7581.23-7581.52" + } + }, + "apb3Router_1__io_outputs_0_PADDR": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7584.23-7584.55" + } + }, + "apb3Router_1__io_outputs_0_PENABLE": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7586.23-7586.57" + } + }, + "apb3Router_1__io_outputs_0_PSEL": { + "hide_name": 0, + "bits": [ 7304 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7585.23-7585.54", + "unused_bits": "0 " + } + }, + "apb3Router_1__io_outputs_0_PWDATA": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7588.23-7588.56" + } + }, + "apb3Router_1__io_outputs_0_PWRITE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7587.23-7587.56" + } + }, + "apb3Router_1__io_outputs_1_PADDR": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7589.23-7589.55" + } + }, + "apb3Router_1__io_outputs_1_PENABLE": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7591.23-7591.57" + } + }, + "apb3Router_1__io_outputs_1_PSEL": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7590.23-7590.54" + } + }, + "apb3Router_1__io_outputs_1_PWDATA": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7593.23-7593.56" + } + }, + "apb3Router_1__io_outputs_1_PWRITE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7592.23-7592.56" + } + }, + "apb3Router_1__io_outputs_2_PADDR": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7594.23-7594.55" + } + }, + "apb3Router_1__io_outputs_2_PENABLE": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7596.23-7596.57" + } + }, + "apb3Router_1__io_outputs_2_PSEL": { + "hide_name": 0, + "bits": [ 540 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7595.23-7595.54" + } + }, + "apb3Router_1__io_outputs_2_PWDATA": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7598.23-7598.56" + } + }, + "apb3Router_1__io_outputs_2_PWRITE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7597.23-7597.56" + } + }, + "apb3UartCtrl_1_._zz_10_": { + "hide_name": 0, + "bits": [ 545 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_10_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6010.23-6010.30" + } + }, + "apb3UartCtrl_1_._zz_10__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 544 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "apb3UartCtrl_1_._zz_11_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_11_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6011.23-6011.30" + } + }, + "apb3UartCtrl_1_._zz_12_": { + "hide_name": 0, + "bits": [ 547 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_12_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6012.23-6012.30" + } + }, + "apb3UartCtrl_1_._zz_12__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 546 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "apb3UartCtrl_1_._zz_13_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_13_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6013.23-6013.30" + } + }, + "apb3UartCtrl_1_._zz_14_": { + "hide_name": 0, + "bits": [ 549 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_14_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6014.23-6014.30" + } + }, + "apb3UartCtrl_1_._zz_14__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 548 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "apb3UartCtrl_1_._zz_15_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_15_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6015.23-6015.30" + } + }, + "apb3UartCtrl_1_._zz_16_": { + "hide_name": 0, + "bits": [ 551 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_16_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6016.23-6016.30" + } + }, + "apb3UartCtrl_1_._zz_16__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 550 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "apb3UartCtrl_1_._zz_17_": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_17_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6017.23-6017.30" + } + }, + "apb3UartCtrl_1_._zz_18_": { + "hide_name": 0, + "bits": [ 553 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_18_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6018.23-6018.30" + } + }, + "apb3UartCtrl_1_._zz_18__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 552 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8207.19-8207.46" + } + }, + "apb3UartCtrl_1_._zz_19_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_19_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6019.23-6019.30" + } + }, + "apb3UartCtrl_1_._zz_20_": { + "hide_name": 0, + "bits": [ 545 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_20_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6020.23-6020.30" + } + }, + "apb3UartCtrl_1_._zz_21_": { + "hide_name": 0, + "bits": [ 547 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_21_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6021.23-6021.30" + } + }, + "apb3UartCtrl_1_._zz_22_": { + "hide_name": 0, + "bits": [ 566, 567, 562, 563, 557 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_22_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6022.23-6022.30" + } + }, + "apb3UartCtrl_1_._zz_22__CCU2C_S0_2_COUT": { + "hide_name": 0, + "bits": [ "1", 7343, 561, 7344, 555, 7345 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6078.21-6078.90|/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "1 3 5" + } + }, + "apb3UartCtrl_1_._zz_22__CCU2C_S0_COUT": { + "hide_name": 0, + "bits": [ 561, 7346, 555, 7347, 556, 7348 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6078.21-6078.90|/usr/local/bin/../share/yosys/ecp5/arith_map.v:65.22-65.25", + "unused_bits": "1 3 4 5" + } + }, + "apb3UartCtrl_1_._zz_22__CCU2C_S0_S1": { + "hide_name": 0, + "bits": [ 567, 7349, 563, 7350, 558, 7351 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6078.21-6078.90|/usr/local/bin/../share/yosys/ecp5/arith_map.v:65.27-65.29", + "unused_bits": "1 3 4 5" + } + }, + "apb3UartCtrl_1_._zz_23_": { + "hide_name": 0, + "bits": [ "0", "1", "0", "1", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_23_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6064.15-6064.22" + } + }, + "apb3UartCtrl_1_._zz_7_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_7_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5991.23-5991.29" + } + }, + "apb3UartCtrl_1_._zz_9_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ _zz_9_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5993.23-5993.29" + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_interrupt": { + "hide_name": 0, + "bits": [ 572 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_interruptCtrl_interrupt", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6042.23-6042.53" + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_interrupt_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 568, 569, 570, 571 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable": { + "hide_name": 0, + "bits": [ 569 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_interruptCtrl_readIntEnable", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6039.23-6039.57" + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 581, 619, 576, 593 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable_LUT4_D_Z_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 577, 578, 579, 580 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 594 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable_TRELLIS_FF_Q_CE_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 595, 597 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable_TRELLIS_FF_Q_CE_LUT4_Z_C_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 549, 598, 574, 928, 604 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_writeIntEnable": { + "hide_name": 0, + "bits": [ 570 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_interruptCtrl_writeIntEnable", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6038.23-6038.58" + } + }, + "apb3UartCtrl_1_.bridge_interruptCtrl_writeIntEnable_LUT4_B_D": { + "hide_name": 0, + "bits": [ 571, 570, 575, 601 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_misc_breakDetected": { + "hide_name": 0, + "bits": [ 604 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_misc_breakDetected", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6047.23-6047.48" + } + }, + "apb3UartCtrl_1_.bridge_misc_breakDetected_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 590 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.bridge_misc_breakDetected_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 591 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.bridge_misc_breakDetected_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 606 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6323.3-6381.6" + } + }, + "apb3UartCtrl_1_.bridge_misc_breakDetected_TRELLIS_FF_Q_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 607 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.bridge_misc_doBreak": { + "hide_name": 0, + "bits": [ 610 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_misc_doBreak", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6050.23-6050.42" + } + }, + "apb3UartCtrl_1_.bridge_misc_doBreak_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 609 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6323.3-6381.6" + } + }, + "apb3UartCtrl_1_.bridge_misc_readError": { + "hide_name": 0, + "bits": [ 611 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_misc_readError", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6043.23-6043.44" + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_D": { + "hide_name": 0, + "bits": [ 439, 613 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_D_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 597, 603, 604, 605, 592 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_Z": { + "hide_name": 0, + "bits": [ 570, 596, 614, 603 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_Z_LUT4_C_B": { + "hide_name": 0, + "bits": [ 596, 7025 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_Z_LUT4_C_B_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 605, 7156, 940, 939, 575 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_Z_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 605, 7152, 615, 620 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_Z_LUT4_C_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 617 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_LUT4_A_Z_LUT4_C_Z_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 618 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.bridge_misc_readError_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 625 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.bridge_misc_readOverflowError": { + "hide_name": 0, + "bits": [ 627 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_misc_readOverflowError", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6045.23-6045.52" + } + }, + "apb3UartCtrl_1_.bridge_misc_readOverflowError_LUT4_A_Z": { + "hide_name": 0, + "bits": [ 569, 596, 629, 603 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_misc_readOverflowError_LUT4_A_Z_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 605, 631, 630 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_misc_readOverflowError_LUT4_A_Z_LUT4_C_Z_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 639, 643, 637, 619, 632 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_misc_readOverflowError_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 644 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.bridge_misc_readOverflowError_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 547, 598, 645 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_read_streamBreaked_payload": { + "hide_name": 0, + "bits": [ 612, 628, 977, 975, 973, 971, 969, 967 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_read_streamBreaked_payload", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6037.23-6037.56" + } + }, + "apb3UartCtrl_1_.bridge_uartConfigReg_clockDivider": { + "hide_name": 0, + "bits": [ "0", "1", "0", "1", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_uartConfigReg_clockDivider", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6030.23-6030.56" + } + }, + "apb3UartCtrl_1_.bridge_uartConfigReg_frame_dataLength": { + "hide_name": 0, + "bits": [ "1", "1", "1" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_uartConfigReg_frame_dataLength", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6027.23-6027.60" + } + }, + "apb3UartCtrl_1_.bridge_uartConfigReg_frame_parity": { + "hide_name": 0, + "bits": [ "0", "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_uartConfigReg_frame_parity", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6029.20-6029.53" + } + }, + "apb3UartCtrl_1_.bridge_uartConfigReg_frame_stop": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_uartConfigReg_frame_stop", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6028.20-6028.51" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_payload": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_payload", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6034.23-6034.60" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_1_": { + "hide_name": 0, + "bits": [ 647 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy _zz_1_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:933.23-933.29|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2_": { + "hide_name": 0, + "bits": [ 649 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy _zz_2_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:952.23-952.29|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__LUT4_C_Z": { + "hide_name": 0, + "bits": [ 653, 706, 707, 658, 654, 571 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__LUT4_C_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 655 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__LUT4_C_Z_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 656 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 663 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 664 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 665 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_3_": { + "hide_name": 0, + "bits": [ 678, 676, 674, 672, 686, 684, 682, 680 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy _zz_3_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:926.23-926.29|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_4_": { + "hide_name": 0, + "bits": [ 647 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy _zz_4_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:927.23-927.29|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_5_": { + "hide_name": 0, + "bits": [ 647, "0", "0", "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy _zz_5_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:928.23-928.29|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_7_": { + "hide_name": 0, + "bits": [ 7352, "0", "0", "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy _zz_7_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:930.23-930.29|PQVexRiscvUlx3s.v:6098.14-6110.4", + "unused_bits": "0 " + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy._zz_9_": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy _zz_9_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:932.23-932.29|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.io_flush": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy io_flush", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:920.23-920.31|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.io_occupancy": { + "hide_name": 0, + "bits": [ 564, 565, 559, 560, 554 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy io_occupancy", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:921.23-921.35|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.io_occupancy_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 687, 688, 669, 670 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.io_occupancy_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 564, 670, 668, 692, 689 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.io_pop_payload": { + "hide_name": 0, + "bits": [ 678, 676, 674, 672, 686, 684, 682, 680 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy io_pop_payload", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:919.23-919.37|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.io_push_payload": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy io_push_payload", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:916.23-916.38|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_full": { + "hide_name": 0, + "bits": [ 554 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy logic_full", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:951.23-951.33|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_full_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 648, 649, 650 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_full_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 695 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_full_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 696 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_value": { + "hide_name": 0, + "bits": [ 691, 668, 669, 693 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy logic_popPtr_value", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:943.23-943.41|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext": { + "hide_name": 0, + "bits": [ 701, 699, 698, 697 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy logic_popPtr_valueNext", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:942.23-942.45|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C": { + "hide_name": 0, + "bits": [ 700, 690, 691, 692, 668, 689 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 702 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 704 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 705 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 703 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 708 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 709 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 667, 668, 669, 670, 666 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_A_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 710 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_A_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 712 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_A_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 713 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_A_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 711 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_A_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 714 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_A_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 715 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_popPtr_willClear": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy logic_popPtr_willClear", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:941.23-941.45|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_ptrDif": { + "hide_name": 0, + "bits": [ 564, 565, 559, 560 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy logic_ptrDif", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:953.23-953.35|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_value": { + "hide_name": 0, + "bits": [ 690, 692, 688, 694 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy logic_pushPtr_value", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:937.23-937.42|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_valueNext": { + "hide_name": 0, + "bits": [ 718, 717, 716, 721 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy logic_pushPtr_valueNext", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:936.23-936.46|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_valueNext_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 719 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_valueNext_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 720 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_willClear": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy logic_pushPtr_willClear", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:935.23-935.46|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushPtr_willIncrement": { + "hide_name": 0, + "bits": [ 647 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy logic_pushPtr_willIncrement", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:934.23-934.50|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushing": { + "hide_name": 0, + "bits": [ 647 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy logic_pushing", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:948.23-948.36|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_ram.0.0.0_DO": { + "hide_name": 0, + "bits": [ 677, 675, 673, 671 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_ram.1.0.0_DO": { + "hide_name": 0, + "bits": [ 685, 683, 681, 679 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_risingOccupancy": { + "hide_name": 0, + "bits": [ 648 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy logic_risingOccupancy", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:947.23-947.44|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_risingOccupancy_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 722 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy mainClock", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:923.23-923.32|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:924.23-924.49|PQVexRiscvUlx3s.v:6098.14-6110.4" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy_io_occupancy": { + "hide_name": 0, + "bits": [ 564, 565, 559, 560, 554 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy_io_occupancy", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6003.23-6003.84" + } + }, + "apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_payload": { + "hide_name": 0, + "bits": [ 678, 676, 674, 672, 686, 684, 682, 680 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_payload", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6002.23-6002.86" + } + }, + "apb3UartCtrl_1_.io_apb_PADDR": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ io_apb_PADDR", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5978.23-5978.35" + } + }, + "apb3UartCtrl_1_.io_apb_PENABLE": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ io_apb_PENABLE", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5980.23-5980.37" + } + }, + "apb3UartCtrl_1_.io_apb_PRDATA": { + "hide_name": 0, + "bits": [ 7305, 7306, 7307, 7308, 7309, 7310, 7311, 7312, 7313, 7314, "0", "0", "0", "0", "0", 7315, 7316, 7317, 7318, 7319, 7320, "0", "0", "0", 7321, 7322, 7323, 7324, 7325, "0", "0", "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ io_apb_PRDATA", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5984.23-5984.36", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 15 16 17 18 19 20 24 25 26 27 28" + } + }, + "apb3UartCtrl_1_.io_apb_PREADY": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ io_apb_PREADY", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5981.23-5981.36" + } + }, + "apb3UartCtrl_1_.io_apb_PSEL": { + "hide_name": 0, + "bits": [ 7304 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ io_apb_PSEL", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5979.23-5979.34", + "unused_bits": "0 " + } + }, + "apb3UartCtrl_1_.io_apb_PWDATA": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ io_apb_PWDATA", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5983.23-5983.36" + } + }, + "apb3UartCtrl_1_.io_apb_PWRITE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ io_apb_PWRITE", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5982.23-5982.36" + } + }, + "apb3UartCtrl_1_.io_interrupt": { + "hide_name": 0, + "bits": [ 572 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ io_interrupt", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5987.23-5987.35" + } + }, + "apb3UartCtrl_1_.io_uart_rxd": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ io_uart_rxd", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5986.23-5986.34" + } + }, + "apb3UartCtrl_1_.io_uart_txd": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ io_uart_txd", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5985.23-5985.34" + } + }, + "apb3UartCtrl_1_.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ mainClock", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5988.23-5988.32" + } + }, + "apb3UartCtrl_1_.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5989.23-5989.49" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_._zz_1_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ _zz_1_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:810.23-810.29|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter": { + "hide_name": 0, + "bits": [ 769, 770, 739, 740, 734, 735, 729, 730, 723, 724, 765, 766, 760, 761, 755, 756, 750, 751, 744, 745 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ clockDivider_counter", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:818.23-818.43|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_CCU2C_A0_4_COUT": { + "hide_name": 0, + "bits": [ 769, 741, 7353, 736, 7354, 731, 7355, 725, 7356, 726, 7357, 762, 7358, 757, 7359, 752, 7360, 746, 7361, 747 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:903.32-903.64|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:38.23-38.25", + "unused_bits": "2 4 6 8 10 12 14 16 18 19" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_CCU2C_A0_COUT": { + "hide_name": 0, + "bits": [ "1", 769, 741, 7353, 736, 7354, 731, 7355, 725, 7356, 726, 7357, 762, 7358, 757, 7359, 752, 7360, 746, 7361 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:903.32-903.64|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "3 5 7 9 11 13 15 17 19" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_10_DI": { + "hide_name": 0, + "bits": [ 775 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_11_DI": { + "hide_name": 0, + "bits": [ 777 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_12_DI": { + "hide_name": 0, + "bits": [ 778 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_13_DI": { + "hide_name": 0, + "bits": [ 779 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_14_DI": { + "hide_name": 0, + "bits": [ 780 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_15_DI": { + "hide_name": 0, + "bits": [ 781 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_16_DI": { + "hide_name": 0, + "bits": [ 782 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_17_DI": { + "hide_name": 0, + "bits": [ 783 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_18_DI": { + "hide_name": 0, + "bits": [ 784 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_19_DI": { + "hide_name": 0, + "bits": [ 785 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 774 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 786 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_3_DI": { + "hide_name": 0, + "bits": [ 787 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_4_DI": { + "hide_name": 0, + "bits": [ 788 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_5_DI": { + "hide_name": 0, + "bits": [ 789 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_6_DI": { + "hide_name": 0, + "bits": [ 790 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_7_DI": { + "hide_name": 0, + "bits": [ 791 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_8_DI": { + "hide_name": 0, + "bits": [ 792 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_9_DI": { + "hide_name": 0, + "bits": [ 793 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 773 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:899.3-908.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_counter_TRELLIS_FF_Q_DI_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 771, 772, 742, 743, 737, 738, 732, 733, 727, 728, 767, 768, 763, 764, 758, 759, 753, 754, 748, 749 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:903.32-903.64|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:34.26-34.27" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.clockDivider_tick": { + "hide_name": 0, + "bits": [ 776 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ clockDivider_tick", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:819.23-819.40|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_config_clockDivider": { + "hide_name": 0, + "bits": [ "0", "1", "0", "1", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ io_config_clockDivider", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:795.23-795.45|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_config_frame_dataLength": { + "hide_name": 0, + "bits": [ "1", "1", "1" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ io_config_frame_dataLength", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:792.23-792.49|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_config_frame_parity": { + "hide_name": 0, + "bits": [ "0", "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ io_config_frame_parity", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:794.20-794.42|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_config_frame_stop": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ io_config_frame_stop", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:793.20-793.40|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_readBreak": { + "hide_name": 0, + "bits": [ 574 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ io_readBreak", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:806.23-806.35|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_readError": { + "hide_name": 0, + "bits": [ 626 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ io_readError", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:804.23-804.35|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_readError_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 794 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_readError_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 795 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_read_payload": { + "hide_name": 0, + "bits": [ 883, 881, 879, 877, 874, 871, 868, 866 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ io_read_payload", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:801.23-801.38|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_read_valid": { + "hide_name": 0, + "bits": [ 896 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ io_read_valid", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:799.23-799.36|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_uart_rxd": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ io_uart_rxd", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:803.23-803.34|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_uart_txd": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ io_uart_txd", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:802.23-802.34|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_writeBreak": { + "hide_name": 0, + "bits": [ 610 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ io_writeBreak", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:805.23-805.36|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_write_payload": { + "hide_name": 0, + "bits": [ 678, 676, 674, 672, 686, 684, 682, 680 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ io_write_payload", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:798.23-798.39|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.io_write_thrown_payload": { + "hide_name": 0, + "bits": [ 678, 676, 674, 672, 686, 684, 682, 680 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ io_write_thrown_payload", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:822.23-822.46|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ mainClock", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:807.23-807.32|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:808.23-808.49|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx._zz_2_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx _zz_2_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:357.23-357.29|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx._zz_8_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx _zz_8_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:364.23-364.29|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx._zz_9_": { + "hide_name": 0, + "bits": [ "0", "0", "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx _zz_9_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:365.23-365.29|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitCounter_value": { + "hide_name": 0, + "bits": [ 801, 802, 805 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx bitCounter_value", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:375.23-375.39|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitCounter_value_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 807, 806, 804 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:566.5-593.12|PQVexRiscvUlx3s.v:0.0-0.0|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitCounter_value_TRELLIS_FF_Q_DI_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 808, 796, 801 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter": { + "hide_name": 0, + "bits": [ 816, 812, 810 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx bitTimer_counter", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:373.23-373.39|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 811 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 815 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_2_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 817 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_2_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 818 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 809 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:553.3-594.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_DI_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 812, 810, 814 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 884, 797, 796, 821, 889 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_counter_TRELLIS_FF_Q_DI_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 812, 810, 816, 813, 819 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter": { + "hide_name": 0, + "bits": [ 837, 838, 832, 833, 827, 828, 822 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx break_counter", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:376.23-376.36|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_CCU2C_B0_3_COUT": { + "hide_name": 0, + "bits": [ "0", 837, 834, 7362, 829, 7363, 823, 7364 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:498.29-498.50|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "3 5 7" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_CCU2C_B0_COUT": { + "hide_name": 0, + "bits": [ 834, 7365, 829, 7366, 823, 7367, 824, 7368 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:498.29-498.50|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:65.22-65.25", + "unused_bits": "1 3 5 6 7" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_CCU2C_B0_S1": { + "hide_name": 0, + "bits": [ 840, 7369, 836, 7370, 831, 7371, 826, 7372 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:498.29-498.50|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:65.27-65.29", + "unused_bits": "1 3 5 6 7" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 843 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 844 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_3_DI": { + "hide_name": 0, + "bits": [ 845 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_4_DI": { + "hide_name": 0, + "bits": [ 846 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_5_DI": { + "hide_name": 0, + "bits": [ 847 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_6_DI": { + "hide_name": 0, + "bits": [ 848 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 841 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 842 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter_TRELLIS_FF_Q_DI_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 839, 840, 835, 836, 830, 831, 825 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:498.29-498.50|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:34.26-34.27" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_valid": { + "hide_name": 0, + "bits": [ 574 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx break_valid", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:377.23-377.34|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_valid_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 915, 851, 850, 917, 849 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.break_valid_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 833, 827, 828, 855 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_break": { + "hide_name": 0, + "bits": [ 574 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_break", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:353.23-353.31|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_configFrame_dataLength": { + "hide_name": 0, + "bits": [ "1", "1", "1" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_configFrame_dataLength", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:343.23-343.48|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_configFrame_parity": { + "hide_name": 0, + "bits": [ "0", "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_configFrame_parity", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:345.20-345.41|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_configFrame_stop": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_configFrame_stop", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:344.20-344.39|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_error": { + "hide_name": 0, + "bits": [ 626 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_error", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:352.23-352.31|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_read_payload": { + "hide_name": 0, + "bits": [ 883, 881, 879, 877, 874, 871, 868, 866 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_read_payload", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:349.23-349.38|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_read_valid": { + "hide_name": 0, + "bits": [ 896 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_read_valid", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:347.23-347.36|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_rxd": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_rxd", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:350.23-350.29|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_rxd_buffercc.buffers_0": { + "hide_name": 0, + "bits": [ 856 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_rxd_buffercc buffers_0", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:93.23-93.32|PQVexRiscvUlx3s.v:396.12-402.4|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_rxd_buffercc.buffers_1": { + "hide_name": 0, + "bits": [ 857 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_rxd_buffercc buffers_1", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:94.23-94.32|PQVexRiscvUlx3s.v:396.12-402.4|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_rxd_buffercc.io_dataIn": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_rxd_buffercc io_dataIn", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:88.23-88.32|PQVexRiscvUlx3s.v:396.12-402.4|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_rxd_buffercc.io_dataOut": { + "hide_name": 0, + "bits": [ 857 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_rxd_buffercc io_dataOut", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:89.23-89.33|PQVexRiscvUlx3s.v:396.12-402.4|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_rxd_buffercc.io_initial": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_rxd_buffercc io_initial", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:87.23-87.33|PQVexRiscvUlx3s.v:396.12-402.4|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_rxd_buffercc.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_rxd_buffercc mainClock", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:90.23-90.32|PQVexRiscvUlx3s.v:396.12-402.4|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_rxd_buffercc.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_rxd_buffercc resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:91.23-91.49|PQVexRiscvUlx3s.v:396.12-402.4|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_rxd_buffercc_io_dataOut": { + "hide_name": 0, + "bits": [ 857 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_rxd_buffercc_io_dataOut", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:358.23-358.49|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_samplingTick": { + "hide_name": 0, + "bits": [ 776 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx io_samplingTick", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:346.23-346.38|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_samplingTick_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 659, 660, 661, 662, 657 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_samplingTick_LUT4_Z_A_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 858 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_samplingTick_LUT4_Z_A_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 859 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.io_samplingTick_LUT4_Z_A_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 734, 735, 729, 730, 860 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx mainClock", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:354.23-354.32|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:355.23-355.49|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_samples_0": { + "hide_name": 0, + "bits": [ 857 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx sampler_samples_0", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:368.23-368.40|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_samples_1": { + "hide_name": 0, + "bits": [ 862 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx sampler_samples_1", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:369.23-369.40|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_samples_2": { + "hide_name": 0, + "bits": [ 863 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx sampler_samples_2", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:370.23-370.40|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_samples_2_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 861 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_synchroniser": { + "hide_name": 0, + "bits": [ 857 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx sampler_synchroniser", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:367.23-367.43|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_tick": { + "hide_name": 0, + "bits": [ 819 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx sampler_tick", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:372.23-372.35|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_value": { + "hide_name": 0, + "bits": [ 797 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx sampler_value", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:371.23-371.36|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_value_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 864 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:474.3-551.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter": { + "hide_name": 0, + "bits": [ 883, 881, 879, 877, 874, 871, 868, 866 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx stateMachine_shifter", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:380.23-380.43|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 867 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:0.0-0.0|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 870 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:0.0-0.0|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_3_DI": { + "hide_name": 0, + "bits": [ 873 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:0.0-0.0|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_4_DI": { + "hide_name": 0, + "bits": [ 876 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:0.0-0.0|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_5_DI": { + "hide_name": 0, + "bits": [ 878 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:0.0-0.0|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_5_DI_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 797, 868, 869, 805 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_6_DI": { + "hide_name": 0, + "bits": [ 880 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:0.0-0.0|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_6_DI_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 797, 871, 872, 805 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_7_DI": { + "hide_name": 0, + "bits": [ 882 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:0.0-0.0|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_7_DI_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 805, 875 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 820, 803, 805 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 865 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:0.0-0.0|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state": { + "hide_name": 0, + "bits": [ 798, 889, 799, 800 ], + "attributes": { + "onehot": "00000000000000000000000000000001" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 886 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_1_DI_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 797, 800, 796, 887 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 888 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_2_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 890 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_2_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 891 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_3_DI": { + "hide_name": 0, + "bits": [ 892 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_3_DI_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 893, 796, 894 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 885 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_validReg": { + "hide_name": 0, + "bits": [ 896 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx stateMachine_validReg", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:843.14-857.4|PQVexRiscvUlx3s.v:381.23-381.44|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx_io_break": { + "hide_name": 0, + "bits": [ 574 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx_io_break", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:817.23-817.34|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx_io_error": { + "hide_name": 0, + "bits": [ 626 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx_io_error", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:816.23-816.34|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx_io_read_payload": { + "hide_name": 0, + "bits": [ 883, 881, 879, 877, 874, 871, 868, 866 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx_io_read_payload", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:814.23-814.41|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.rx_io_read_valid": { + "hide_name": 0, + "bits": [ 896 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ rx_io_read_valid", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:813.23-813.39|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1_": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx _zz_1_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:157.23-157.29|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 897 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:260.3-304.6|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 898, 899, 610, 707 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_A_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 900, 901, 902, 903 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_A_LUT4_Z_A_PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 906 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_A_LUT4_Z_A_PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 907 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_A_LUT4_Z_A_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 904 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_A_LUT4_Z_A_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 905 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_3_": { + "hide_name": 0, + "bits": [ 776 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx _zz_3_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:143.23-143.29|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_4_": { + "hide_name": 0, + "bits": [ 776, "0", "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx _zz_4_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:144.23-144.29|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_5_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx _zz_5_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:145.23-145.29|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx._zz_6_": { + "hide_name": 0, + "bits": [ "0", "0", "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx _zz_6_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:146.23-146.29|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.clockDivider_counter_value": { + "hide_name": 0, + "bits": [ 852, 853, 854 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx clockDivider_counter_value", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:150.23-150.49|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.clockDivider_counter_valueNext": { + "hide_name": 0, + "bits": [ 910, 909, 908 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx clockDivider_counter_valueNext", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:149.23-149.53|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.clockDivider_counter_willClear": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx clockDivider_counter_willClear", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:148.23-148.53|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.clockDivider_counter_willIncrement": { + "hide_name": 0, + "bits": [ 776 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx clockDivider_counter_willIncrement", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:147.23-147.57|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.io_break": { + "hide_name": 0, + "bits": [ 610 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx io_break", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:138.23-138.31|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.io_configFrame_dataLength": { + "hide_name": 0, + "bits": [ "1", "1", "1" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx io_configFrame_dataLength", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:129.23-129.48|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.io_configFrame_parity": { + "hide_name": 0, + "bits": [ "0", "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx io_configFrame_parity", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:131.20-131.41|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.io_configFrame_stop": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx io_configFrame_stop", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:130.20-130.39|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.io_cts": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx io_cts", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:136.23-136.29|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.io_samplingTick": { + "hide_name": 0, + "bits": [ 776 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx io_samplingTick", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:132.23-132.38|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.io_txd": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx io_txd", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:137.23-137.29|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.io_write_payload": { + "hide_name": 0, + "bits": [ 678, 676, 674, 672, 686, 684, 682, 680 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx io_write_payload", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:135.23-135.39|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx mainClock", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:139.23-139.32|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:140.23-140.49|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state": { + "hide_name": 0, + "bits": [ 917, 915, 707, 899 ], + "attributes": { + "onehot": "00000000000000000000000000000001" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 912 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_1_DI_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 851, 915, 707, 913 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 914 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_3_DI": { + "hide_name": 0, + "bits": [ 916 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_3_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 918 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_3_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 919 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 911 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state_TRELLIS_FF_Q_DI_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 849, 915, 851, 920 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value": { + "hide_name": 0, + "bits": [ 651, 652, 706 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx tickCounter_value", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:153.23-153.40|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 923, 922, 921 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:829.14-842.4|PQVexRiscvUlx3s.v:313.5-336.12|PQVexRiscvUlx3s.v:0.0-0.0|PQVexRiscvUlx3s.v:6079.12-6097.4|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value_TRELLIS_FF_Q_DI_PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 926 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value_TRELLIS_FF_Q_DI_PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 927 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value_TRELLIS_FF_Q_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 924 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx.tickCounter_value_TRELLIS_FF_Q_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 925 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.uartCtrl_1_.tx_io_txd": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1_ tx_io_txd", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:812.23-812.32|PQVexRiscvUlx3s.v:6079.12-6097.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_readBreak": { + "hide_name": 0, + "bits": [ 574 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_readBreak", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5999.23-5999.47" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_readBreak_regNext": { + "hide_name": 0, + "bits": [ 928 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_readBreak_regNext", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6048.23-6048.55" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_readBreak_regNext_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 608 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_readError": { + "hide_name": 0, + "bits": [ 626 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_readError", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5998.23-5998.47" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_payload": { + "hide_name": 0, + "bits": [ 883, 881, 879, 877, 874, 871, 868, 866 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_payload", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5996.23-5996.50" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_1_": { + "hide_name": 0, + "bits": [ 929 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy _zz_1_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:933.23-933.29|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2_": { + "hide_name": 0, + "bits": [ 931 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy _zz_2_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:952.23-952.29|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_D": { + "hide_name": 0, + "bits": [ 930, 931, 932 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_D_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 933, 934, 935, 936 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z": { + "hide_name": 0, + "bits": [ 599, 600, 941, 574, 573 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C": { + "hide_name": 0, + "bits": [ 943, 411 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 413, 409, 407, 945, 599 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_C_Z_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 946 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_C_Z_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 948 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_C_Z_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 949 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_C_Z_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 947 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_C_Z_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 951 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__LUT4_C_Z_LUT4_Z_1_C_LUT4_C_Z_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 952 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 957 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:1038.3-1055.6|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 958 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 961 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 962 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 959 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 963 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_2__TRELLIS_FF_Q_DI_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 964 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_3_": { + "hide_name": 0, + "bits": [ 612, 628, 977, 975, 973, 971, 969, 967 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy _zz_3_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:926.23-926.29|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_4_": { + "hide_name": 0, + "bits": [ 929 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy _zz_4_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:927.23-927.29|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_5_": { + "hide_name": 0, + "bits": [ 929, "0", "0", "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy _zz_5_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:928.23-928.29|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_7_": { + "hide_name": 0, + "bits": [ 7373, "0", "0", "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy _zz_7_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:930.23-930.29|PQVexRiscvUlx3s.v:6111.14-6123.4", + "unused_bits": "0 " + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy._zz_9_": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy _zz_9_", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:932.23-932.29|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.io_flush": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy io_flush", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:920.23-920.31|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.io_pop_payload": { + "hide_name": 0, + "bits": [ 612, 628, 977, 975, 973, 971, 969, 967 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy io_pop_payload", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:919.23-919.37|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.io_push_payload": { + "hide_name": 0, + "bits": [ 883, 881, 879, 877, 874, 871, 868, 866 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy io_push_payload", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:916.23-916.38|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.io_push_valid": { + "hide_name": 0, + "bits": [ 896 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy io_push_valid", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:914.23-914.36|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_value": { + "hide_name": 0, + "bits": [ 940, 937, 934, 980 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy logic_popPtr_value", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:943.23-943.41|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext": { + "hide_name": 0, + "bits": [ 985, 983, 982, 981 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy logic_popPtr_valueNext", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:942.23-942.45|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C": { + "hide_name": 0, + "bits": [ 984, 937, 938, 940, 939 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_LUT4_A_1_Z": { + "hide_name": 0, + "bits": [ 987 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_LUT4_A_Z": { + "hide_name": 0, + "bits": [ 986 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 965, 937, 933, 934, 935, 960 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 989 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_valueNext_LUT4_Z_3_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 990 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_popPtr_willClear": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy logic_popPtr_willClear", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:941.23-941.45|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_value": { + "hide_name": 0, + "bits": [ 939, 938, 935, 988 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy logic_pushPtr_value", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:937.23-937.42|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_valueNext": { + "hide_name": 0, + "bits": [ 993, 992, 991, 996 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy logic_pushPtr_valueNext", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:936.23-936.46|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_valueNext_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 994 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_valueNext_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 995 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_willClear": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy logic_pushPtr_willClear", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:935.23-935.46|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushPtr_willIncrement": { + "hide_name": 0, + "bits": [ 929 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy logic_pushPtr_willIncrement", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:934.23-934.50|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushing": { + "hide_name": 0, + "bits": [ 929 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy logic_pushing", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:948.23-948.36|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_ram.0.0.0_DO": { + "hide_name": 0, + "bits": [ 979, 978, 976, 974 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_ram.1.0.0_DO": { + "hide_name": 0, + "bits": [ 972, 970, 968, 966 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_risingOccupancy": { + "hide_name": 0, + "bits": [ 930 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy logic_risingOccupancy", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:947.23-947.44|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_risingOccupancy_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 895, 896 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_risingOccupancy_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 997 ], + "attributes": { + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy mainClock", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:923.23-923.32|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:924.23-924.49|PQVexRiscvUlx3s.v:6111.14-6123.4" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy_io_pop_payload": { + "hide_name": 0, + "bits": [ 612, 628, 977, 975, 973, 971, 969, 967 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_queueWithOccupancy_io_pop_payload", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:6007.23-6007.76" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_read_valid": { + "hide_name": 0, + "bits": [ 896 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_read_valid", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5995.23-5995.48" + } + }, + "apb3UartCtrl_1_.uartCtrl_1__io_uart_txd": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "hdlname": "apb3UartCtrl_1_ uartCtrl_1__io_uart_txd", + "src": "PQVexRiscvUlx3s.v:7821.16-7834.4|PQVexRiscvUlx3s.v:5997.23-5997.46" + } + }, + "apb3UartCtrl_1__io_apb_PRDATA": { + "hide_name": 0, + "bits": [ 7305, 7306, 7307, 7308, 7309, 7310, 7311, 7312, 7313, 7314, "0", "0", "0", "0", "0", 7315, 7316, 7317, 7318, 7319, 7320, "0", "0", "0", 7321, 7322, 7323, 7324, 7325 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 15 16 17 18 19 20 24 25 26 27 28" + } + }, + "apb3UartCtrl_1__io_apb_PREADY": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7562.23-7562.52" + } + }, + "apb3UartCtrl_1__io_interrupt": { + "hide_name": 0, + "bits": [ 572 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7565.23-7565.51" + } + }, + "apb3UartCtrl_1__io_uart_txd": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7564.23-7564.50" + } + }, + "asyncReset": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7668.23-7668.33" + } + }, + "asyncReset_buffercc.buffers_0": { + "hide_name": 0, + "bits": [ 998 ], + "attributes": { + "hdlname": "asyncReset_buffercc buffers_0", + "src": "PQVexRiscvUlx3s.v:7730.15-7734.4|PQVexRiscvUlx3s.v:1449.23-1449.32" + } + }, + "asyncReset_buffercc.buffers_1": { + "hide_name": 0, + "bits": [ 999 ], + "attributes": { + "hdlname": "asyncReset_buffercc buffers_1", + "src": "PQVexRiscvUlx3s.v:7730.15-7734.4|PQVexRiscvUlx3s.v:1450.23-1450.32" + } + }, + "asyncReset_buffercc.io_dataIn": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "hdlname": "asyncReset_buffercc io_dataIn", + "src": "PQVexRiscvUlx3s.v:7730.15-7734.4|PQVexRiscvUlx3s.v:1445.23-1445.32" + } + }, + "asyncReset_buffercc.io_dataOut": { + "hide_name": 0, + "bits": [ 999 ], + "attributes": { + "hdlname": "asyncReset_buffercc io_dataOut", + "src": "PQVexRiscvUlx3s.v:7730.15-7734.4|PQVexRiscvUlx3s.v:1446.23-1446.33" + } + }, + "asyncReset_buffercc.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "asyncReset_buffercc mainClock", + "src": "PQVexRiscvUlx3s.v:7730.15-7734.4|PQVexRiscvUlx3s.v:1447.23-1447.32" + } + }, + "asyncReset_buffercc_io_dataOut": { + "hide_name": 0, + "bits": [ 999 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7529.23-7529.53" + } + }, + "core_cpu.BranchPlugin_jumpInterface_payload": { + "hide_name": 0, + "bits": [ "0", "x", 2075, 2072, 2069, 2066, 2063, 2060, 2057, 2054, 2051, 2048, 1927, 2038, 2035, 2032, 2029, 2026, 2023, 2020, 2017, 2014, 2097, 2094, 2091, 2088, 2085, 2082, 2079, 2076, 2043, 2099 ], + "attributes": { + "hdlname": "core_cpu BranchPlugin_jumpInterface_payload", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1990.23-1990.57" + } + }, + "core_cpu.CsrPlugin_exception": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_exception", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2129.23-2129.42" + } + }, + "core_cpu.CsrPlugin_hadException": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_hadException", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2137.23-2137.45" + } + }, + "core_cpu.CsrPlugin_inWfi": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_inWfi", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1976.23-1976.38" + } + }, + "core_cpu.CsrPlugin_interruptJump": { + "hide_name": 0, + "bits": [ 1004 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_interruptJump", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2136.23-2136.46" + } + }, + "core_cpu.CsrPlugin_interrupt_code": { + "hide_name": 0, + "bits": [ "1", "1", 1009, 1007 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_interrupt_code", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2127.23-2127.47" + } + }, + "core_cpu.CsrPlugin_interrupt_code_TRELLIS_FF_Q_1_LSR": { + "hide_name": 0, + "bits": [ 1446, 1281, 1012, 1008 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_interrupt_code_TRELLIS_FF_Q_1_LSR_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 1011 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.CsrPlugin_interrupt_code_TRELLIS_FF_Q_LSR": { + "hide_name": 0, + "bits": [ 1006 ], + "attributes": { + } + }, + "core_cpu.CsrPlugin_interrupt_targetPrivilege": { + "hide_name": 0, + "bits": [ "1", "1" ], + "attributes": { + "fsm_encoding": "auto", + "hdlname": "core_cpu CsrPlugin_interrupt_targetPrivilege", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2128.23-2128.58" + } + }, + "core_cpu.CsrPlugin_interrupt_valid": { + "hide_name": 0, + "bits": [ 1003 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_interrupt_valid", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2126.23-2126.48" + } + }, + "core_cpu.CsrPlugin_interrupt_valid_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 1883, 5605, 1548, 1016, 1021 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_interrupt_valid_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 1022 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu.CsrPlugin_jumpInterface_payload": { + "hide_name": 0, + "bits": [ "0", "0", 7374, 7375, 7376, 7377, 7378, 7379, 7380, 7381, 7382, 7383, 7384, 7385, 7386, 7387, 7388, 7389, 7390, 7391, 7392, 7393, 7394, 7395, 7396, 7397, 7398, 7399, 7400, 7401, 7402, 7403 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_jumpInterface_payload", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1979.23-1979.54", + "unused_bits": "2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31" + } + }, + "core_cpu.CsrPlugin_lastStageWasWfi": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_lastStageWasWfi", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2130.23-2130.48" + } + }, + "core_cpu.CsrPlugin_mcause_exceptionCode": { + "hide_name": 0, + "bits": [ "1", "1", 1023, 1039 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_mcause_exceptionCode", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2119.23-2119.53" + } + }, + "core_cpu.CsrPlugin_mcause_exceptionCode_LUT4_A_Z": { + "hide_name": 0, + "bits": [ 1028, 1029, 1027 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_mcause_interrupt": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_mcause_interrupt", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2118.23-2118.49" + } + }, + "core_cpu.CsrPlugin_mcycle": { + "hide_name": 0, + "bits": [ 1166, 1167, 1038, 1107, 1194, 1195, 1046, 1047, 1040, 1041, 1162, 1163, 1152, 1153, 1147, 1148, 1142, 1143, 1137, 1138, 1132, 1133, 1127, 1128, 1122, 1123, 1117, 1118, 1112, 1113, 1096, 1097, 1091, 1092, 1026, 1087, 1082, 1083, 1077, 1078, 1072, 1073, 1067, 1068, 1062, 1063, 1057, 1058, 1051, 1052, 1190, 1191, 1185, 1186, 1180, 1181, 1175, 1176, 1170, 1171, 1157, 1158, 1101, 1102 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_mcycle", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2121.23-2121.39" + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_2_COUT": { + "hide_name": 0, + "bits": [ 1166, 1108, 7404, 1109, 7405, 1048, 7406, 1042, 7407, 1043, 7408, 1154, 7409, 1149, 7410, 1144, 7411, 1139, 7412, 1134, 7413, 1129, 7414, 1124, 7415, 1119, 7416, 1114, 7417, 1098, 7418, 1093, 7419, 1088, 7420, 1084, 7421, 1079, 7422, 1074, 7423, 1069, 7424, 1064, 7425, 1059, 7426, 1053, 7427, 1054, 7428, 1187, 7429, 1182, 7430, 1177, 7431, 1172, 7432, 1159, 7433, 1103, 7434, 1104 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:38.23-38.25", + "unused_bits": "2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 54 56 58 60 62 63" + } + }, + "core_cpu.CsrPlugin_mcycle_CCU2C_B0_COUT": { + "hide_name": 0, + "bits": [ "0", 1166, 1108, 7404, 1109, 7405, 1048, 7406, 1042, 7407, 1043, 7408, 1154, 7409, 1149, 7410, 1144, 7411, 1139, 7412, 1134, 7413, 1129, 7414, 1124, 7415, 1119, 7416, 1114, 7417, 1098, 7418, 1093, 7419, 1088, 7420, 1084, 7421, 1079, 7422, 1074, 7423, 1069, 7424, 1064, 7425, 1059, 7426, 1053, 7427, 1054, 7428, 1187, 7429, 1182, 7430, 1177, 7431, 1172, 7432, 1159, 7433, 1103, 7434 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59 61 63" + } + }, + "core_cpu.CsrPlugin_mcycle_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 1168, 1169, 1110, 1111, 1196, 1197, 1049, 1050, 1044, 1045, 1164, 1165, 1155, 1156, 1150, 1151, 1145, 1146, 1140, 1141, 1135, 1136, 1130, 1131, 1125, 1126, 1120, 1121, 1115, 1116, 1099, 1100, 1094, 1095, 1089, 1090, 1085, 1086, 1080, 1081, 1075, 1076, 1070, 1071, 1065, 1066, 1060, 1061, 1055, 1056, 1192, 1193, 1188, 1189, 1183, 1184, 1178, 1179, 1173, 1174, 1160, 1161, 1105, 1106 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5115.26-5115.65|/usr/local/bin/../share/yosys/ecp5/arith_map.v:34.26-34.27" + } + }, + "core_cpu.CsrPlugin_mepc": { + "hide_name": 0, + "bits": [ "0", "0", 1243, 1241, 1239, 1237, 1235, 1233, 1231, 1229, 1227, 1225, 1221, 1219, 1217, 1215, 1213, 1211, 1209, 1207, 1205, 1203, 1257, 1255, 1253, 1251, 1249, 1247, 1245, 1223, 1201, 1199 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_mepc", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2108.23-2108.37" + } + }, + "core_cpu.CsrPlugin_mie_MEIE": { + "hide_name": 0, + "bits": [ 1014 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_mie_MEIE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2115.23-2115.41" + } + }, + "core_cpu.CsrPlugin_mie_MEIE_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 1265, 1260, 1264, 1444 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_mie_MSIE": { + "hide_name": 0, + "bits": [ 1268 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_mie_MSIE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2117.23-2117.41" + } + }, + "core_cpu.CsrPlugin_mie_MSIE_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 1278, 1275, 1271, 1273 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_mie_MSIE_LUT4_B_Z_LUT4_Z_2_D": { + "hide_name": 0, + "bits": [ 1033, 1276, 1277 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_mie_MSIE_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 1266 ], + "attributes": { + } + }, + "core_cpu.CsrPlugin_mie_MTIE": { + "hide_name": 0, + "bits": [ 1281 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_mie_MTIE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2116.23-2116.41" + } + }, + "core_cpu.CsrPlugin_mie_MTIE_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 1282, 1447, 1288, 1285 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_minstret": { + "hide_name": 0, + "bits": [ 1410, 1411, 1032, 1274, 1438, 1439, 1296, 1284, 1290, 1291, 1407, 1263, 1397, 1398, 1392, 1393, 1387, 1388, 1382, 1383, 1377, 1378, 1372, 1373, 1367, 1368, 1362, 1363, 1357, 1358, 1342, 1343, 1337, 1338, 1034, 1276, 1329, 1330, 1325, 1287, 1320, 1321, 1316, 1259, 1311, 1312, 1306, 1307, 1300, 1301, 1434, 1435, 1429, 1430, 1424, 1425, 1419, 1420, 1414, 1415, 1402, 1403, 1347, 1348 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_minstret", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2122.23-2122.41" + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_2_COUT": { + "hide_name": 0, + "bits": [ 1410, 1353, 7435, 1354, 7436, 1297, 7437, 1292, 7438, 1293, 7439, 1399, 7440, 1394, 7441, 1389, 7442, 1384, 7443, 1379, 7444, 1374, 7445, 1369, 7446, 1364, 7447, 1359, 7448, 1344, 7449, 1339, 7450, 1334, 7451, 1331, 7452, 1326, 7453, 1322, 7454, 1317, 7455, 1313, 7456, 1308, 7457, 1302, 7458, 1303, 7459, 1431, 7460, 1426, 7461, 1421, 7462, 1416, 7463, 1404, 7464, 1349, 7465, 1350 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:38.23-38.25", + "unused_bits": "2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 54 56 58 60 62 63" + } + }, + "core_cpu.CsrPlugin_minstret_CCU2C_B0_COUT": { + "hide_name": 0, + "bits": [ "0", 1410, 1353, 7435, 1354, 7436, 1297, 7437, 1292, 7438, 1293, 7439, 1399, 7440, 1394, 7441, 1389, 7442, 1384, 7443, 1379, 7444, 1374, 7445, 1369, 7446, 1364, 7447, 1359, 7448, 1344, 7449, 1339, 7450, 1334, 7451, 1331, 7452, 1326, 7453, 1322, 7454, 1317, 7455, 1313, 7456, 1308, 7457, 1302, 7458, 1303, 7459, 1431, 7460, 1426, 7461, 1421, 7462, 1416, 7463, 1404, 7464, 1349, 7465 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59 61 63" + } + }, + "core_cpu.CsrPlugin_minstret_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 1412, 1413, 1355, 1356, 1440, 1441, 1298, 1299, 1294, 1295, 1408, 1409, 1400, 1401, 1395, 1396, 1390, 1391, 1385, 1386, 1380, 1381, 1375, 1376, 1370, 1371, 1365, 1366, 1360, 1361, 1345, 1346, 1340, 1341, 1335, 1336, 1332, 1333, 1327, 1328, 1323, 1324, 1318, 1319, 1314, 1315, 1309, 1310, 1304, 1305, 1436, 1437, 1432, 1433, 1427, 1428, 1422, 1423, 1417, 1418, 1405, 1406, 1351, 1352 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5117.30-5117.71|/usr/local/bin/../share/yosys/ecp5/arith_map.v:34.26-34.27" + } + }, + "core_cpu.CsrPlugin_mip_MEIP": { + "hide_name": 0, + "bits": [ 1013 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_mip_MEIP", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2112.23-2112.41" + } + }, + "core_cpu.CsrPlugin_mip_MSIP": { + "hide_name": 0, + "bits": [ 1269 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_mip_MSIP", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2114.23-2114.41" + } + }, + "core_cpu.CsrPlugin_mip_MSIP_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 1010 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.CsrPlugin_mip_MSIP_TRELLIS_FF_Q_LSR": { + "hide_name": 0, + "bits": [ 1445 ], + "attributes": { + } + }, + "core_cpu.CsrPlugin_mip_MTIP": { + "hide_name": 0, + "bits": [ 1446 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_mip_MTIP", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2113.23-2113.41" + } + }, + "core_cpu.CsrPlugin_mip_MTIP_LUT4_A_Z": { + "hide_name": 0, + "bits": [ 1005, 1013, 1014 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_misa_base": { + "hide_name": 0, + "bits": [ "1", "0" ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_misa_base", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2104.23-2104.42" + } + }, + "core_cpu.CsrPlugin_misa_extensions": { + "hide_name": 0, + "bits": [ "0", "1", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_misa_extensions", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2105.23-2105.48" + } + }, + "core_cpu.CsrPlugin_mstatus_MIE": { + "hide_name": 0, + "bits": [ 1012 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_mstatus_MIE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2109.23-2109.44" + } + }, + "core_cpu.CsrPlugin_mstatus_MIE_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 1449 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu.CsrPlugin_mstatus_MIE_TRELLIS_FF_Q_DI_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 1279, 1450, 1280, 1262 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_mstatus_MPIE": { + "hide_name": 0, + "bits": [ 1286 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_mstatus_MPIE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2110.23-2110.45" + } + }, + "core_cpu.CsrPlugin_mstatus_MPIE_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 1453 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu.CsrPlugin_mstatus_MPP": { + "hide_name": 0, + "bits": [ 1261, 1455 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_mstatus_MPP", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2111.23-2111.44" + } + }, + "core_cpu.CsrPlugin_mstatus_MPP_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 1456, 1458, 1457 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_mstatus_MPP_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 1460 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu.CsrPlugin_mstatus_MPP_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 1459 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu.CsrPlugin_mstatus_MPP_TRELLIS_FF_Q_DI_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 1461, 1462, 1280, 1262 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_mtvec_base": { + "hide_name": 0, + "bits": [ 1036, 1272, 1499, 1497, 1495, 1283, 1493, 1491, 1489, 1443, 1454, 1485, 1483, 1481, 1479, 1477, 1475, 1473, 1471, 1469, 1514, 1512, 1510, 1508, 1506, 1504, 1502, 1487, 1467, 1465 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_mtvec_base", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2107.23-2107.43" + } + }, + "core_cpu.CsrPlugin_mtvec_mode": { + "hide_name": 0, + "bits": [ 1528, 1515 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_mtvec_mode", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2106.23-2106.43" + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_A_Z": { + "hide_name": 0, + "bits": [ 1516 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_A_Z_PFUMX_BLUT_ALUT": { + "hide_name": 0, + "bits": [ 1517 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_A_Z_PFUMX_BLUT_Z": { + "hide_name": 0, + "bits": [ 1033, 1338, 1519, 1518 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_A_Z_PFUMX_BLUT_Z_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 1524, 1520, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_A_Z_PFUMX_BLUT_Z_LUT4_C_Z_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 1521, 252, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_C": { + "hide_name": 0, + "bits": [ 1035, 1528, 1529, 1530 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 1532 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 1533 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 1536, 1531, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_Z_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 1523, 1534, 1522, 1535 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_Z_LUT4_Z_D_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 1537 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_Z_LUT4_Z_D_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 1538 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.CsrPlugin_mtvec_mode_LUT4_B_Z_LUT4_Z_D_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1539, 1540, 1523, 1522, 255 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_done": { + "hide_name": 0, + "bits": [ 1002 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_pipelineLiberator_done", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2135.23-2135.55" + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_pcValids_0": { + "hide_name": 0, + "bits": [ 1545 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_pipelineLiberator_pcValids_0", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2131.23-2131.61" + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_pcValids_0_LUT4_D_C": { + "hide_name": 0, + "bits": [ 1017, 1548 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_pcValids_0_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 1547 ], + "attributes": { + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_pcValids_1": { + "hide_name": 0, + "bits": [ 1549 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_pipelineLiberator_pcValids_1", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2132.23-2132.61" + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_pcValids_1_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 1551 ], + "attributes": { + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_pcValids_1_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 1546 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_pcValids_2": { + "hide_name": 0, + "bits": [ 1002 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_pipelineLiberator_pcValids_2", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2133.23-2133.61" + } + }, + "core_cpu.CsrPlugin_pipelineLiberator_pcValids_2_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 1550 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu.CsrPlugin_privilege": { + "hide_name": 0, + "bits": [ "1", "1" ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_privilege", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1985.23-1985.42" + } + }, + "core_cpu.CsrPlugin_targetPrivilege": { + "hide_name": 0, + "bits": [ "1", "1" ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_targetPrivilege", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2138.23-2138.48" + } + }, + "core_cpu.CsrPlugin_trapCause": { + "hide_name": 0, + "bits": [ "1", "1", 1009, 1007 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_trapCause", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2139.23-2139.42" + } + }, + "core_cpu.CsrPlugin_xtvec_base": { + "hide_name": 0, + "bits": [ 1036, 1272, 1499, 1497, 1495, 1283, 1493, 1491, 1489, 1443, 1454, 1485, 1483, 1481, 1479, 1477, 1475, 1473, 1471, 1469, 1514, 1512, 1510, 1508, 1506, 1504, 1502, 1487, 1467, 1465 ], + "attributes": { + "hdlname": "core_cpu CsrPlugin_xtvec_base", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2141.23-2141.43" + } + }, + "core_cpu.DebugPlugin_busReadDataReg": { + "hide_name": 0, + "bits": [ 1558, 1556, 1650, 1646, 1638, 1634, 1630, 1626, 1622, 1618, 1614, 1610, 1606, 1602, 1596, 1592, 1588, 1584, 1580, 1576, 1572, 1568, 1564, 1560, 1676, 1672, 1668, 1664, 1660, 1656, 1644, 1600 ], + "attributes": { + "hdlname": "core_cpu DebugPlugin_busReadDataReg", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2265.23-2265.49" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_10_DI": { + "hide_name": 0, + "bits": [ 1559 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_11_DI": { + "hide_name": 0, + "bits": [ 1563 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_12_DI": { + "hide_name": 0, + "bits": [ 1567 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_13_DI": { + "hide_name": 0, + "bits": [ 1571 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_14_DI": { + "hide_name": 0, + "bits": [ 1575 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_15_DI": { + "hide_name": 0, + "bits": [ 1579 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_16_DI": { + "hide_name": 0, + "bits": [ 1583 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_17_DI": { + "hide_name": 0, + "bits": [ 1587 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_18_DI": { + "hide_name": 0, + "bits": [ 1591 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_19_DI": { + "hide_name": 0, + "bits": [ 1595 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_20_DI": { + "hide_name": 0, + "bits": [ 1601 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_21_DI": { + "hide_name": 0, + "bits": [ 1605 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_22_DI": { + "hide_name": 0, + "bits": [ 1609 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_23_DI": { + "hide_name": 0, + "bits": [ 1613 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_24_DI": { + "hide_name": 0, + "bits": [ 1617 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_25_DI": { + "hide_name": 0, + "bits": [ 1621 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_26_DI": { + "hide_name": 0, + "bits": [ 1625 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_27_DI": { + "hide_name": 0, + "bits": [ 1629 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_28_DI": { + "hide_name": 0, + "bits": [ 1633 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_29_DI": { + "hide_name": 0, + "bits": [ 1637 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 1599 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_30_DI": { + "hide_name": 0, + "bits": [ 1645 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_31_DI": { + "hide_name": 0, + "bits": [ 1649 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_3_DI": { + "hide_name": 0, + "bits": [ 1643 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_4_DI": { + "hide_name": 0, + "bits": [ 1655 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_5_DI": { + "hide_name": 0, + "bits": [ 1659 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_6_DI": { + "hide_name": 0, + "bits": [ 1663 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_7_DI": { + "hide_name": 0, + "bits": [ 1667 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_8_DI": { + "hide_name": 0, + "bits": [ 1671 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_9_DI": { + "hide_name": 0, + "bits": [ 1675 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_busReadDataReg_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 1553 ], + "attributes": { + } + }, + "core_cpu.DebugPlugin_haltIt": { + "hide_name": 0, + "bits": [ 1000 ], + "attributes": { + "hdlname": "core_cpu DebugPlugin_haltIt", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2254.23-2254.41" + } + }, + "core_cpu.DebugPlugin_haltIt_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 1679 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5432.3-5504.6" + } + }, + "core_cpu.DebugPlugin_haltIt_TRELLIS_FF_Q_DI_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1015, 1680, 1681, 1682 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_haltedByBreak": { + "hide_name": 0, + "bits": [ 1686 ], + "attributes": { + "hdlname": "core_cpu DebugPlugin_haltedByBreak", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2258.23-2258.48" + } + }, + "core_cpu.DebugPlugin_haltedByBreak_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 1687 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5432.3-5504.6" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_pc": { + "hide_name": 0, + "bits": [ 1737, 1733, 1731, 1729, 1727, 1725, 1723, 1721, 1719, 1717, 1715, 1711, 1709, 1707, 1705, 1703, 1701, 1700, 1698, 1696, 1694, 1748, 1746, 1744, 1742, 1741, 1739, 1735, 1713, 1692, 1690 ], + "attributes": { + "hdlname": "core_cpu DebugPlugin_hardwareBreakpoints_0_pc", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2260.23-2260.59" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid": { + "hide_name": 0, + "bits": [ 1749 ], + "attributes": { + "hdlname": "core_cpu DebugPlugin_hardwareBreakpoints_0_valid", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2259.23-2259.62" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 1232, 1723, 1751, 1750 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 1752, 1753, 1754 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 1755, 1756, 1757, 1758 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 1762, 1763, 1764, 1765 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_1_A_LUT4_Z_3_C": { + "hide_name": 0, + "bits": [ 1216, 1707, 1766, 1767 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_2_D": { + "hide_name": 0, + "bits": [ 1256, 1748, 1768 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_2_D_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1200, 1692, 1769, 1770 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_2_D_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 1771 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_2_D_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 1772 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1250, 1742, 1760, 1761 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_0_valid_LUT4_D_Z_LUT4_C_Z_LUT4_B_Z_LUT4_Z_C_LUT4_Z_1_D": { + "hide_name": 0, + "bits": [ 1226, 1717, 1773 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_pc": { + "hide_name": 0, + "bits": [ 1800, 1798, 1797, 1796, 1795, 1794, 1793, 1792, 1791, 1790, 1789, 1787, 1786, 1785, 1784, 1783, 1782, 1781, 1780, 1779, 1778, 1806, 1805, 1804, 1803, 1802, 1801, 1799, 1788, 1777, 1776 ], + "attributes": { + "hdlname": "core_cpu DebugPlugin_hardwareBreakpoints_1_pc", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2262.23-2262.59" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid": { + "hide_name": 0, + "bits": [ 1807 ], + "attributes": { + "hdlname": "core_cpu DebugPlugin_hardwareBreakpoints_1_valid", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2261.23-2261.62" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 1232, 1793, 1809, 1808 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 1814, 1810, 1820, 1811 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_LUT4_Z_1_C": { + "hide_name": 0, + "bits": [ 1254, 1805, 1812, 1813 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_LUT4_Z_1_C_LUT4_Z_1_C": { + "hide_name": 0, + "bits": [ 1256, 1806, 1815, 1816 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 1817 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 1818 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_LUT4_C_Z_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 1220, 1787, 1800, 1821, 1819 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 1822 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 1823 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_1_valid_LUT4_D_Z_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 1204, 1779, 1252, 1804, 1824 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_pc": { + "hide_name": 0, + "bits": [ 1850, 1848, 1847, 1846, 1845, 1844, 1843, 1842, 1841, 1840, 1839, 1837, 1836, 1835, 1834, 1833, 1832, 1831, 1830, 1829, 1828, 1856, 1855, 1854, 1853, 1852, 1851, 1849, 1838, 1827, 1826 ], + "attributes": { + "hdlname": "core_cpu DebugPlugin_hardwareBreakpoints_2_pc", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2264.23-2264.59" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid": { + "hide_name": 0, + "bits": [ 1859 ], + "attributes": { + "hdlname": "core_cpu DebugPlugin_hardwareBreakpoints_2_valid", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2263.23-2263.62" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_ALUT": { + "hide_name": 0, + "bits": [ 1857 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_BLUT": { + "hide_name": 0, + "bits": [ 1858 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z": { + "hide_name": 0, + "bits": [ 1234, 1844, 1860, 1861 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 1873, 1867, 1865, 1862 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_1_D": { + "hide_name": 0, + "bits": [ 1850, 1242, 1848, 1866 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_1_D_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 1868 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_1_D_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 1869 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_1_D_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 1244, 1849, 1200, 1827, 1870 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_2_C": { + "hide_name": 0, + "bits": [ 1226, 1840, 1871, 1872 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_2_C_LUT4_Z_1_C": { + "hide_name": 0, + "bits": [ 1250, 1853, 1874, 1875 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_2_C_LUT4_Z_1_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 1876 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_2_C_LUT4_Z_1_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 1877 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_2_C_LUT4_Z_1_C_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 1254, 1855, 1828, 1202, 1878 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_hardwareBreakpoints_2_valid_PFUMX_C0_Z_LUT4_C_Z_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1238, 1846, 1863, 1864 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_isPipBusy": { + "hide_name": 0, + "bits": [ 1880 ], + "attributes": { + "hdlname": "core_cpu DebugPlugin_isPipBusy", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2256.23-2256.44" + } + }, + "core_cpu.DebugPlugin_isPipBusy_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 1879 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5390.3-5430.6" + } + }, + "core_cpu.DebugPlugin_isPipBusy_TRELLIS_FF_Q_DI_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 1442, 1881, 1015, 1882 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.DebugPlugin_resetIt": { + "hide_name": 0, + "bits": [ 1888 ], + "attributes": { + "hdlname": "core_cpu DebugPlugin_resetIt", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2253.23-2253.42" + } + }, + "core_cpu.DebugPlugin_resetIt_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 1886 ], + "attributes": { + } + }, + "core_cpu.DebugPlugin_resetIt_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 1887 ], + "attributes": { + } + }, + "core_cpu.DebugPlugin_resetIt_regNext": { + "hide_name": 0, + "bits": [ 1889 ], + "attributes": { + "hdlname": "core_cpu DebugPlugin_resetIt_regNext", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2267.23-2267.50" + } + }, + "core_cpu.DebugPlugin_stepIt": { + "hide_name": 0, + "bits": [ 1001 ], + "attributes": { + "hdlname": "core_cpu DebugPlugin_stepIt", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2255.23-2255.41" + } + }, + "core_cpu.IBusSimplePlugin_cmd_payload_pc": { + "hide_name": 0, + "bits": [ "0", "0", 152, 148, 144, 140, 136, 132, 128, 124, 120, 116, 109, 105, 101, 97, 93, 90, 87, 84, 81, 78, 175, 172, 169, 166, 163, 160, 157, 154, 111, 177 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_cmd_payload_pc", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2069.23-2069.54" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_booted": { + "hide_name": 0, + "bits": [ 1892 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_fetchPc_booted", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2006.23-2006.54" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_booted_PFUMX_C0_1_ALUT": { + "hide_name": 0, + "bits": [ 1894 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_booted_PFUMX_C0_1_BLUT": { + "hide_name": 0, + "bits": [ 1895 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_booted_PFUMX_C0_1_Z": { + "hide_name": 0, + "bits": [ 1896 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4874.11-4874.169" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_booted_PFUMX_C0_ALUT": { + "hide_name": 0, + "bits": [ 1890 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_booted_PFUMX_C0_BLUT": { + "hide_name": 0, + "bits": [ 1891 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_booted_PFUMX_C0_Z": { + "hide_name": 0, + "bits": [ 1897, 1899, 1898, 1893 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc": { + "hide_name": 0, + "bits": [ 1902 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_fetchPc_inc", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2007.23-2007.51" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT": { + "hide_name": 0, + "bits": [ "0", 7466, 1903, 7467, 1932, 7468, 1910, 7469, 1906, 7470, 1907, 7471, 1919, 7472, 1913, 7473, 1914, 7474, 1962, 7475, 1957, 7476, 1952, 7477, 1947, 7478, 1941, 7479, 1942, 7480 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "1 3 5 7 9 11 13 15 17 19 21 23 25 27 29" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_10_S0": { + "hide_name": 0, + "bits": [ 1901, 2029, 1915, 1917, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_10_S1": { + "hide_name": 0, + "bits": [ 1901, 2026, 1916, 1918, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_11_S0": { + "hide_name": 0, + "bits": [ 1901, 2035, 1920, 1922, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_11_S1": { + "hide_name": 0, + "bits": [ 1901, 2032, 1921, 1923, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_12_S0": { + "hide_name": 0, + "bits": [ 1926, 1454, 1924, 1928, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_12_S1": { + "hide_name": 0, + "bits": [ 1901, 2038, 1925, 1929, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_1_S0": { + "hide_name": 0, + "bits": [ 1901, 2057, 1911, 1930, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_1_S1": { + "hide_name": 0, + "bits": [ 1901, 2054, 1912, 1931, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_2_S0": { + "hide_name": 0, + "bits": [ 1901, 2063, 1933, 1935, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_2_S1": { + "hide_name": 0, + "bits": [ 1901, 2060, 1934, 1936, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_3_S0": { + "hide_name": 0, + "bits": [ 1901, 2069, 1937, 1939, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_3_S1": { + "hide_name": 0, + "bits": [ 1901, 2066, 1938, 1940, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_4_S0": { + "hide_name": 0, + "bits": [ 1901, 2079, 1943, 1945, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_4_S1": { + "hide_name": 0, + "bits": [ 1901, 2076, 1944, 1946, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_5_S0": { + "hide_name": 0, + "bits": [ 1901, 2085, 1948, 1950, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_5_S1": { + "hide_name": 0, + "bits": [ 1901, 2082, 1949, 1951, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_6_S0": { + "hide_name": 0, + "bits": [ 1901, 2091, 1953, 1955, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_6_S1": { + "hide_name": 0, + "bits": [ 1901, 2088, 1954, 1956, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_7_S0": { + "hide_name": 0, + "bits": [ 1901, 2097, 1958, 1960, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_7_S1": { + "hide_name": 0, + "bits": [ 1901, 2094, 1959, 1961, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_8_S0": { + "hide_name": 0, + "bits": [ 1901, 2017, 1963, 1965, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_8_S1": { + "hide_name": 0, + "bits": [ 1901, 2014, 1964, 1966, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_9_S0": { + "hide_name": 0, + "bits": [ 1901, 2023, 1967, 1969, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_9_S1": { + "hide_name": 0, + "bits": [ 1901, 2020, 1968, 1970, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_S0": { + "hide_name": 0, + "bits": [ 1901, 2051, 1908, 1971, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_COUT_CCU2C_COUT_S1": { + "hide_name": 0, + "bits": [ 1901, 2048, 1909, 1972, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_S0": { + "hide_name": 0, + "bits": [ 1901, 2075, 1904, 1973, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_CCU2C_A0_S1": { + "hide_name": 0, + "bits": [ 1901, 2072, 1905, 1975, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 1976 ], + "attributes": { + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_inc_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 1977 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_output_payload": { + "hide_name": 0, + "bits": [ "0", "0", 2000, 1999, 1998, 1997, 1996, 1995, 1994, 1993, 1992, 1991, 1989, 1988, 1987, 1986, 1985, 1984, 1983, 1982, 1981, 1980, 2007, 2006, 2005, 2004, 2003, 2002, 2001, 1990, 1979, 1978 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_fetchPc_output_payload", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2000.23-2000.62" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc": { + "hide_name": 0, + "bits": [ "0", "0", 2000, 1999, 1998, 1997, 1996, 1995, 1994, 1993, 1992, 1991, 1989, 1988, 1987, 1986, 1985, 1984, 1983, 1982, 1981, 1980, 2007, 2006, 2005, 2004, 2003, 2002, 2001, 1990, 1979, 1978 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_fetchPc_pc", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2008.23-2008.50" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pcReg": { + "hide_name": 0, + "bits": [ "0", "0", 152, 148, 144, 140, 136, 132, 128, 124, 120, 116, 109, 105, 101, 97, 93, 90, 87, 84, 81, 78, 175, 172, 169, 166, 163, 160, 157, 154, 111, 177 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_fetchPc_pcReg", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2001.23-2001.53" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_10_ALUT": { + "hide_name": 0, + "bits": [ 2012 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_10_BLUT": { + "hide_name": 0, + "bits": [ 2013 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_11_ALUT": { + "hide_name": 0, + "bits": [ 2015 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_11_BLUT": { + "hide_name": 0, + "bits": [ 2016 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_12_ALUT": { + "hide_name": 0, + "bits": [ 2018 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_12_BLUT": { + "hide_name": 0, + "bits": [ 2019 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_13_ALUT": { + "hide_name": 0, + "bits": [ 2021 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_13_BLUT": { + "hide_name": 0, + "bits": [ 2022 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_14_ALUT": { + "hide_name": 0, + "bits": [ 2024 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_14_BLUT": { + "hide_name": 0, + "bits": [ 2025 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_15_ALUT": { + "hide_name": 0, + "bits": [ 2027 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_15_BLUT": { + "hide_name": 0, + "bits": [ 2028 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_16_ALUT": { + "hide_name": 0, + "bits": [ 2030 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_16_BLUT": { + "hide_name": 0, + "bits": [ 2031 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_17_ALUT": { + "hide_name": 0, + "bits": [ 2033 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_17_BLUT": { + "hide_name": 0, + "bits": [ 2034 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_18_ALUT": { + "hide_name": 0, + "bits": [ 2036 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_18_BLUT": { + "hide_name": 0, + "bits": [ 2037 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_19_ALUT": { + "hide_name": 0, + "bits": [ 2039 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_19_BLUT": { + "hide_name": 0, + "bits": [ 2040 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 2010 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 2011 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_1_BLUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 1926, 1467, 2041, 2042, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_20_ALUT": { + "hide_name": 0, + "bits": [ 2046 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_20_BLUT": { + "hide_name": 0, + "bits": [ 2047 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_21_ALUT": { + "hide_name": 0, + "bits": [ 2049 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_21_BLUT": { + "hide_name": 0, + "bits": [ 2050 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_22_ALUT": { + "hide_name": 0, + "bits": [ 2052 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_22_BLUT": { + "hide_name": 0, + "bits": [ 2053 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_23_ALUT": { + "hide_name": 0, + "bits": [ 2055 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_23_BLUT": { + "hide_name": 0, + "bits": [ 2056 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_24_ALUT": { + "hide_name": 0, + "bits": [ 2058 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_24_BLUT": { + "hide_name": 0, + "bits": [ 2059 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_25_ALUT": { + "hide_name": 0, + "bits": [ 2061 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_25_BLUT": { + "hide_name": 0, + "bits": [ 2062 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_26_ALUT": { + "hide_name": 0, + "bits": [ 2064 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_26_BLUT": { + "hide_name": 0, + "bits": [ 2065 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_27_ALUT": { + "hide_name": 0, + "bits": [ 2067 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_27_BLUT": { + "hide_name": 0, + "bits": [ 2068 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_28_ALUT": { + "hide_name": 0, + "bits": [ 2070 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_28_BLUT": { + "hide_name": 0, + "bits": [ 2071 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_29_ALUT": { + "hide_name": 0, + "bits": [ 2073 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_29_BLUT": { + "hide_name": 0, + "bits": [ 2074 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_2_ALUT": { + "hide_name": 0, + "bits": [ 2044 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_2_BLUT": { + "hide_name": 0, + "bits": [ 2045 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_3_ALUT": { + "hide_name": 0, + "bits": [ 2077 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_3_BLUT": { + "hide_name": 0, + "bits": [ 2078 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_4_ALUT": { + "hide_name": 0, + "bits": [ 2080 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_4_BLUT": { + "hide_name": 0, + "bits": [ 2081 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_5_ALUT": { + "hide_name": 0, + "bits": [ 2083 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_5_BLUT": { + "hide_name": 0, + "bits": [ 2084 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_6_ALUT": { + "hide_name": 0, + "bits": [ 2086 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_6_BLUT": { + "hide_name": 0, + "bits": [ 2087 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_7_ALUT": { + "hide_name": 0, + "bits": [ 2089 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_7_BLUT": { + "hide_name": 0, + "bits": [ 2090 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_8_ALUT": { + "hide_name": 0, + "bits": [ 2092 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_8_BLUT": { + "hide_name": 0, + "bits": [ 2093 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_9_ALUT": { + "hide_name": 0, + "bits": [ 2095 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_9_BLUT": { + "hide_name": 0, + "bits": [ 2096 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2008 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2009 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_BLUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 1901, 2099, 2098, 2100, 1900 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_fetchPc_pc_PFUMX_Z_BLUT_LUT4_Z_D_CCU2C_S1_COUT": { + "hide_name": 0, + "bits": [ 7466, 1903, 7467, 1932, 7468, 1910, 7469, 1906, 7470, 1907, 7471, 1919, 7472, 1913, 7473, 1914, 7474, 1962, 7475, 1957, 7476, 1952, 7477, 1947, 7478, 1941, 7479, 1942, 7480, 2101 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3766.36-3766.77|/usr/local/bin/../share/yosys/ecp5/arith_map.v:38.23-38.25", + "unused_bits": "0 2 4 6 8 10 12 14 16 18 20 22 24 26 28 29" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_output_payload_pc": { + "hide_name": 0, + "bits": [ "0", "0", 5083, 5082, 5081, 5080, 5079, 5078, 5077, 5076, 5075, 5074, 5072, 5071, 5070, 5069, 5068, 5067, 5066, 5065, 5064, 5063, 5090, 5089, 5088, 5087, 5086, 5085, 5084, 5073, 5062, 5061 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_output_payload_pc", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2045.23-2045.65" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_output_payload_rsp_inst": { + "hide_name": 0, + "bits": [ "x", "x", 7481, 7482, 7483, 7484, 7485, 7486, 7487, 7488, 7489, 7490, 7491, 7492, 7493, 7494, 7495, 7496, 7497, 7498, 7499, 7500, 7501, 7502, 7503, 7504, 7505, 7506, 7507, 7508, 7509, 7510 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_output_payload_rsp_inst", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2047.23-2047.71", + "unused_bits": "2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_redoFetch": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_redoFetch", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2010.23-2010.57" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_stages_0_halt": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_stages_0_halt", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2017.23-2017.61" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_stages_0_input_payload": { + "hide_name": 0, + "bits": [ "0", "0", 2000, 1999, 1998, 1997, 1996, 1995, 1994, 1993, 1992, 1991, 1989, 1988, 1987, 1986, 1985, 1984, 1983, 1982, 1981, 1980, 2007, 2006, 2005, 2004, 2003, 2002, 2001, 1990, 1979, 1978 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_stages_0_input_payload", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2013.23-2013.70" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_stages_0_output_payload": { + "hide_name": 0, + "bits": [ "0", "0", 2000, 1999, 1998, 1997, 1996, 1995, 1994, 1993, 1992, 1991, 1989, 1988, 1987, 1986, 1985, 1984, 1983, 1982, 1981, 1980, 2007, 2006, 2005, 2004, 2003, 2002, 2001, 1990, 1979, 1978 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_stages_0_output_payload", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2016.23-2016.71" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_stages_1_input_payload": { + "hide_name": 0, + "bits": [ "0", "0", 152, 148, 144, 140, 136, 132, 128, 124, 120, 116, 109, 105, 101, 97, 93, 90, 87, 84, 81, 78, 175, 172, 169, 166, 163, 160, 157, 154, 111, 177 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_stages_1_input_payload", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2020.23-2020.70" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_stages_1_input_valid": { + "hide_name": 0, + "bits": [ 1885 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_stages_1_input_valid", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2018.23-2018.68" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_stages_1_output_payload": { + "hide_name": 0, + "bits": [ "0", "0", 152, 148, 144, 140, 136, 132, 128, 124, 120, 116, 109, 105, 101, 97, 93, 90, 87, 84, 81, 78, 175, 172, 169, 166, 163, 160, 157, 154, 111, 177 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_stages_1_output_payload", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2023.23-2023.71" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_stages_1_output_ready": { + "hide_name": 0, + "bits": [ 2102 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_stages_1_output_ready", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2022.23-2022.69" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_stages_2_halt": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_stages_2_halt", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2031.23-2031.61" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_stages_2_input_payload": { + "hide_name": 0, + "bits": [ "0", "0", 5083, 5082, 5081, 5080, 5079, 5078, 5077, 5076, 5075, 5074, 5072, 5071, 5070, 5069, 5068, 5067, 5066, 5065, 5064, 5063, 5090, 5089, 5088, 5087, 5086, 5085, 5084, 5073, 5062, 5061 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_stages_2_input_payload", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2027.23-2027.70" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_stages_2_input_ready": { + "hide_name": 0, + "bits": [ 2102 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_stages_2_input_ready", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2026.23-2026.68" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_stages_2_input_valid": { + "hide_name": 0, + "bits": [ 1884 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_stages_2_input_valid", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2025.23-2025.68" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_stages_2_output_payload": { + "hide_name": 0, + "bits": [ "0", "0", 5083, 5082, 5081, 5080, 5079, 5078, 5077, 5076, 5075, 5074, 5072, 5071, 5070, 5069, 5068, 5067, 5066, 5065, 5064, 5063, 5090, 5089, 5088, 5087, 5086, 5085, 5084, 5073, 5062, 5061 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_stages_2_output_payload", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2030.23-2030.71" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_stages_2_output_ready": { + "hide_name": 0, + "bits": [ 2102 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_stages_2_output_ready", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2029.23-2029.69" + } + }, + "core_cpu.IBusSimplePlugin_iBusRsp_stages_2_output_valid": { + "hide_name": 0, + "bits": [ 1884 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_iBusRsp_stages_2_output_valid", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2028.23-2028.69" + } + }, + "core_cpu.IBusSimplePlugin_injectionPort_payload": { + "hide_name": 0, + "bits": [ 1774, 1736, 1732, 1730, 1728, 1726, 1724, 1722, 1720, 1718, 1716, 1714, 1710, 1708, 1706, 1704, 1702, 1683, 1699, 1697, 1695, 1693, 1747, 1745, 1743, 1684, 1740, 1738, 1734, 1712, 1691, 1689 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_injectionPort_payload", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1993.23-1993.61" + } + }, + "core_cpu.IBusSimplePlugin_injector_decodeInput_payload_pc": { + "hide_name": 0, + "bits": [ "0", "0", 1242, 1240, 1238, 1236, 1234, 1232, 1230, 1228, 1226, 1224, 1220, 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1256, 1254, 1252, 1250, 1248, 1246, 1244, 1222, 1200, 1198 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_injector_decodeInput_payload_pc", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2051.23-2051.71" + } + }, + "core_cpu.IBusSimplePlugin_injector_decodeInput_payload_rsp_inst": { + "hide_name": 0, + "bits": [ "x", "x", 2340, 3237, 2342, 2731, 2341, 5145, 5141, 5137, 5210, 5206, 3242, 2729, 2339, 2499, 2525, 2522, 2526, 2523, 2504, 2512, 2507, 2514, 2509, 3995, 4588, 5190, 3326, 4581, 3996, 2565 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_injector_decodeInput_payload_rsp_inst", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2053.23-2053.77" + } + }, + "core_cpu.IBusSimplePlugin_injector_decodeInput_valid": { + "hide_name": 0, + "bits": [ 5091 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_injector_decodeInput_valid", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2049.23-2049.66" + } + }, + "core_cpu.IBusSimplePlugin_jump_pcLoad_payload": { + "hide_name": 0, + "bits": [ "0", "x", 7511, 7512, 7513, 7514, 7515, 7516, 7517, 7518, 7519, 7520, 7521, 7522, 7523, 7524, 7525, 7526, 7527, 7528, 7529, 7530, 7531, 7532, 7533, 7534, 7535, 7536, 7537, 7538, 7539, 7540 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_jump_pcLoad_payload", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1996.23-1996.59", + "unused_bits": "2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31" + } + }, + "core_cpu.IBusSimplePlugin_pending_next": { + "hide_name": 0, + "bits": [ 2107, 2106, 2110 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_pending_next", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2073.23-2073.52" + } + }, + "core_cpu.IBusSimplePlugin_pending_next_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2108 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_pending_next_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2109 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_pending_value": { + "hide_name": 0, + "bits": [ 2105, 2104, 2111 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_pending_value", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2072.23-2072.53" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_exceptionDetected": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_exceptionDetected", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2091.23-2091.65" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_fetchRsp_pc": { + "hide_name": 0, + "bits": [ "0", "0", 5083, 5082, 5081, 5080, 5079, 5078, 5077, 5076, 5075, 5074, 5072, 5071, 5070, 5069, 5068, 5067, 5066, 5065, 5064, 5063, 5090, 5089, 5088, 5087, 5086, 5085, 5084, 5073, 5062, 5061 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_fetchRsp_pc", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2081.23-2081.59" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_fetchRsp_rsp_inst": { + "hide_name": 0, + "bits": [ "x", "x", 7481, 7482, 7483, 7484, 7485, 7486, 7487, 7488, 7489, 7490, 7491, 7492, 7493, 7494, 7495, 7496, 7497, 7498, 7499, 7500, 7501, 7502, 7503, 7504, 7505, 7506, 7507, 7508, 7509, 7510 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_fetchRsp_rsp_inst", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2083.23-2083.65", + "unused_bits": "2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_join_payload_pc": { + "hide_name": 0, + "bits": [ "0", "0", 5083, 5082, 5081, 5080, 5079, 5078, 5077, 5076, 5075, 5074, 5072, 5071, 5070, 5069, 5068, 5067, 5066, 5065, 5064, 5063, 5090, 5089, 5088, 5087, 5086, 5085, 5084, 5073, 5062, 5061 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_join_payload_pc", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2087.23-2087.63" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_join_payload_rsp_inst": { + "hide_name": 0, + "bits": [ "x", "x", 7481, 7482, 7483, 7484, 7485, 7486, 7487, 7488, 7489, 7490, 7491, 7492, 7493, 7494, 7495, 7496, 7497, 7498, 7499, 7500, 7501, 7502, 7503, 7504, 7505, 7506, 7507, 7508, 7509, 7510 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_join_payload_rsp_inst", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2089.23-2089.69", + "unused_bits": "2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_1_": { + "hide_name": 0, + "bits": [ 2114 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c _zz_1_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:615.23-615.29|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_2_": { + "hide_name": 0, + "bits": [ "0", "x", "x", 2160, 2158, 2156, 2154, 2152, 2150, 2148, 2146, 2144, 2142, 2138, 2136, 2134, 2132, 2130, 2128, 2126, 2124, 2122, 2120, 2174, 2172, 2170, 2168, 2166, 2164, 2162, 2140, 2118, 2116 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c _zz_2_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:630.23-630.29|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3_": { + "hide_name": 0, + "bits": [ "0", "x", "x", 2160, 2158, 2156, 2154, 2152, 2150, 2148, 2146, 2144, 2142, 2138, 2136, 2134, 2132, 2130, 2128, 2126, 2124, 2122, 2120, 2174, 2172, 2170, 2168, 2166, 2164, 2162, 2140, 2118, 2116 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c _zz_3_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:631.23-631.29|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_4_": { + "hide_name": 0, + "bits": [ 2113 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c _zz_4_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:613.23-613.29|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_5_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c _zz_5_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:614.23-614.29|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.full": { + "hide_name": 0, + "bits": [ 2113 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c full", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:627.23-627.27|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_flush": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c io_flush", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:608.23-608.31|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_occupancy": { + "hide_name": 0, + "bits": [ 2113 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c io_occupancy", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:609.23-609.35|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_pop_payload_inst": { + "hide_name": 0, + "bits": [ "x", "x", 7481, 7482, 7483, 7484, 7485, 7486, 7487, 7488, 7489, 7490, 7491, 7492, 7493, 7494, 7495, 7496, 7497, 7498, 7499, 7500, 7501, 7502, 7503, 7504, 7505, 7506, 7507, 7508, 7509, 7510 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c io_pop_payload_inst", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:607.23-607.42|PQVexRiscvUlx3s.v:2702.24-2715.4", + "unused_bits": "2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_error": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c io_push_payload_error", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:602.23-602.44|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst": { + "hide_name": 0, + "bits": [ "x", "x", 2159, 2157, 2155, 2153, 2151, 2149, 2147, 2145, 2143, 2141, 2137, 2135, 2133, 2131, 2129, 2127, 2125, 2123, 2121, 2119, 2173, 2171, 2169, 2167, 2165, 2163, 2161, 2139, 2117, 2115 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c io_push_payload_inst", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:603.23-603.43|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c mainClock", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:610.23-610.32|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.popPtr_willClear": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c popPtr_willClear", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:621.23-621.39|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.popPtr_willOverflowIfInc": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c popPtr_willOverflowIfInc", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:622.23-622.47|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.ptrMatch": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c ptrMatch", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:624.23-624.31|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.pushPtr_willClear": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c pushPtr_willClear", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:617.23-617.40|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.pushPtr_willOverflowIfInc": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c pushPtr_willOverflowIfInc", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:618.23-618.48|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.pushing": { + "hide_name": 0, + "bits": [ 2114 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c pushing", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:628.23-628.30|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:611.23-611.49|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.risingOccupancy": { + "hide_name": 0, + "bits": [ 2113 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c risingOccupancy", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:625.23-625.38|PQVexRiscvUlx3s.v:2702.24-2715.4" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.risingOccupancy_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 2235 ], + "attributes": { + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.risingOccupancy_TRELLIS_FF_Q_CE_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2236 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.risingOccupancy_TRELLIS_FF_Q_CE_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2237 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c_io_occupancy": { + "hide_name": 0, + "bits": [ 2113 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c_io_occupancy", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1499.23-1499.72" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_inst": { + "hide_name": 0, + "bits": [ "x", "x", 7481, 7482, 7483, 7484, 7485, 7486, 7487, 7488, 7489, 7490, 7491, 7492, 7493, 7494, 7495, 7496, 7497, 7498, 7499, 7500, 7501, 7502, 7503, 7504, 7505, 7506, 7507, 7508, 7509, 7510 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_inst", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1498.23-1498.79", + "unused_bits": "2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter": { + "hide_name": 0, + "bits": [ 2252, 2243, 2241 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_discardCounter", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2079.23-2079.72" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 2242 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 2244 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2246 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2247 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 2245 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2248 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2249 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D1_PFUMX_Z_BLUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 2250, 73, 2103, 2104, 2105, 395 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 2253 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_2_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2254 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_2_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2255 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 2240 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 2257 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 2259 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2261 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2262 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 2260 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2263 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2264 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 2258 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 2265 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2267 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2268 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 2269, 73, 2104, 2103, 2111, 2105, 395 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 2266 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2270 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_discardCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2271 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_output_payload_inst": { + "hide_name": 0, + "bits": [ "x", "x", 7481, 7482, 7483, 7484, 7485, 7486, 7487, 7488, 7489, 7490, 7491, 7492, 7493, 7494, 7495, 7496, 7497, 7498, 7499, 7500, 7501, 7502, 7503, 7504, 7505, 7506, 7507, 7508, 7509, 7510 ], + "attributes": { + "hdlname": "core_cpu IBusSimplePlugin_rspJoin_rspBuffer_output_payload_inst", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2078.23-2078.77", + "unused_bits": "2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31" + } + }, + "core_cpu.RegFilePlugin_regFile.0.0.0_DO": { + "hide_name": 0, + "bits": [ 2272, 2273, 2274, 2275 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.0.0.1_DO": { + "hide_name": 0, + "bits": [ 2285, 2286, 2287, 2288 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.0.1.0_DO": { + "hide_name": 0, + "bits": [ 2293, 2294, 2295, 2296 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.0.1.1_DO": { + "hide_name": 0, + "bits": [ 2298, 2299, 2300, 2301 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.1.0.0_DO": { + "hide_name": 0, + "bits": [ 2302, 2303, 2304, 2305 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.1.0.1_DO": { + "hide_name": 0, + "bits": [ 2306, 2307, 2308, 2309 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.1.1.0_DO": { + "hide_name": 0, + "bits": [ 2310, 2311, 2312, 2313 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.1.1.1_DO": { + "hide_name": 0, + "bits": [ 2314, 2315, 2316, 2317 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.0_DO": { + "hide_name": 0, + "bits": [ 2318, 2319, 2320, 2321 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.1_DO": { + "hide_name": 0, + "bits": [ 2322, 2323, 2324, 2325 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.1_DO_TRELLIS_FF_DI_Q": { + "hide_name": 0, + "bits": [ 2327, 2326, 2333, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.1_DO_TRELLIS_FF_DI_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2329 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.1_DO_TRELLIS_FF_DI_Q_LUT4_B_Z_PFUMX_BLUT_ALUT": { + "hide_name": 0, + "bits": [ 2330 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.1_DO_TRELLIS_FF_DI_Q_LUT4_B_Z_PFUMX_BLUT_Z": { + "hide_name": 0, + "bits": [ 1623, 2332, 2334, 2335 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.2.0.1_DO_TRELLIS_FF_DI_Q_LUT4_B_Z_PFUMX_BLUT_Z_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2336, 2338, 4337, 4368, 2343 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.2.1.0_DO": { + "hide_name": 0, + "bits": [ 2344, 2345, 2346, 2347 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.2.1.1_DO": { + "hide_name": 0, + "bits": [ 2348, 2349, 2350, 2351 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.3.0.0_DO": { + "hide_name": 0, + "bits": [ 2352, 2353, 2354, 2355 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.3.0.1_DO": { + "hide_name": 0, + "bits": [ 2356, 2357, 2358, 2359 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.3.1.0_DO": { + "hide_name": 0, + "bits": [ 2360, 2361, 2362, 2363 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.3.1.1_DO": { + "hide_name": 0, + "bits": [ 2364, 2365, 2366, 2367 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.4.0.0_DO": { + "hide_name": 0, + "bits": [ 2368, 2369, 2370, 2371 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.4.0.1_DO": { + "hide_name": 0, + "bits": [ 2376, 2377, 2378, 2379 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO": { + "hide_name": 0, + "bits": [ 2384, 2385, 2386, 2387 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI_1_Q": { + "hide_name": 0, + "bits": [ 2373, 2389, 2390 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI_1_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2539, 2391, 4015, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI_2_Q": { + "hide_name": 0, + "bits": [ 2374, 2392, 2390 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI_2_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2540, 2393, 4015, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI_3_Q": { + "hide_name": 0, + "bits": [ 2375, 2394, 2390 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI_3_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2541, 2395, 4015, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI_Q": { + "hide_name": 0, + "bits": [ 2372, 2388, 2390 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.0_DO_TRELLIS_FF_DI_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2538, 2396, 4015, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO": { + "hide_name": 0, + "bits": [ 2397, 2398, 2399, 2400 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI_1_Q": { + "hide_name": 0, + "bits": [ 2381, 2402, 2328 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI_1_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2539, 2403, 2335, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI_2_Q": { + "hide_name": 0, + "bits": [ 2382, 2404, 2328 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI_2_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2540, 2405, 2335, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI_3_Q": { + "hide_name": 0, + "bits": [ 2383, 2406, 2328 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI_3_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2541, 2407, 2335, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI_Q": { + "hide_name": 0, + "bits": [ 2380, 2401, 2328 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.4.1.1_DO_TRELLIS_FF_DI_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2538, 2408, 2335, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.5.0.0_DO": { + "hide_name": 0, + "bits": [ 2409, 2410, 2411, 2412 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.5.0.1_DO": { + "hide_name": 0, + "bits": [ 2413, 2414, 2415, 2416 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.0_DO": { + "hide_name": 0, + "bits": [ 2421, 2422, 2423, 2424 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1_DO": { + "hide_name": 0, + "bits": [ 2425, 2426, 2427, 2428 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1_DO_TRELLIS_FF_DI_1_Q": { + "hide_name": 0, + "bits": [ 2418, 2430, 2564, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1_DO_TRELLIS_FF_DI_2_Q": { + "hide_name": 0, + "bits": [ 2419, 2432, 2328 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1_DO_TRELLIS_FF_DI_2_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2536, 2433, 2335, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1_DO_TRELLIS_FF_DI_3_Q": { + "hide_name": 0, + "bits": [ 2420, 2434, 2537, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1_DO_TRELLIS_FF_DI_Q": { + "hide_name": 0, + "bits": [ 2417, 2429, 2328 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.5.1.1_DO_TRELLIS_FF_DI_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2563, 2436, 2335, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.6.0.0_DO": { + "hide_name": 0, + "bits": [ 2437, 2438, 2439, 2440 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.6.0.1_DO": { + "hide_name": 0, + "bits": [ 2442, 2443, 2444, 2445 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.0_DO": { + "hide_name": 0, + "bits": [ 2450, 2451, 2452, 2453 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.0_DO_TRELLIS_FF_DI_Q": { + "hide_name": 0, + "bits": [ 2441, 2454, 2390 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.0_DO_TRELLIS_FF_DI_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2559, 2455, 4015, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1_DO": { + "hide_name": 0, + "bits": [ 2456, 2457, 2458, 2459 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1_DO_TRELLIS_FF_DI_1_Q": { + "hide_name": 0, + "bits": [ 2447, 2461, 2328 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1_DO_TRELLIS_FF_DI_1_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2560, 2462, 2335, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1_DO_TRELLIS_FF_DI_2_Q": { + "hide_name": 0, + "bits": [ 2448, 2463, 2561, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1_DO_TRELLIS_FF_DI_3_Q": { + "hide_name": 0, + "bits": [ 2449, 2465, 2328 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1_DO_TRELLIS_FF_DI_3_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2562, 2466, 2335, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.6.1.1_DO_TRELLIS_FF_DI_Q": { + "hide_name": 0, + "bits": [ 2446, 2460, 2559, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.7.0.0_DO": { + "hide_name": 0, + "bits": [ 2468, 2469, 2470, 2471 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.7.0.1_DO": { + "hide_name": 0, + "bits": [ 2473, 2474, 2475, 2476 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.0_DO": { + "hide_name": 0, + "bits": [ 2481, 2482, 2483, 2484 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.0_DO_TRELLIS_FF_DI_Q": { + "hide_name": 0, + "bits": [ 2472, 2485, 2390 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.0_DO_TRELLIS_FF_DI_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2546, 2486, 4015, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1_DO": { + "hide_name": 0, + "bits": [ 2487, 2488, 2489, 2490 ], + "attributes": { + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1_DO_TRELLIS_FF_DI_1_Q": { + "hide_name": 0, + "bits": [ 2478, 2492, 2535, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1_DO_TRELLIS_FF_DI_2_Q": { + "hide_name": 0, + "bits": [ 2479, 2494, 2328 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1_DO_TRELLIS_FF_DI_2_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2546, 2495, 2335, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1_DO_TRELLIS_FF_DI_3_Q": { + "hide_name": 0, + "bits": [ 2480, 2496, 2556, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1_DO_TRELLIS_FF_DI_Q": { + "hide_name": 0, + "bits": [ 2477, 2491, 2328 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.RegFilePlugin_regFile.7.1.1_DO_TRELLIS_FF_DI_Q_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2534, 2498, 2335, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_100_": { + "hide_name": 0, + "bits": [ 2502 ], + "attributes": { + "hdlname": "core_cpu _zz_100_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2191.23-2191.31" + } + }, + "core_cpu._zz_100__LUT4_D_1_C": { + "hide_name": 0, + "bits": [ 2504, 2500, 2505, 2502, 2511 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_100__LUT4_D_1_Z": { + "hide_name": 0, + "bits": [ 2506 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_100__LUT4_D_1_Z_PFUMX_ALUT_BLUT": { + "hide_name": 0, + "bits": [ 2516 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_100__LUT4_D_1_Z_PFUMX_ALUT_Z": { + "hide_name": 0, + "bits": [ 2518, 2521, 2558, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_100__LUT4_D_1_Z_PFUMX_ALUT_Z_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 2519 ], + "attributes": { + } + }, + "core_cpu._zz_100__LUT4_D_C": { + "hide_name": 0, + "bits": [ 2499, 2500, 2501, 2502, 2524 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_100__LUT4_D_Z": { + "hide_name": 0, + "bits": [ 2503 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_100__LUT4_D_Z_PFUMX_ALUT_BLUT": { + "hide_name": 0, + "bits": [ 2527 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_100__LUT4_D_Z_PFUMX_ALUT_Z": { + "hide_name": 0, + "bits": [ 2528, 2529, 2558, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_100__LUT4_D_Z_PFUMX_ALUT_Z_TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 2530 ], + "attributes": { + } + }, + "core_cpu._zz_101_": { + "hide_name": 0, + "bits": [ 2500, 2513, 2508, 2515, 2510 ], + "attributes": { + "hdlname": "core_cpu _zz_101_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2192.23-2192.31" + } + }, + "core_cpu._zz_102_": { + "hide_name": 0, + "bits": [ 2558, 2557, 2555, 2554, 2553, 2552, 2551, 2550, 2333, 2549, 2548, 2547, 2545, 2544, 2543, 2542, 2541, 2540, 2539, 2538, 2537, 2536, 2564, 2563, 2562, 2561, 2560, 2559, 2556, 2546, 2535, 2534 ], + "attributes": { + "hdlname": "core_cpu _zz_102_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2193.23-2193.31" + } + }, + "core_cpu._zz_109_": { + "hide_name": 0, + "bits": [ 187, 180, 2587 ], + "attributes": { + "hdlname": "core_cpu _zz_109_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2201.23-2201.31" + } + }, + "core_cpu._zz_10_": { + "hide_name": 0, + "bits": [ 2844, 2843 ], + "attributes": { + "hdlname": "core_cpu _zz_10_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1811.20-1811.27" + } + }, + "core_cpu._zz_111_": { + "hide_name": 0, + "bits": [ 5752 ], + "attributes": { + "hdlname": "core_cpu _zz_111_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2203.23-2203.31" + } + }, + "core_cpu._zz_112_": { + "hide_name": 0, + "bits": [ 2566 ], + "attributes": { + "hdlname": "core_cpu _zz_112_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2205.23-2205.31" + } + }, + "core_cpu._zz_113_": { + "hide_name": 0, + "bits": [ 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566 ], + "attributes": { + "hdlname": "core_cpu _zz_113_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2206.23-2206.31" + } + }, + "core_cpu._zz_114_": { + "hide_name": 0, + "bits": [ 2566 ], + "attributes": { + "hdlname": "core_cpu _zz_114_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2207.23-2207.31" + } + }, + "core_cpu._zz_115_": { + "hide_name": 0, + "bits": [ 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566 ], + "attributes": { + "hdlname": "core_cpu _zz_115_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2208.23-2208.31" + } + }, + "core_cpu._zz_116_": { + "hide_name": 0, + "bits": [ 2566 ], + "attributes": { + "hdlname": "core_cpu _zz_116_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2209.23-2209.31" + } + }, + "core_cpu._zz_117_": { + "hide_name": 0, + "bits": [ 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566 ], + "attributes": { + "hdlname": "core_cpu _zz_117_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2210.23-2210.31" + } + }, + "core_cpu._zz_118_": { + "hide_name": 0, + "bits": [ 2580, 2578, 2575, 2596, 2593, 5627, 5626, 5625, 5624, 5623, 5622, 2599, 2590, 2589, 2588, 2586, 2584, 2582, 2572, 2570, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566 ], + "attributes": { + "hdlname": "core_cpu _zz_118_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2211.23-2211.31" + } + }, + "core_cpu._zz_118__PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2597 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_118__PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2598 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_119_": { + "hide_name": 0, + "bits": [ 6188, 6213, 6211, 6210, 6209, 6208, 6207, 6206, 6205, 6204, 6203, 6202, 6200, 6199, 6198, 6197, 6196, 6195, 6194, 6193, 6192, 6191, 6219, 6218, 6217, 6216, 6215, 6214, 6212, 6201, 6190, 6189 ], + "attributes": { + "hdlname": "core_cpu _zz_119_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2242.23-2242.31" + } + }, + "core_cpu._zz_11_": { + "hide_name": 0, + "bits": [ 2844, 2843 ], + "attributes": { + "hdlname": "core_cpu _zz_11_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1812.20-1812.27" + } + }, + "core_cpu._zz_121_": { + "hide_name": 0, + "bits": [ 2601 ], + "attributes": { + "hdlname": "core_cpu _zz_121_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2248.23-2248.31" + } + }, + "core_cpu._zz_121__CCU2C_A0_COUT": { + "hide_name": 0, + "bits": [ "0", 7541, 2604, 7542, 5673, 7543, 5643, 7544, 5637, 7545, 5638, 7546, 5664, 7547, 5659, 7548, 5654, 7549, 5648, 7550, 5649, 7551, 5702, 7552, 5697, 7553, 5692, 7554, 5687, 7555, 5677, 7556 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31" + } + }, + "core_cpu._zz_122_": { + "hide_name": 0, + "bits": [ 2608 ], + "attributes": { + "hdlname": "core_cpu _zz_122_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2249.23-2249.31" + } + }, + "core_cpu._zz_122__CCU2C_A0_COUT": { + "hide_name": 0, + "bits": [ "0", 7557, 2611, 7558, 2647, 7559, 2622, 7560, 2616, 7561, 2617, 7562, 2638, 7563, 2633, 7564, 2627, 7565, 2628, 7566, 2677, 7567, 2672, 7568, 2667, 7569, 2662, 7570, 2656, 7571, 2657, 7572 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31" + } + }, + "core_cpu._zz_122__LUT4_C_Z": { + "hide_name": 0, + "bits": [ 2609, 2610, 2650, 2651, 2645, 2646, 2620, 2621, 2614, 2615, 2641, 2642, 2636, 2637, 2631, 2632, 2625, 2626, 2680, 2681, 2675, 2676, 2670, 2671, 2665, 2666, 2660, 2661, 2654, 2655, 2687, 2685 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:32.22-32.23" + } + }, + "core_cpu._zz_123_": { + "hide_name": 0, + "bits": [ 2711, 2710, 2708, 2707, 2706, 2705, 2704, 2703, 2702, 2701, 2700, 2699, 2697, 2696, 2695, 2694, 2693, 2692, 2691, 2690, 2689, 2688, 2717, 2716, 2715, 2714, 2713, 2712, 2709, 2698, 2686, 2684, "x" ], + "attributes": { + "hdlname": "core_cpu _zz_123_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2250.23-2250.31" + } + }, + "core_cpu._zz_124_": { + "hide_name": 0, + "bits": [ 2720 ], + "attributes": { + "hdlname": "core_cpu _zz_124_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2266.23-2266.31" + } + }, + "core_cpu._zz_125_": { + "hide_name": 0, + "bits": [ 1018, 1019, 527 ], + "attributes": { + "hdlname": "core_cpu _zz_125_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2332.23-2332.31" + } + }, + "core_cpu._zz_125__TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 2721 ], + "attributes": { + } + }, + "core_cpu._zz_125__TRELLIS_FF_Q_CE_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 1897, 2722, 2725 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_125__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 2724, 2723, 2722 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5033.7-5055.14|PQVexRiscvUlx3s.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:575.21-575.22" + } + }, + "core_cpu._zz_126_": { + "hide_name": 0, + "bits": [ "0", "0", "0", 7573, "0", "0", "0", 7574, "0", "0", "0", 7575, 7576, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_126_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2342.23-2342.31", + "unused_bits": "3 7 11 12" + } + }, + "core_cpu._zz_127_": { + "hide_name": 0, + "bits": [ "0", "0", "0", 7577, "0", "0", "0", 7578, "0", "0", "0", 7579, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_127_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2343.23-2343.31", + "unused_bits": "3 7 11" + } + }, + "core_cpu._zz_128_": { + "hide_name": 0, + "bits": [ "0", "0", "0", 7580, "0", "0", "0", 7581, "0", "0", "0", 7582, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_128_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2344.23-2344.31", + "unused_bits": "3 7 11" + } + }, + "core_cpu._zz_12_": { + "hide_name": 0, + "bits": [ 2730, 4001 ], + "attributes": { + "hdlname": "core_cpu _zz_12_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1814.20-1814.27" + } + }, + "core_cpu._zz_130_": { + "hide_name": 0, + "bits": [ 1024, 1024, 7583, 7584, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", 1024 ], + "attributes": { + "hdlname": "core_cpu _zz_130_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2346.23-2346.31", + "unused_bits": "2 3" + } + }, + "core_cpu._zz_136_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu _zz_136_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1492.23-1492.31" + } + }, + "core_cpu._zz_139_": { + "hide_name": 0, + "bits": [ 2532 ], + "attributes": { + "hdlname": "core_cpu _zz_139_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1500.23-1500.31" + } + }, + "core_cpu._zz_13_": { + "hide_name": 0, + "bits": [ 2730, 4001 ], + "attributes": { + "hdlname": "core_cpu _zz_13_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1815.20-1815.27" + } + }, + "core_cpu._zz_13__PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2727 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_13__PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2728 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_140_": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "core_cpu _zz_140_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1501.23-1501.31" + } + }, + "core_cpu._zz_147_": { + "hide_name": 0, + "bits": [ 1004 ], + "attributes": { + "hdlname": "core_cpu _zz_147_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1508.23-1508.31" + } + }, + "core_cpu._zz_14_": { + "hide_name": 0, + "bits": [ 2730, 4001 ], + "attributes": { + "hdlname": "core_cpu _zz_14_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1816.20-1816.27" + } + }, + "core_cpu._zz_150_": { + "hide_name": 0, + "bits": [ 6343, 6342 ], + "attributes": { + "hdlname": "core_cpu _zz_150_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1511.23-1511.31" + } + }, + "core_cpu._zz_151_": { + "hide_name": 0, + "bits": [ 2532 ], + "attributes": { + "hdlname": "core_cpu _zz_151_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1512.23-1512.31" + } + }, + "core_cpu._zz_152_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu _zz_152_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1513.23-1513.31" + } + }, + "core_cpu._zz_157_": { + "hide_name": 0, + "bits": [ 3611, 3612 ], + "attributes": { + "hdlname": "core_cpu _zz_157_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1518.23-1518.31" + } + }, + "core_cpu._zz_15_": { + "hide_name": 0, + "bits": [ 6000 ], + "attributes": { + "hdlname": "core_cpu _zz_15_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1817.20-1817.27" + } + }, + "core_cpu._zz_160_": { + "hide_name": 0, + "bits": [ 2719, 5362, 5366, 5367, 5359, 5358 ], + "attributes": { + "hdlname": "core_cpu _zz_160_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1521.23-1521.31" + } + }, + "core_cpu._zz_161_": { + "hide_name": 0, + "bits": [ 1012 ], + "attributes": { + "hdlname": "core_cpu _zz_161_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1522.23-1522.31" + } + }, + "core_cpu._zz_165_": { + "hide_name": 0, + "bits": [ 3611, 3612 ], + "attributes": { + "hdlname": "core_cpu _zz_165_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1526.23-1526.31" + } + }, + "core_cpu._zz_166_": { + "hide_name": 0, + "bits": [ 180 ], + "attributes": { + "hdlname": "core_cpu _zz_166_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1527.23-1527.31" + } + }, + "core_cpu._zz_167_": { + "hide_name": 0, + "bits": [ 3611, 3612 ], + "attributes": { + "hdlname": "core_cpu _zz_167_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1528.23-1528.31" + } + }, + "core_cpu._zz_168_": { + "hide_name": 0, + "bits": [ 5405 ], + "attributes": { + "hdlname": "core_cpu _zz_168_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1529.23-1529.31" + } + }, + "core_cpu._zz_169_": { + "hide_name": 0, + "bits": [ 5404 ], + "attributes": { + "hdlname": "core_cpu _zz_169_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1530.23-1530.31" + } + }, + "core_cpu._zz_16_": { + "hide_name": 0, + "bits": [ 6000 ], + "attributes": { + "hdlname": "core_cpu _zz_16_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1818.20-1818.27" + } + }, + "core_cpu._zz_170_": { + "hide_name": 0, + "bits": [ 5398 ], + "attributes": { + "hdlname": "core_cpu _zz_170_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1531.23-1531.31" + } + }, + "core_cpu._zz_171_": { + "hide_name": 0, + "bits": [ 5401 ], + "attributes": { + "hdlname": "core_cpu _zz_171_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1532.23-1532.31" + } + }, + "core_cpu._zz_172_": { + "hide_name": 0, + "bits": [ 2731 ], + "attributes": { + "hdlname": "core_cpu _zz_172_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1533.23-1533.31" + } + }, + "core_cpu._zz_172__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 2735 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_172__TRELLIS_FF_Q_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2736 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_172__TRELLIS_FF_Q_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2737 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_173_": { + "hide_name": 0, + "bits": [ 2988, 2939, 2985, 2923, 2813, 2802, 2790, 2782, 2982, 2774, 2979, 2765, 2976, 2973, 2970, 2759, 2757, 2755, 2753, 2751, 2749, 2747, 2964, 2961, 2960, 2954, 2950, 2945, 2944, 2787, 2744, 2742, "x" ], + "attributes": { + "hdlname": "core_cpu _zz_173_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1534.23-1534.31" + } + }, + "core_cpu._zz_174_": { + "hide_name": 0, + "bits": [ 2988, 2939, 2985, 2923, 2813, 2802, 2790, 2782, 2982, 2774, 2979, 2765, 2976, 2973, 2970, 2759, 2757, 2755, 2753, 2751, 2749, 2747, 2964, 2961, 2960, 2954, 2950, 2945, 2944, 2787, 2744, 2742 ], + "attributes": { + "hdlname": "core_cpu _zz_174_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1535.23-1535.31" + } + }, + "core_cpu._zz_174__LUT4_Z_16_D": { + "hide_name": 0, + "bits": [ 2740, 2746, 2758 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_17_B": { + "hide_name": 0, + "bits": [ 2763, 2764, 2739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_17_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2766 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__LUT4_Z_17_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2767 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__LUT4_Z_18_B": { + "hide_name": 0, + "bits": [ 2772, 2773, 2739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_18_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2775 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__LUT4_Z_18_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2776 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__LUT4_Z_19_B": { + "hide_name": 0, + "bits": [ 2780, 2781, 2739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_19_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2783 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__LUT4_Z_19_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2784 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__LUT4_Z_20_B": { + "hide_name": 0, + "bits": [ 2788, 2789, 2739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_20_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2791 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__LUT4_Z_20_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2792 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2854, 2800, 2794, 1527, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_21_B": { + "hide_name": 0, + "bits": [ 2801, 2745, 2739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_21_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2803 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__LUT4_Z_21_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2804 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__LUT4_Z_21_C": { + "hide_name": 0, + "bits": [ 2745, 2739, 2746 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_21_C_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2807, 2808, 2762 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_21_C_LUT4_Z_C_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2805, 2807, 2786, 2762, 2739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_B": { + "hide_name": 0, + "bits": [ 2812, 2748, 2739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2814 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2815 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C": { + "hide_name": 0, + "bits": [ 2748, 2739, 2746 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2820, 2741, 2762 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2816, 2819, 2821, 2762, 2739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2823, 2824, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_B": { + "hide_name": 0, + "bits": [ 2822, 2823, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 2825, 2741, 2762 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2849, 2832, 2830, 2762, 2739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2831, 2822, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2833, 2834, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_B_LUT4_Z_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2837 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_B_LUT4_Z_B_PFUMX_Z_ALUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 2845, 2846, 2839, 2847, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_B_LUT4_Z_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2838 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 2851, 2849, 2756, 2762, 2739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_1_B": { + "hide_name": 0, + "bits": [ 2850, 2817, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_1_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2852 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_1_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2853 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2818, 2848, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2817, 2818, 2816, 2771, 2762 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2796, 2797, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2797, 2857, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2859, 2862, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 2869, 2864, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_C": { + "hide_name": 0, + "bits": [ 2865, 2872, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2806, 2778, 2805, 2771, 2762 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_B_Z_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2867, 2809, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_B_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 2785, 2769, 2760, 2771, 2762 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2875, 2876, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 2794, 2795, 2793, 2771, 2762 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2863, 2866, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2879, 2884, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_B_LUT4_Z_C_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2872, 2885, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_B_LUT4_Z_C_LUT4_B_Z_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2778, 2779, 2777, 2771, 2762 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2876, 2833, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2877, 2878, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C_LUT4_B_Z_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 2893, 2888, 2752, 2762, 2739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C_LUT4_B_Z_LUT4_C_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2891 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C_LUT4_B_Z_LUT4_C_Z_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2892 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2894 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_C_Z_LUT4_C_Z_LUT4_Z_C_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2895 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2827, 2896, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2897, 2741, 2898, 1527, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1_B": { + "hide_name": 0, + "bits": [ 2901, 2902, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1_B_LUT4_C_B": { + "hide_name": 0, + "bits": [ 2909, 2903, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1_B_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 2911, 2904, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2899, 2900, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_C_LUT4_B_C": { + "hide_name": 0, + "bits": [ 2914, 2916, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_22_C_LUT4_Z_C_LUT4_Z_B_LUT4_Z_B_LUT4_Z_C_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2916, 1542, 2915, 2741, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_23_A": { + "hide_name": 0, + "bits": [ 2921, 2922, 2750, 2739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 2924, 2785, 2762, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2855, 2927, 2925, 1542, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_B_LUT4_Z_1_D": { + "hide_name": 0, + "bits": [ 2846, 2845, 2884, 2847, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2927, 2926, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_B_LUT4_Z_B_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 2855, 1542, 2854, 2856, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2926, 2798, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2868, 2869, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_C_LUT4_Z_1_B": { + "hide_name": 0, + "bits": [ 2799, 2860, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_C_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2861, 2858, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_23_A_LUT4_Z_1_A_LUT4_Z_C_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2858, 2859, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_23_C": { + "hide_name": 0, + "bits": [ 2750, 2739, 2746 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_24_A": { + "hide_name": 0, + "bits": [ 2937, 2938, 2754, 2739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_24_A_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 2940, 2806, 2762, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_24_A_LUT4_Z_1_A_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2941 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__LUT4_Z_24_A_LUT4_Z_1_A_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2942 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__LUT4_Z_24_C": { + "hide_name": 0, + "bits": [ 2754, 2739, 2746 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_4_B": { + "hide_name": 0, + "bits": [ 2764, 2739, 2746 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_4_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2768, 2936, 2762 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_4_B_LUT4_Z_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2946, 2947, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_5_B": { + "hide_name": 0, + "bits": [ 2888, 2889, 2949, 2762, 2739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_5_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2889, 2890, 2762 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_5_B_LUT4_Z_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2952 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__LUT4_Z_5_B_LUT4_Z_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2953 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__LUT4_Z_6_B": { + "hide_name": 0, + "bits": [ 2773, 2739, 2746 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2943, 2741, 2762 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2809, 2810, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1_B": { + "hide_name": 0, + "bits": [ 2870, 2955, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2967, 2956, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z_1_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2957, 2910, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2904, 2948, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_B_LUT4_Z_C_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2902, 2899, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2811, 2741, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2958 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__LUT4_Z_6_B_LUT4_Z_B_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2959 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__LUT4_Z_8_B": { + "hide_name": 0, + "bits": [ 2781, 2739, 2746 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_8_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2741, 2962, 2761, 2762 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_8_B_LUT4_Z_C_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2871, 2963, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_8_B_LUT4_Z_C_LUT4_Z_B_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2769, 2770, 2768, 2771, 2762 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_9_B": { + "hide_name": 0, + "bits": [ 2789, 2739, 2746 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2966, 2741, 2762 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2793, 2965, 2743, 2762, 2739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2878, 2951, 2771 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z_1_C": { + "hide_name": 0, + "bits": [ 2834, 2828, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z_1_C_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2836, 2967, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z_1_C_LUT4_Z_B_LUT4_C_B": { + "hide_name": 0, + "bits": [ 2835, 2836, 1542 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z_1_C_LUT4_Z_B_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 2840, 2870, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_9_B_LUT4_Z_C_LUT4_B_Z_LUT4_Z_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2829, 2826, 1527 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_9_D": { + "hide_name": 0, + "bits": [ 2756, 2739, 2746 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2739, 2740, 2741 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__LUT4_Z_C_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 2762, 2962 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_174__PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 2971 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 2972 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__PFUMX_Z_2_ALUT": { + "hide_name": 0, + "bits": [ 2974 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__PFUMX_Z_2_BLUT": { + "hide_name": 0, + "bits": [ 2975 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__PFUMX_Z_3_ALUT": { + "hide_name": 0, + "bits": [ 2977 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__PFUMX_Z_3_BLUT": { + "hide_name": 0, + "bits": [ 2978 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__PFUMX_Z_4_ALUT": { + "hide_name": 0, + "bits": [ 2980 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__PFUMX_Z_4_BLUT": { + "hide_name": 0, + "bits": [ 2981 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__PFUMX_Z_5_ALUT": { + "hide_name": 0, + "bits": [ 2983 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__PFUMX_Z_5_BLUT": { + "hide_name": 0, + "bits": [ 2984 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__PFUMX_Z_6_ALUT": { + "hide_name": 0, + "bits": [ 2986 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__PFUMX_Z_6_BLUT": { + "hide_name": 0, + "bits": [ 2987 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_174__PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 2968 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_174__PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2969 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_176_": { + "hide_name": 0, + "bits": [ 5404 ], + "attributes": { + "hdlname": "core_cpu _zz_176_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1537.23-1537.31" + } + }, + "core_cpu._zz_177_": { + "hide_name": 0, + "bits": [ 5370 ], + "attributes": { + "hdlname": "core_cpu _zz_177_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1538.23-1538.31" + } + }, + "core_cpu._zz_178_": { + "hide_name": 0, + "bits": [ 3104, 3105, 3024, 3025, 3079, 3080, 3002, 3003, 2995, 2996, 3099, 3100, 3093, 3094, 3086, 3087, 3073, 3074, 3066, 3067, 3059, 3060, 3052, 3053, 3045, 3046, 3038, 3039, 3031, 3032, 3016, 3017, 3009, 3010, 3139, 3140, 3135, 3136, 3130, 3131, 3125, 3126, 3120, 3121, 3115, 3116, 3110, 3111 ], + "attributes": { + "hdlname": "core_cpu _zz_178_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1539.23-1539.31" + } + }, + "core_cpu._zz_178__CCU2C_S0_3_COUT": { + "hide_name": 0, + "bits": [ "0", 3022, 7585, 3023, 7586, 3001, 7587, 2993, 7588, 2994, 7589, 3092, 7590, 3085, 7591, 3072, 7592, 3065, 7593, 3058, 7594, 3051, 7595, 3044, 7596, 3037, 7597, 3030, 7598, 3015, 7599, 3007, 7600, 3008, 7601, 3134, 7602, 3129, 7603, 3124, 7604, 3119, 7605, 3114, 7606, 3108, 7607, 3109 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:38.23-38.25", + "unused_bits": "2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 47" + } + }, + "core_cpu._zz_178__CCU2C_S0_A0": { + "hide_name": 0, + "bits": [ 3101, 3102, 3018, 3019, 3075, 3076, 2997, 2998, 2989, 2990, 3095, 3096, 3088, 3089, 3081, 3082, 3068, 3069, 3061, 3062, 3054, 3055, 3047, 3048, 3040, 3041, 3033, 3034, 3026, 3027, 3011, 3012, 3004, 3005, 3137, 3138, 3132, 3133, 3127, 3128, 3122, 3123, 3117, 3118, 3112, 3113, 3106, 3107 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/techmap.v:200.24-200.25" + } + }, + "core_cpu._zz_178__CCU2C_S0_B0": { + "hide_name": 0, + "bits": [ 3103, 3020, 3021, 3077, 3078, 2999, 3000, 2991, 2992, 3097, 3098, 3090, 3091, 3083, 3084, 3070, 3071, 3063, 3064, 3056, 3057, 3049, 3050, 3042, 3043, 3035, 3036, 3028, 3029, 3013, 3014, 3006, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/techmap.v:200.21-200.22" + } + }, + "core_cpu._zz_178__CCU2C_S0_COUT": { + "hide_name": 0, + "bits": [ "0", "0", 3022, 7585, 3023, 7586, 3001, 7587, 2993, 7588, 2994, 7589, 3092, 7590, 3085, 7591, 3072, 7592, 3065, 7593, 3058, 7594, 3051, 7595, 3044, 7596, 3037, 7597, 3030, 7598, 3015, 7599, 3007, 7600, 3008, 7601, 3134, 7602, 3129, 7603, 3124, 7604, 3119, 7605, 3114, 7606, 3108, 7607 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47" + } + }, + "core_cpu._zz_179_": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_179_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1540.23-1540.31" + } + }, + "core_cpu._zz_17_": { + "hide_name": 0, + "bits": [ 5605 ], + "attributes": { + "hdlname": "core_cpu _zz_17_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1819.20-1819.27" + } + }, + "core_cpu._zz_180_": { + "hide_name": 0, + "bits": [ 5403 ], + "attributes": { + "hdlname": "core_cpu _zz_180_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1541.23-1541.31" + } + }, + "core_cpu._zz_181_": { + "hide_name": 0, + "bits": [ 5372 ], + "attributes": { + "hdlname": "core_cpu _zz_181_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1542.23-1542.31" + } + }, + "core_cpu._zz_182_": { + "hide_name": 0, + "bits": [ "0", 1242, 1240, 1238, 1236, 1234, 1232, 1230, 1228, 1226, 1224, 1220, 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1256, 1254, 1252, 1250, 1248, 1246, 1244, 1222, 1200, 1198 ], + "attributes": { + "hdlname": "core_cpu _zz_182_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1543.23-1543.31" + } + }, + "core_cpu._zz_183_": { + "hide_name": 0, + "bits": [ "0", 1242, 1240, 1238, 1236, 1234, 1232, 1230, 1228, 1226, 1224, 1220, 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1256, 1254, 1252, 1250, 1248, 1246, 1244, 1222, 1200, 1198 ], + "attributes": { + "hdlname": "core_cpu _zz_183_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1544.23-1544.31" + } + }, + "core_cpu._zz_184_": { + "hide_name": 0, + "bits": [ "0", 1242, 1240, 1238, 1236, 1234, 1232, 1230, 1228, 1226, 1224, 1220, 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1256, 1254, 1252, 1250, 1248, 1246, 1244, 1222, 1200, 1198 ], + "attributes": { + "hdlname": "core_cpu _zz_184_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1545.23-1545.31" + } + }, + "core_cpu._zz_185_": { + "hide_name": 0, + "bits": [ 5573 ], + "attributes": { + "hdlname": "core_cpu _zz_185_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1546.23-1546.31" + } + }, + "core_cpu._zz_189_": { + "hide_name": 0, + "bits": [ 5575 ], + "attributes": { + "hdlname": "core_cpu _zz_189_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1550.23-1550.31" + } + }, + "core_cpu._zz_18_": { + "hide_name": 0, + "bits": [ 5605 ], + "attributes": { + "hdlname": "core_cpu _zz_18_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1820.20-1820.27" + } + }, + "core_cpu._zz_191_": { + "hide_name": 0, + "bits": [ 3239 ], + "attributes": { + "hdlname": "core_cpu _zz_191_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1552.23-1552.31" + } + }, + "core_cpu._zz_191__LUT4_Z_D": { + "hide_name": 0, + "bits": [ 2340, 2731, 3237, 3238 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_191__LUT4_Z_D_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3240 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_191__LUT4_Z_D_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3241 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_192_": { + "hide_name": 0, + "bits": [ 7608, "x" ], + "attributes": { + "hdlname": "core_cpu _zz_192_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1553.23-1553.31", + "unused_bits": "0 " + } + }, + "core_cpu._zz_193_": { + "hide_name": 0, + "bits": [ 7609, "x" ], + "attributes": { + "hdlname": "core_cpu _zz_193_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1554.23-1554.31", + "unused_bits": "0 " + } + }, + "core_cpu._zz_194_": { + "hide_name": 0, + "bits": [ "0", "0", 1902 ], + "attributes": { + "hdlname": "core_cpu _zz_194_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1555.23-1555.31" + } + }, + "core_cpu._zz_195_": { + "hide_name": 0, + "bits": [ "0", "0", 1902, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_195_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1556.23-1556.31" + } + }, + "core_cpu._zz_198_": { + "hide_name": 0, + "bits": [ 7610, "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_198_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1559.23-1559.31", + "unused_bits": "0 " + } + }, + "core_cpu._zz_19_": { + "hide_name": 0, + "bits": [ 3325 ], + "attributes": { + "hdlname": "core_cpu _zz_19_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1822.20-1822.27" + } + }, + "core_cpu._zz_1_": { + "hide_name": 0, + "bits": [ 3992, 3991 ], + "attributes": { + "hdlname": "core_cpu _zz_1_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1769.20-1769.26" + } + }, + "core_cpu._zz_200_": { + "hide_name": 0, + "bits": [ 7611, "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_200_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1561.23-1561.31", + "unused_bits": "0 " + } + }, + "core_cpu._zz_202_": { + "hide_name": 0, + "bits": [ 7612, "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_202_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1563.23-1563.31", + "unused_bits": "0 " + } + }, + "core_cpu._zz_204_": { + "hide_name": 0, + "bits": [ "0", "0", "1" ], + "attributes": { + "hdlname": "core_cpu _zz_204_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1565.23-1565.31" + } + }, + "core_cpu._zz_205_": { + "hide_name": 0, + "bits": [ 2499, 2525, 2522, 2526, 2523 ], + "attributes": { + "hdlname": "core_cpu _zz_205_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1566.23-1566.31" + } + }, + "core_cpu._zz_206_": { + "hide_name": 0, + "bits": [ 2504, 2512, 2507, 2514, 2509, 3995, 4588, 5190, 3326, 4581, 3996, 2565 ], + "attributes": { + "hdlname": "core_cpu _zz_206_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1567.23-1567.31" + } + }, + "core_cpu._zz_207_": { + "hide_name": 0, + "bits": [ 5145, 5141, 5137, 5210, 5206, 3995, 4588, 5190, 3326, 4581, 3996, 2565 ], + "attributes": { + "hdlname": "core_cpu _zz_207_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1568.23-1568.31" + } + }, + "core_cpu._zz_208_": { + "hide_name": 0, + "bits": [ 3283, 3284, 3298, 3299, 3288, 3289, 3252, 3253, 3247, 3248, 3277, 3278, 3273, 3274, 3268, 3269, 3263, 3264, 3258, 3259, 3322, 3323, 3318, 3319, 3313, 3314, 3308, 3309, 3303, 3304, 3294, 3295 ], + "attributes": { + "hdlname": "core_cpu _zz_208_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1569.23-1569.31" + } + }, + "core_cpu._zz_208__CCU2C_S0_3_COUT": { + "hide_name": 0, + "bits": [ 7613, 3282, 7614, 3287, 7615, 3251, 7616, 3245, 7617, 3246, 7618, 3272, 7619, 3267, 7620, 3262, 7621, 3256, 7622, 3257, 7623, 3317, 7624, 3312, 7625, 3307, 7626, 3302, 7627, 3292, 7628, 3293 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:38.23-38.25", + "unused_bits": "0 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 31" + } + }, + "core_cpu._zz_208__CCU2C_S0_COUT": { + "hide_name": 0, + "bits": [ 3281, 7613, 3282, 7614, 3287, 7615, 3251, 7616, 3245, 7617, 3246, 7618, 3272, 7619, 3267, 7620, 3262, 7621, 3256, 7622, 3257, 7623, 3317, 7624, 3312, 7625, 3307, 7626, 3302, 7627, 3292, 7628 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31" + } + }, + "core_cpu._zz_20_": { + "hide_name": 0, + "bits": [ 3325 ], + "attributes": { + "hdlname": "core_cpu _zz_20_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1823.20-1823.27" + } + }, + "core_cpu._zz_20__LUT4_Z_D": { + "hide_name": 0, + "bits": [ 3242, 2729, 3326, 3324 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 3333, 3339, 3332, 3334, 3356, 3327, 1000 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A": { + "hide_name": 0, + "bits": [ 3335, 3336, 3337, 3338 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_2_C": { + "hide_name": 0, + "bits": [ 1240, 1797, 3340, 3341 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A": { + "hide_name": 0, + "bits": [ 3342, 3343, 3344, 3345 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A_LUT4_Z_2_B": { + "hide_name": 0, + "bits": [ 3346, 3347, 3348 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A_LUT4_Z_3_C": { + "hide_name": 0, + "bits": [ 1206, 1780, 3349, 3350 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A_LUT4_Z_3_C_LUT4_Z_1_D": { + "hide_name": 0, + "bits": [ 1208, 1781, 3352 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_3_A_LUT4_Z_3_A_LUT4_Z_3_C_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 1202, 1778, 3351 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A": { + "hide_name": 0, + "bits": [ 1759, 3353, 3354, 3355 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 3357, 3358, 3359 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_LUT4_Z_B_LUT4_Z_2_C": { + "hide_name": 0, + "bits": [ 1206, 1698, 3360, 3361 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 3365 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 3366 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_PFUMX_Z_1_C0": { + "hide_name": 0, + "bits": [ 1220, 1711, 1212, 1703, 3367 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3362 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3363 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_4_A_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 1238, 1729, 1725, 1234, 3364 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 3328, 3329, 3330, 3331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_A_LUT4_Z_2_C": { + "hide_name": 0, + "bits": [ 1240, 1847, 3368, 3369 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_A_LUT4_Z_3_A": { + "hide_name": 0, + "bits": [ 3370, 3371, 3372, 3373 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_20__LUT4_Z_D_LUT4_D_Z_LUT4_Z_A_LUT4_Z_3_A_LUT4_Z_3_D": { + "hide_name": 0, + "bits": [ 1200, 1827, 3374 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_210_": { + "hide_name": 0, + "bits": [ 1541, 1526, 2919, 2929, 2931, 2912, 2905, 2907, 2935, 2933, 2874, 2883, 2881, 2887, 2841, 2845, 2846, 2842, 2886, 2880, 2882, 2873, 2932, 2934, 2908, 2906, 2913, 2930, 2928, 2920, 2917, 2918 ], + "attributes": { + "hdlname": "core_cpu _zz_210_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1571.23-1571.31" + } + }, + "core_cpu._zz_211_": { + "hide_name": 0, + "bits": [ 3281, 3280, 3296, 3297, 3285, 3286, 3249, 3250, 3243, 3244, 3275, 3276, 3270, 3271, 3265, 3266, 3260, 3261, 3254, 3255, 3320, 3321, 3315, 3316, 3310, 3311, 3305, 3306, 3300, 3301, 3290, 3291 ], + "attributes": { + "hdlname": "core_cpu _zz_211_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1572.23-1572.31" + } + }, + "core_cpu._zz_212_": { + "hide_name": 0, + "bits": [ 3279, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_212_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1573.23-1573.31" + } + }, + "core_cpu._zz_213_": { + "hide_name": 0, + "bits": [ "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_213_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1574.23-1574.31" + } + }, + "core_cpu._zz_214_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_214_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1575.23-1575.31" + } + }, + "core_cpu._zz_215_": { + "hide_name": 0, + "bits": [ 2576, 2573, 2594, 2591, 5627, 5626, 5625, 5624, 5623, 5622, 2579, 187, 180, 2587, 2585, 2583, 2581, 2571, 2567, 2566 ], + "attributes": { + "hdlname": "core_cpu _zz_215_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1576.23-1576.31" + } + }, + "core_cpu._zz_216_": { + "hide_name": 0, + "bits": [ 2579, 2576, 2573, 2594, 2591, 5627, 5626, 5625, 5624, 5623, 5622, 2566 ], + "attributes": { + "hdlname": "core_cpu _zz_216_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1577.23-1577.31" + } + }, + "core_cpu._zz_217_": { + "hide_name": 0, + "bits": [ 2577, 2574, 2595, 2592, 5627, 5626, 5625, 5624, 5623, 5622, 2600, 2566 ], + "attributes": { + "hdlname": "core_cpu _zz_217_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1578.23-1578.31" + } + }, + "core_cpu._zz_218_": { + "hide_name": 0, + "bits": [ 3218, 3215, 3209, 3206, 3203, 3200, 3197, 3194, 3191, 3188, 3185, 3182, 3176, 3173, 3170, 3167, 3162, 3159, 3156, 3153, 3150, 3147, 3234, 3231, 3228, 3225, 3222, 3219, 3210, 3177, 3144, 3141, "0" ], + "attributes": { + "hdlname": "core_cpu _zz_218_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1579.23-1579.31" + } + }, + "core_cpu._zz_219_": { + "hide_name": 0, + "bits": [ 3457, 3458, 3478, 3479, 3464, 3465, 3415, 3416, 3408, 3409, 3450, 3451, 3444, 3445, 3437, 3438, 3430, 3431, 3423, 3424, 3512, 3513, 3506, 3507, 3499, 3500, 3492, 3493, 3485, 3486, 3472, 3473 ], + "attributes": { + "hdlname": "core_cpu _zz_219_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1580.23-1580.31" + } + }, + "core_cpu._zz_219__CCU2C_S0_3_COUT": { + "hide_name": 0, + "bits": [ 7629, 3456, 7630, 3463, 7631, 3414, 7632, 3406, 7633, 3407, 7634, 3443, 7635, 3436, 7636, 3429, 7637, 3421, 7638, 3422, 7639, 3505, 7640, 3498, 7641, 3491, 7642, 3484, 7643, 3470, 7644, 3471 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:38.23-38.25", + "unused_bits": "0 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 31" + } + }, + "core_cpu._zz_219__CCU2C_S0_A0": { + "hide_name": 0, + "bits": [ 3452, 3453, 3474, 3475, 3459, 3460, 3410, 3411, 3402, 3403, 3446, 3447, 3439, 3440, 3432, 3433, 3425, 3426, 3417, 3418, 3508, 3509, 3501, 3502, 3494, 3495, 3487, 3488, 3480, 3481, 3466, 3467 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/techmap.v:200.24-200.25" + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3608 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_A0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3609 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0": { + "hide_name": 0, + "bits": [ 3455, 3476, 3477, 3461, 3462, 3412, 3413, 3404, 3405, 3448, 3449, 3441, 3442, 3434, 3435, 3427, 3428, 3419, 3420, 3510, 3511, 3503, 3504, 3496, 3497, 3489, 3490, 3482, 3483, 3468, 3469, 7645 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/techmap.v:200.21-200.22", + "unused_bits": "31" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_10_A": { + "hide_name": 0, + "bits": [ 3522, 3523, 3521, 3514 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_11_A": { + "hide_name": 0, + "bits": [ 3514, 3524, 3525, 3526 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_12_A": { + "hide_name": 0, + "bits": [ 3514, 3527, 3528, 3529 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_13_A": { + "hide_name": 0, + "bits": [ 3514, 3530, 3531, 3532 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_14_A": { + "hide_name": 0, + "bits": [ 3514, 3533, 3534, 3535 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_15_A": { + "hide_name": 0, + "bits": [ 3537, 3538, 3536, 3514 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_16_A": { + "hide_name": 0, + "bits": [ 3514, 3539, 3540, 3541 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_17_A": { + "hide_name": 0, + "bits": [ 3514, 3542, 3543, 3544 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_18_A": { + "hide_name": 0, + "bits": [ 3546, 3547, 3545, 3514 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_19_A": { + "hide_name": 0, + "bits": [ 3514, 3548, 3549, 3550 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 3514, 3518, 3519, 3520 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_20_A": { + "hide_name": 0, + "bits": [ 3514, 3554, 3555, 3556 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_21_A": { + "hide_name": 0, + "bits": [ 3514, 3557, 3558, 3559 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_22_A": { + "hide_name": 0, + "bits": [ 3514, 3560, 3561, 3562 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_23_A": { + "hide_name": 0, + "bits": [ 3564, 3565, 3563, 3514 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_24_A": { + "hide_name": 0, + "bits": [ 3514, 3566, 3567, 3568 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_25_A": { + "hide_name": 0, + "bits": [ 3514, 3569, 3570, 3571 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_26_A": { + "hide_name": 0, + "bits": [ 3514, 3572, 3573, 3574 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_27_A": { + "hide_name": 0, + "bits": [ 3514, 3575, 3576, 3577 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_28_A": { + "hide_name": 0, + "bits": [ 3579, 3580, 3578, 3514 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_29_B": { + "hide_name": 0, + "bits": [ 3582, 3644 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_2_A": { + "hide_name": 0, + "bits": [ 3514, 3551, 3552, 3553 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_3_A": { + "hide_name": 0, + "bits": [ 3585, 3586, 3584, 3514 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_4_A": { + "hide_name": 0, + "bits": [ 3514, 3590, 3591, 3592 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_5_A": { + "hide_name": 0, + "bits": [ 3514, 3593, 3594, 3595 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_6_A": { + "hide_name": 0, + "bits": [ 3514, 3596, 3597, 3598 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_7_A": { + "hide_name": 0, + "bits": [ 3514, 3599, 3600, 3601 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_8_A": { + "hide_name": 0, + "bits": [ 3603, 3604, 3602, 3514 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_9_A": { + "hide_name": 0, + "bits": [ 3514, 3605, 3606, 3607 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 3514, 3515, 3516, 3517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_B0_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 3588, 3589, 3587, 3514 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_219__CCU2C_S0_COUT": { + "hide_name": 0, + "bits": [ 3454, 7629, 3456, 7630, 3463, 7631, 3414, 7632, 3406, 7633, 3407, 7634, 3443, 7635, 3436, 7636, 3429, 7637, 3421, 7638, 3422, 7639, 3505, 7640, 3498, 7641, 3491, 7642, 3484, 7643, 3470, 7644 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31" + } + }, + "core_cpu._zz_21_": { + "hide_name": 0, + "bits": [ 3325 ], + "attributes": { + "hdlname": "core_cpu _zz_21_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1824.20-1824.27" + } + }, + "core_cpu._zz_222_": { + "hide_name": 0, + "bits": [ 5630 ], + "attributes": { + "hdlname": "core_cpu _zz_222_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1583.23-1583.31" + } + }, + "core_cpu._zz_223_": { + "hide_name": 0, + "bits": [ 5630, "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_223_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1584.23-1584.31" + } + }, + "core_cpu._zz_224_": { + "hide_name": 0, + "bits": [ 6280, 6281, 6292, 6293, 6283, 6284, 6259, 6260, 6255, 6256, 6278, 6279, 6275, 6276, 6272, 6273, 6269, 6270, 6266, 6267, 6262, 6263, 6303, 6304, 6300, 6301, 6297, 6298, 6294, 6295, 6289, 6290, "0" ], + "attributes": { + "hdlname": "core_cpu _zz_224_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1585.23-1585.31" + } + }, + "core_cpu._zz_225_": { + "hide_name": 0, + "bits": [ 6248, 6247, 6245, 6244, 6243, 6242, 6241, 6240, 6239, 6238, 6237, 6236, 6234, 6233, 6232, 6231, 6230, 6229, 6228, 6227, 6226, 6225, 6254, 6253, 6252, 6251, 6250, 6249, 6246, 6235, 6224, 6222 ], + "attributes": { + "hdlname": "core_cpu _zz_225_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1586.23-1586.31" + } + }, + "core_cpu._zz_226_": { + "hide_name": 0, + "bits": [ 6189, 6147, 6145, 6141, 6139, 6137, 6135, 6133, 6131, 6129, 6127, 6125, 6123, 6119, 6117, 6115, 6113, 6111, 6109, 6107, 6105, 6103, 6101, 6159, 6157, 6155, 6153, 6151, 6149, 6143, 6121, 6099 ], + "attributes": { + "hdlname": "core_cpu _zz_226_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1587.23-1587.31" + } + }, + "core_cpu._zz_227_": { + "hide_name": 0, + "bits": [ 7646, 6188, 6213, 6211, 6210, 6209, 6208, 6207, 6206, 6205, 6204, 6203, 6202, 6200, 6199, 6198, 6197, 6196, 6195, 6194, 6193, 6192, 6191, 6219, 6218, 6217, 6216, 6215, 6214, 6212, 6201, 6190, 6189 ], + "attributes": { + "hdlname": "core_cpu _zz_227_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1588.23-1588.31", + "unused_bits": "0 " + } + }, + "core_cpu._zz_228_": { + "hide_name": 0, + "bits": [ 3685, 3686, 3700, 3701, 3690, 3691, 3654, 3655, 3649, 3650, 3679, 3680, 3675, 3676, 3670, 3671, 3665, 3666, 3660, 3661, 3724, 3725, 3720, 3721, 3715, 3716, 3710, 3711, 3705, 3706, 3696, 3697, "x" ], + "attributes": { + "hdlname": "core_cpu _zz_228_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1589.23-1589.31" + } + }, + "core_cpu._zz_228__CCU2C_S0_3_COUT": { + "hide_name": 0, + "bits": [ 7647, 3684, 7648, 3689, 7649, 3653, 7650, 3647, 7651, 3648, 7652, 3674, 7653, 3669, 7654, 3664, 7655, 3658, 7656, 3659, 7657, 3719, 7658, 3714, 7659, 3709, 7660, 3704, 7661, 3694, 7662, 3695 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:38.23-38.25", + "unused_bits": "0 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 31" + } + }, + "core_cpu._zz_228__CCU2C_S0_B0": { + "hide_name": 0, + "bits": [ 3682, 3683, 3698, 3699, 3687, 3688, 3651, 3652, 3645, 3646, 3677, 3678, 3672, 3673, 3667, 3668, 3662, 3663, 3656, 3657, 3722, 3723, 3717, 3718, 3712, 3713, 3707, 3708, 3702, 3703, 3692, 3693 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:32.22-32.23" + } + }, + "core_cpu._zz_228__CCU2C_S0_COUT": { + "hide_name": 0, + "bits": [ "0", 7647, 3684, 7648, 3689, 7649, 3653, 7650, 3647, 7651, 3648, 7652, 3674, 7653, 3669, 7654, 3664, 7655, 3658, 7656, 3659, 7657, 3719, 7658, 3714, 7659, 3709, 7660, 3704, 7661, 3694, 7662 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2515.22-2515.111|/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31" + } + }, + "core_cpu._zz_229_": { + "hide_name": 0, + "bits": [ 3685, 3686, 3700, 3701, 3690, 3691, 3654, 3655, 3649, 3650, 3679, 3680, 3675, 3676, 3670, 3671, 3665, 3666, 3660, 3661, 3724, 3725, 3720, 3721, 3715, 3716, 3710, 3711, 3705, 3706, 3696, 3697, "x" ], + "attributes": { + "hdlname": "core_cpu _zz_229_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1590.23-1590.31" + } + }, + "core_cpu._zz_22_": { + "hide_name": 0, + "bits": [ 2568, 2569 ], + "attributes": { + "hdlname": "core_cpu _zz_22_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1845.20-1845.27" + } + }, + "core_cpu._zz_230_": { + "hide_name": 0, + "bits": [ 3685, 3686, 3700, 3701, 3690, 3691, 3654, 3655, 3649, 3650, 3679, 3680, 3675, 3676, 3670, 3671, 3665, 3666, 3660, 3661, 3724, 3725, 3720, 3721, 3715, 3716, 3710, 3711, 3705, 3706, 3696, 3697, "x" ], + "attributes": { + "hdlname": "core_cpu _zz_230_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1591.23-1591.31" + } + }, + "core_cpu._zz_231_": { + "hide_name": 0, + "bits": [ 3681 ], + "attributes": { + "hdlname": "core_cpu _zz_231_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1592.23-1592.31" + } + }, + "core_cpu._zz_232_": { + "hide_name": 0, + "bits": [ 3681, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_232_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1593.23-1593.31" + } + }, + "core_cpu._zz_233_": { + "hide_name": 0, + "bits": [ 2608 ], + "attributes": { + "hdlname": "core_cpu _zz_233_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1594.23-1594.31" + } + }, + "core_cpu._zz_234_": { + "hide_name": 0, + "bits": [ 2608, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_234_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1595.23-1595.31" + } + }, + "core_cpu._zz_235_": { + "hide_name": 0, + "bits": [ 2601 ], + "attributes": { + "hdlname": "core_cpu _zz_235_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1596.23-1596.31" + } + }, + "core_cpu._zz_236_": { + "hide_name": 0, + "bits": [ 2601, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_236_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1597.23-1597.31" + } + }, + "core_cpu._zz_237_": { + "hide_name": 0, + "bits": [ 1774 ], + "attributes": { + "hdlname": "core_cpu _zz_237_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1598.23-1598.31" + } + }, + "core_cpu._zz_238_": { + "hide_name": 0, + "bits": [ 1774 ], + "attributes": { + "hdlname": "core_cpu _zz_238_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1599.23-1599.31" + } + }, + "core_cpu._zz_239_": { + "hide_name": 0, + "bits": [ 1774 ], + "attributes": { + "hdlname": "core_cpu _zz_239_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1600.23-1600.31" + } + }, + "core_cpu._zz_23_": { + "hide_name": 0, + "bits": [ 3924, 3917, 3901, 3892, 3883, 3874, 3865, 3856, 2337, 3839, 3832, 3825, 3809, 3802, 3799, 3792, 3783, 3774, 3765, 3756, 3747, 3736, 3972, 3965, 3958, 3951, 3944, 3937, 3914, 3822, 3733, 3730 ], + "attributes": { + "hdlname": "core_cpu _zz_23_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1857.23-1857.30" + } + }, + "core_cpu._zz_23__LUT4_Z_10_B": { + "hide_name": 0, + "bits": [ 3734, 3735, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_10_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3737 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_10_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3738 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_10_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3742, 1881, 3739 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_11_B": { + "hide_name": 0, + "bits": [ 3745, 3746, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_11_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3748 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_11_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3749 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_11_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3751, 1881, 3750 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_12_B": { + "hide_name": 0, + "bits": [ 3754, 3755, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_12_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3757 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_12_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3758 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_12_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3760, 1881, 3759 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_13_B": { + "hide_name": 0, + "bits": [ 3763, 3764, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_13_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3766 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_13_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3767 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_13_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3769, 1881, 3768 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_14_B": { + "hide_name": 0, + "bits": [ 3772, 3773, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_14_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3775 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_14_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3776 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_14_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3778, 1881, 3777 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_15_B": { + "hide_name": 0, + "bits": [ 3781, 3782, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_15_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3784 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_15_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3785 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_15_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3787, 1881, 3786 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_16_B": { + "hide_name": 0, + "bits": [ 3790, 3791, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_16_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3793 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_16_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3794 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_16_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3796, 1881, 3795 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_18_B": { + "hide_name": 0, + "bits": [ 3800, 3801, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_18_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3803 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_18_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3804 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3806, 1881, 3805 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_19_B": { + "hide_name": 0, + "bits": [ 3807, 3808, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_19_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3810 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_19_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3811 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_19_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3813, 1881, 3812 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_1_B": { + "hide_name": 0, + "bits": [ 3731, 3732, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_1_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3814 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_1_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3815 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3817, 1881, 3816 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_20_B": { + "hide_name": 0, + "bits": [ 3823, 3824, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_20_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3826 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_20_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3827 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3829, 1881, 3828 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_21_B": { + "hide_name": 0, + "bits": [ 3830, 3831, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_21_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3833 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_21_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3834 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_21_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3836, 1881, 3835 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_22_B": { + "hide_name": 0, + "bits": [ 3837, 3838, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_22_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3840 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_22_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3841 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_22_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3843, 1881, 3842 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_23_B": { + "hide_name": 0, + "bits": [ 3846, 3847, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_23_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3848 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_23_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3849 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_23_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3851, 1881, 3850 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_24_B": { + "hide_name": 0, + "bits": [ 3854, 3855, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_24_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3857 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_24_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3858 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_24_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3860, 1881, 3859 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_25_B": { + "hide_name": 0, + "bits": [ 3863, 3864, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_25_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3866 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_25_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3867 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_25_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3869, 1881, 3868 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_26_B": { + "hide_name": 0, + "bits": [ 3872, 3873, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_26_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3875 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_26_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3876 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_26_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3878, 1881, 3877 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_27_B": { + "hide_name": 0, + "bits": [ 3881, 3882, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_27_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3884 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_27_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3885 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_27_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3887, 1881, 3886 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_28_B": { + "hide_name": 0, + "bits": [ 3890, 3891, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_28_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3893 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_28_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3894 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_28_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3896, 1881, 3895 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_29_B": { + "hide_name": 0, + "bits": [ 3899, 3900, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_29_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3902 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_29_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3903 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_29_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3905, 1881, 3904 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_2_B": { + "hide_name": 0, + "bits": [ 3820, 3821, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_2_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3908 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_2_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3909 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3911, 1881, 3910 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_30_B": { + "hide_name": 0, + "bits": [ 3915, 3916, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_30_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3918 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_30_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3919 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_30_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3921, 1881, 3920 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_31_B": { + "hide_name": 0, + "bits": [ 3922, 3923, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_31_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3925 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_31_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3926 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_31_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3928, 1881, 3927 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_3_B": { + "hide_name": 0, + "bits": [ 3912, 3913, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_3_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3931 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_3_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3932 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_3_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3934, 1881, 3933 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_4_B": { + "hide_name": 0, + "bits": [ 3935, 3936, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_4_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3938 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_4_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3939 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_4_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3941, 1881, 3940 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_5_B": { + "hide_name": 0, + "bits": [ 3942, 3943, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_5_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3945 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_5_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3946 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_5_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3948, 1881, 3947 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_6_B": { + "hide_name": 0, + "bits": [ 3949, 3950, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_6_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3952 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_6_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3953 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_6_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3955, 1881, 3954 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_7_B": { + "hide_name": 0, + "bits": [ 3956, 3957, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_7_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3959 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_7_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3960 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_7_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3962, 1881, 3961 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_8_B": { + "hide_name": 0, + "bits": [ 3963, 3964, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_8_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3966 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_8_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3967 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_8_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3969, 1881, 3968 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_9_B": { + "hide_name": 0, + "bits": [ 3970, 3971, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_9_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3973 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_9_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3974 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_9_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3976, 1881, 3975 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_B": { + "hide_name": 0, + "bits": [ 3727, 3728, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_23__LUT4_Z_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 3977 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_23__LUT4_Z_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 3978 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_23__LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 3980, 1881, 3979 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_240_": { + "hide_name": 0, + "bits": [ 1289 ], + "attributes": { + "hdlname": "core_cpu _zz_240_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1601.23-1601.31" + } + }, + "core_cpu._zz_240__LUT4_A_B": { + "hide_name": 0, + "bits": [ 1289, 1452, 1280, 1262 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_240__LUT4_Z_A": { + "hide_name": 0, + "bits": [ 3983, 3981, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_240__LUT4_Z_A_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 3982, 329, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_241_": { + "hide_name": 0, + "bits": [ 1279 ], + "attributes": { + "hdlname": "core_cpu _zz_241_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1602.23-1602.31" + } + }, + "core_cpu._zz_241__LUT4_Z_A": { + "hide_name": 0, + "bits": [ 3984, 3986, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_241__LUT4_Z_A_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 3985, 337, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_242_": { + "hide_name": 0, + "bits": [ 1279 ], + "attributes": { + "hdlname": "core_cpu _zz_242_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1603.23-1603.31" + } + }, + "core_cpu._zz_243_": { + "hide_name": 0, + "bits": [ 1267 ], + "attributes": { + "hdlname": "core_cpu _zz_243_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1604.23-1604.31" + } + }, + "core_cpu._zz_243__LUT4_A_B": { + "hide_name": 0, + "bits": [ 1267, 3987, 1280, 1262 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_243__LUT4_Z_A": { + "hide_name": 0, + "bits": [ 3990, 3988, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_243__LUT4_Z_A_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 3989, 321, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_244_": { + "hide_name": 0, + "bits": [ 1289 ], + "attributes": { + "hdlname": "core_cpu _zz_244_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1605.23-1605.31" + } + }, + "core_cpu._zz_245_": { + "hide_name": 0, + "bits": [ 1279 ], + "attributes": { + "hdlname": "core_cpu _zz_245_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1606.23-1606.31" + } + }, + "core_cpu._zz_246_": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "core_cpu _zz_246_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1607.23-1607.31" + } + }, + "core_cpu._zz_247_": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "core_cpu _zz_247_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1608.23-1608.31" + } + }, + "core_cpu._zz_248_": { + "hide_name": 0, + "bits": [ "0", "0", "1", "0", "0", "1", "1", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_248_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1609.23-1609.31" + } + }, + "core_cpu._zz_24_": { + "hide_name": 0, + "bits": [ 3740, 3741 ], + "attributes": { + "hdlname": "core_cpu _zz_24_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1859.20-1859.27" + } + }, + "core_cpu._zz_251_": { + "hide_name": 0, + "bits": [ 7663, 3992 ], + "attributes": { + "hdlname": "core_cpu _zz_251_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1612.23-1612.31", + "unused_bits": "0 " + } + }, + "core_cpu._zz_252_": { + "hide_name": 0, + "bits": [ 3991 ], + "attributes": { + "hdlname": "core_cpu _zz_252_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1613.23-1613.31" + } + }, + "core_cpu._zz_253_": { + "hide_name": 0, + "bits": [ 3992 ], + "attributes": { + "hdlname": "core_cpu _zz_253_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1614.23-1614.31" + } + }, + "core_cpu._zz_253__LUT4_C_B": { + "hide_name": 0, + "bits": [ 2731, 2342, 2732, 2733, 2729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_253__LUT4_C_B_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 3995, 2339, 3996, 3997 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_253__LUT4_C_D": { + "hide_name": 0, + "bits": [ 2732, 3992, 3993 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_254_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu _zz_254_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1615.23-1615.31" + } + }, + "core_cpu._zz_255_": { + "hide_name": 0, + "bits": [ 3998 ], + "attributes": { + "hdlname": "core_cpu _zz_255_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1616.23-1616.31" + } + }, + "core_cpu._zz_256_": { + "hide_name": 0, + "bits": [ 3999 ], + "attributes": { + "hdlname": "core_cpu _zz_256_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1617.23-1617.31" + } + }, + "core_cpu._zz_257_": { + "hide_name": 0, + "bits": [ 2731, 4653, 3242, 5573, 7664, 7665, 7666, 7667, 7668, 3239, 5398, 5372, 5405, 3325, 5403, 5370, 5575, 2730, 4001, 5404, 7669, "x", 5404, 7670 ], + "attributes": { + "hdlname": "core_cpu _zz_257_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1618.23-1618.31", + "unused_bits": "4 5 6 7 8 20 23" + } + }, + "core_cpu._zz_258_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_258_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1619.23-1619.31" + } + }, + "core_cpu._zz_259_": { + "hide_name": 0, + "bits": [ "0", "0", 2340, "0", "0", "0", "0", "0", "0", "0", "0", "0", 3242, "0", 2339, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_259_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1620.23-1620.31" + } + }, + "core_cpu._zz_25_": { + "hide_name": 0, + "bits": [ 2844, 2843 ], + "attributes": { + "hdlname": "core_cpu _zz_25_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1861.20-1861.27" + } + }, + "core_cpu._zz_260_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_260_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1621.23-1621.31" + } + }, + "core_cpu._zz_262_": { + "hide_name": 0, + "bits": [ 3999 ], + "attributes": { + "hdlname": "core_cpu _zz_262_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1623.23-1623.31" + } + }, + "core_cpu._zz_264_": { + "hide_name": 0, + "bits": [ "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_264_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1625.23-1625.31" + } + }, + "core_cpu._zz_265_": { + "hide_name": 0, + "bits": [ 5404 ], + "attributes": { + "hdlname": "core_cpu _zz_265_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1626.23-1626.31" + } + }, + "core_cpu._zz_266_": { + "hide_name": 0, + "bits": [ "x" ], + "attributes": { + "hdlname": "core_cpu _zz_266_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1627.23-1627.31" + } + }, + "core_cpu._zz_267_": { + "hide_name": 0, + "bits": [ 2731, 4653, 3242, 5573, 7664, 7665, 7666, 7667, 7671, 3239, 5398, 5372, 5405, 3325, 5403, 5370, 5575, 2730, 4001, 5404, 7672 ], + "attributes": { + "hdlname": "core_cpu _zz_267_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1628.23-1628.31", + "unused_bits": "4 5 6 7 8 20" + } + }, + "core_cpu._zz_268_": { + "hide_name": 0, + "bits": [ "0", "0", 2340, "0", 2342, 2731, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_268_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1629.23-1629.31" + } + }, + "core_cpu._zz_269_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_269_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1630.23-1630.31" + } + }, + "core_cpu._zz_26_": { + "hide_name": 0, + "bits": [ "0", "0", 1242, 1240, 1238, 1236, 1234, 1232, 1230, 1228, 1226, 1224, 1220, 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1256, 1254, 1252, 1250, 1248, 1246, 1244, 1222, 1200, 1198 ], + "attributes": { + "hdlname": "core_cpu _zz_26_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1865.23-1865.30" + } + }, + "core_cpu._zz_270_": { + "hide_name": 0, + "bits": [ "0", "0", 2340, "0", "0", 2731, 2341, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_270_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1631.23-1631.31" + } + }, + "core_cpu._zz_271_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_271_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1632.23-1632.31" + } + }, + "core_cpu._zz_275_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu _zz_275_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1636.23-1636.31" + } + }, + "core_cpu._zz_276_": { + "hide_name": 0, + "bits": [ 5404 ], + "attributes": { + "hdlname": "core_cpu _zz_276_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1637.23-1637.31" + } + }, + "core_cpu._zz_277_": { + "hide_name": 0, + "bits": [ 4001 ], + "attributes": { + "hdlname": "core_cpu _zz_277_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1638.23-1638.31" + } + }, + "core_cpu._zz_277__LUT4_Z_A": { + "hide_name": 0, + "bits": [ 4000, 2729, 3242, 3991 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_278_": { + "hide_name": 0, + "bits": [ 2731, 4653, 3242, 5573, 7664, 7665, 7666, 7667, 7673, 3239, 5398, 5372, 5405, 3325, 5403, 5370, 5575, 2730 ], + "attributes": { + "hdlname": "core_cpu _zz_278_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1639.23-1639.31", + "unused_bits": "4 5 6 7 8" + } + }, + "core_cpu._zz_279_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "1", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_279_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1640.23-1640.31" + } + }, + "core_cpu._zz_27_": { + "hide_name": 0, + "bits": [ 4203, 4193, 4183, 4173, 4151, 4141, 4131, 4121, 4334, 4030, 4322, 4310, 4008, 4298, 4005, 4294, 4115, 4108, 4101, 4094, 4082, 4070, 4274, 4263, 4252, 4241, 4230, 4224, 4212, 4170, 4067, 4064 ], + "attributes": { + "hdlname": "core_cpu _zz_27_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1866.23-1866.30" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0": { + "hide_name": 0, + "bits": [ 4006 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4009 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4012 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4013 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D0_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 1607, 4015, 3809, 4025, 4014, 4011, 4004 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2545, 4016, 4015, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4017, 4018, 2390 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4010 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4019 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4020 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D1": { + "hide_name": 0, + "bits": [ 4007 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4021 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4023 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4024 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4022 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4026 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "core_cpu._zz_27__L6MUX21_Z_1_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4027 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D0": { + "hide_name": 0, + "bits": [ 4028 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4031 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4033 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4034 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4032 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4036 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4037 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D1": { + "hide_name": 0, + "bits": [ 4029 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4038 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4040 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4041 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4039 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4043 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "core_cpu._zz_27__L6MUX21_Z_2_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4044 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4002 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4045 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4047 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4048 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 1597, 4015, 3799, 4059, 4049, 4011, 4004 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2543, 4050, 4015, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4051, 4052, 2390 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4046 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4053 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4054 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4003 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4055 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4057 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4058 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4056 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4060 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "core_cpu._zz_27__L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4061 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "core_cpu._zz_27__LUT4_Z_10_B": { + "hide_name": 0, + "bits": [ 4068, 4069, 4072, 4004, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_10_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4073 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_10_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4074 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_10_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1569, 4075, 3736, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_10_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4076 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_10_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4077 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_10_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4078, 4079, 2536, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_11_B": { + "hide_name": 0, + "bits": [ 4080, 4081, 4083, 4004, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_11_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4084 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_11_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4085 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_11_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1573, 4086, 3747, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_11_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4087 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_11_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4088 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_11_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4089, 4090, 2537, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_12_B": { + "hide_name": 0, + "bits": [ 4091, 4092, 4093, 4095, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_12_B_LUT4_Z_3_C": { + "hide_name": 0, + "bits": [ 1577, 4015, 4097, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_13_B": { + "hide_name": 0, + "bits": [ 4098, 4099, 4100, 4102, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_13_B_LUT4_Z_3_C": { + "hide_name": 0, + "bits": [ 1581, 4015, 4104, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_14_B": { + "hide_name": 0, + "bits": [ 4105, 4106, 4107, 4109, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_14_B_LUT4_Z_3_C": { + "hide_name": 0, + "bits": [ 1585, 4015, 4111, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_15_B": { + "hide_name": 0, + "bits": [ 4112, 4113, 4114, 4116, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_15_B_LUT4_Z_3_C": { + "hide_name": 0, + "bits": [ 1589, 4015, 4118, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_16_B": { + "hide_name": 0, + "bits": [ 4119, 4120, 4004 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_16_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4122 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_16_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4123 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_16_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4124, 1627, 3856, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_16_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4125 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_16_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4126 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_16_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4127, 4128, 2550, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_17_B": { + "hide_name": 0, + "bits": [ 4129, 4130, 4004 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_17_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4132 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_17_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4133 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4134, 1631, 3865, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4135 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4136 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4137, 4138, 2551, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_18_B": { + "hide_name": 0, + "bits": [ 4139, 4140, 4004 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_18_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4142 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_18_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4143 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4144, 1635, 3874, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4145 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4146 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4147, 4148, 2552, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_19_B": { + "hide_name": 0, + "bits": [ 4149, 4150, 4004 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_19_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4152 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_19_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4153 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_19_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4154, 1639, 3883, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_19_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4155 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_19_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4156 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_19_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4157, 4158, 2553, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_1_B": { + "hide_name": 0, + "bits": [ 4065, 4066, 4159, 4004, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_1_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4160 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_1_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4161 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1653, 4162, 3733, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4163 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4164 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4165, 4166, 2535, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_20_B": { + "hide_name": 0, + "bits": [ 4171, 4172, 4004 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_20_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4174 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_20_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4175 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4176, 1647, 3892, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4177 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4178 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_20_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4179, 4180, 2554, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_21_B": { + "hide_name": 0, + "bits": [ 4181, 4182, 4004 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_21_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4184 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_21_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4185 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_21_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4186, 1651, 3901, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_21_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4187 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_21_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4188 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_21_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4189, 4190, 2555, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_22_B": { + "hide_name": 0, + "bits": [ 4191, 4192, 4004 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_22_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4194 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_22_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4195 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_22_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4196, 1554, 3917, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_22_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4197 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_22_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4198 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_22_B_PFUMX_Z_BLUT_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4199, 4200, 2557, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_23_B": { + "hide_name": 0, + "bits": [ 4201, 4202, 4004 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_23_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4204 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_23_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4205 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_2_B": { + "hide_name": 0, + "bits": [ 4167, 4168, 4169, 4207, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_2_B_LUT4_Z_3_C": { + "hide_name": 0, + "bits": [ 1657, 4015, 4209, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_3_B": { + "hide_name": 0, + "bits": [ 4210, 4211, 4213, 4004, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_3_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4214 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_3_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4215 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_3_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1661, 4216, 3914, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_3_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4217 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_3_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4218 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_3_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4219, 4220, 2556, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_4_B": { + "hide_name": 0, + "bits": [ 4221, 4222, 4223, 4225, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_4_B_LUT4_Z_3_C": { + "hide_name": 0, + "bits": [ 1665, 4015, 4227, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_5_B": { + "hide_name": 0, + "bits": [ 4228, 4229, 4231, 4004, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_5_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4232 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_5_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4233 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_5_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1669, 4234, 3944, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_5_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4235 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_5_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4236 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_5_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4237, 4238, 2560, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_6_B": { + "hide_name": 0, + "bits": [ 4239, 4240, 4242, 4004, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_6_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4243 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_6_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4244 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_6_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1673, 4245, 3951, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_6_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4246 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_6_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4247 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_6_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4248, 4249, 2561, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_7_B": { + "hide_name": 0, + "bits": [ 4250, 4251, 4253, 4004, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_7_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4254 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_7_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4255 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_7_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1677, 4256, 3958, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_7_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4257 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_7_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4258 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_7_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4259, 4260, 2562, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_8_B": { + "hide_name": 0, + "bits": [ 4261, 4262, 4264, 4004, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_8_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4265 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_8_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4266 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_8_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1561, 4267, 3965, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_8_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4268 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_8_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4269 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_8_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4270, 4271, 2563, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_9_B": { + "hide_name": 0, + "bits": [ 4272, 4273, 4275, 4004, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_9_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4276 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_9_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4277 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_9_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1565, 4278, 3972, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_9_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4279 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_9_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4280 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_9_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4281, 4282, 2564, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4062, 4063, 4283, 4004, 5220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4284 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4285 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1641, 4286, 3730, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4287 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4288 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4289, 4290, 2534, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 4295 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 4296 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__PFUMX_Z_1_C0": { + "hide_name": 0, + "bits": [ 1603, 4015, 4299, 4300, 4297 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_1_C0_LUT4_Z_2_B": { + "hide_name": 0, + "bits": [ 4302, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_1_C0_LUT4_Z_2_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4303 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_1_C0_LUT4_Z_2_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4304 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__PFUMX_Z_1_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4305, 4306, 2544, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_2_ALUT": { + "hide_name": 0, + "bits": [ 4307 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_2_BLUT": { + "hide_name": 0, + "bits": [ 4308 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__PFUMX_Z_2_C0": { + "hide_name": 0, + "bits": [ 1611, 4015, 4311, 4312, 4309 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_2_C0_LUT4_Z_2_B": { + "hide_name": 0, + "bits": [ 4314, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_2_C0_LUT4_Z_2_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4315 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_2_C0_LUT4_Z_2_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4316 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__PFUMX_Z_2_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4317, 4318, 2547, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_3_ALUT": { + "hide_name": 0, + "bits": [ 4319 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_3_BLUT": { + "hide_name": 0, + "bits": [ 4320 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__PFUMX_Z_3_C0": { + "hide_name": 0, + "bits": [ 1615, 4015, 4323, 4324, 4321 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_3_C0_LUT4_Z_2_B": { + "hide_name": 0, + "bits": [ 4326, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_3_C0_LUT4_Z_2_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4327 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_3_C0_LUT4_Z_2_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4328 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__PFUMX_Z_3_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4329, 4330, 2548, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_4_ALUT": { + "hide_name": 0, + "bits": [ 4331 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_4_BLUT": { + "hide_name": 0, + "bits": [ 4332 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__PFUMX_Z_4_C0": { + "hide_name": 0, + "bits": [ 1623, 4015, 4335, 4336, 4333 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_4_C0_LUT4_Z_2_B": { + "hide_name": 0, + "bits": [ 4338, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_4_C0_LUT4_Z_2_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4339 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_4_C0_LUT4_Z_2_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4340 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__PFUMX_Z_4_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4341, 4342, 2333, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4291 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4292 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 1593, 4015, 4343, 4344, 4293 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_C0_LUT4_Z_2_B": { + "hide_name": 0, + "bits": [ 4346, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_C0_LUT4_Z_2_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4347 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_27__PFUMX_Z_C0_LUT4_Z_2_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4348 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_27__PFUMX_Z_C0_LUT4_Z_2_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4349, 4350, 2542, 2390, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_280_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "1", "1", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_280_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1641.23-1641.31" + } + }, + "core_cpu._zz_281_": { + "hide_name": 0, + "bits": [ "0", "0", "1", "0", "0", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_281_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1642.23-1642.31" + } + }, + "core_cpu._zz_285_": { + "hide_name": 0, + "bits": [ "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_285_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1646.23-1646.31" + } + }, + "core_cpu._zz_286_": { + "hide_name": 0, + "bits": [ 5575 ], + "attributes": { + "hdlname": "core_cpu _zz_286_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1647.23-1647.31" + } + }, + "core_cpu._zz_287_": { + "hide_name": 0, + "bits": [ 5370 ], + "attributes": { + "hdlname": "core_cpu _zz_287_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1648.23-1648.31" + } + }, + "core_cpu._zz_288_": { + "hide_name": 0, + "bits": [ 2731, 4653, 3242, 5573, 7664, 7665, 7666, 7667, 7674, 3239, 5398, 5372, 5405, 3325, 5403 ], + "attributes": { + "hdlname": "core_cpu _zz_288_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1649.23-1649.31", + "unused_bits": "4 5 6 7 8" + } + }, + "core_cpu._zz_289_": { + "hide_name": 0, + "bits": [ "0", "0", "1", "0", "1", "1", "0", "0", "0", "0", "0", "0", "1", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_289_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1650.23-1650.31" + } + }, + "core_cpu._zz_28_": { + "hide_name": 0, + "bits": [ 7666, 7667 ], + "attributes": { + "hdlname": "core_cpu _zz_28_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1868.20-1868.27", + "unused_bits": "0 1" + } + }, + "core_cpu._zz_290_": { + "hide_name": 0, + "bits": [ "0", "0", "1", "0", "0", "1", "1", "0", "0", "0", "0", "0", "1", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_290_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1651.23-1651.31" + } + }, + "core_cpu._zz_291_": { + "hide_name": 0, + "bits": [ "0", "0", 2340, "0", 2342, "0", 2341, "0", "0", "0", "0", "0", 3242, 2729, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", 3996, "0" ], + "attributes": { + "hdlname": "core_cpu _zz_291_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1652.23-1652.31" + } + }, + "core_cpu._zz_292_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_292_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1653.23-1653.31" + } + }, + "core_cpu._zz_298_": { + "hide_name": 0, + "bits": [ 2340 ], + "attributes": { + "hdlname": "core_cpu _zz_298_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1659.23-1659.31" + } + }, + "core_cpu._zz_298__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 4351 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_298__TRELLIS_FF_Q_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4352 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_298__TRELLIS_FF_Q_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4353 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29_": { + "hide_name": 0, + "bits": [ 4576, 4567, 4558, 4549, 4540, 4639, 4531, 4636, 4529, 4523, 4515, 4507, 4473, 4454, 4435, 4416, 4630, 4624, 4618, 4612, 4406, 4606, 4395, 4600, 4594, 4384, 4587, 4373, 4361, 4504, 4357, 4500 ], + "attributes": { + "hdlname": "core_cpu _zz_29_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1869.23-1869.30" + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_D0": { + "hide_name": 0, + "bits": [ 4358 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4362 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4363 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_D1": { + "hide_name": 0, + "bits": [ 4359 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4366 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4367 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_SD": { + "hide_name": 0, + "bits": [ 1661, 4365, 4364, 2334, 2335, 4360 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_SD_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4369 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_1_SD_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2497 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_D0": { + "hide_name": 0, + "bits": [ 4370 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4374 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4375 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_D1": { + "hide_name": 0, + "bits": [ 4371 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4378 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4379 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_SD": { + "hide_name": 0, + "bits": [ 1665, 4377, 4376, 2334, 2335, 4372 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_SD_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4380 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_2_SD_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2467 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_D0": { + "hide_name": 0, + "bits": [ 4381 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4385 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4386 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_D1": { + "hide_name": 0, + "bits": [ 4382 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4389 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4390 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_SD": { + "hide_name": 0, + "bits": [ 1673, 4388, 4387, 2334, 2335, 4383 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_SD_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4391 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_3_SD_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2464 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_D0": { + "hide_name": 0, + "bits": [ 4392 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4396 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4397 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_D1": { + "hide_name": 0, + "bits": [ 4393 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4400 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4401 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_SD": { + "hide_name": 0, + "bits": [ 1565, 4399, 4398, 2334, 2335, 4394 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_SD_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4402 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_4_SD_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2431 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_D0": { + "hide_name": 0, + "bits": [ 4403 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4407 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4408 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_D1": { + "hide_name": 0, + "bits": [ 4404 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4411 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4412 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_SD": { + "hide_name": 0, + "bits": [ 1573, 4410, 4409, 2334, 2335, 4405 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_SD_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4413 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_5_SD_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2435 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0": { + "hide_name": 0, + "bits": [ 4414 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4417 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4419 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4420 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D0_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 1593, 2335, 3792, 4345, 4421, 2334, 4368 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2542, 4422, 2335, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4423, 4424, 2328 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4418 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4425 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4426 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D1": { + "hide_name": 0, + "bits": [ 4415 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4427 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4429 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4430 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4428 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4431 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "core_cpu._zz_29__L6MUX21_Z_6_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4432 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0": { + "hide_name": 0, + "bits": [ 4433 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4436 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4438 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4439 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D0_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 1597, 2335, 3799, 4059, 4440, 2334, 4368 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2543, 4441, 2335, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D0_PFUMX_Z_C0_LUT4_Z_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4442, 4443, 2328 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4437 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4444 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4445 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D1": { + "hide_name": 0, + "bits": [ 4434 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4446 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4448 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4449 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4447 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4450 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "core_cpu._zz_29__L6MUX21_Z_7_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4451 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0": { + "hide_name": 0, + "bits": [ 4452 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4455 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4457 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4458 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 2335, 1603, 4459, 3802, 4301, 2334, 4368 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2544, 4460, 2335, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4461, 4462, 2328 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4456 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4463 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4464 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D1": { + "hide_name": 0, + "bits": [ 4453 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4465 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4467 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4468 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4466 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4469 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "core_cpu._zz_29__L6MUX21_Z_8_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4470 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0": { + "hide_name": 0, + "bits": [ 4471 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4474 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4476 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4477 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 2335, 1607, 4478, 3809, 4025, 2334, 4368 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2545, 4479, 2335, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4480, 4481, 2328 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4475 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4482 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4483 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D1": { + "hide_name": 0, + "bits": [ 4472 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4484 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4486 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4487 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4485 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4488 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "core_cpu._zz_29__L6MUX21_Z_9_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4489 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "core_cpu._zz_29__L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 4354 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "core_cpu._zz_29__L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4490 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4491 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "core_cpu._zz_29__L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 4355 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "core_cpu._zz_29__L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4494 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "core_cpu._zz_29__L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4495 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "core_cpu._zz_29__L6MUX21_Z_SD": { + "hide_name": 0, + "bits": [ 1653, 4493, 4492, 2334, 2335, 4356 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_SD_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4496 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__L6MUX21_Z_SD_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 2493 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__LUT4_Z_10_A": { + "hide_name": 0, + "bits": [ 4505, 4506, 4313, 4368, 2343 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_10_A_LUT4_Z_1_B": { + "hide_name": 0, + "bits": [ 1611, 4508, 2334, 2335 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_10_A_LUT4_Z_1_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4509 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__LUT4_Z_10_A_LUT4_Z_1_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4510 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__LUT4_Z_10_A_LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4511, 4512, 2547, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_11_A": { + "hide_name": 0, + "bits": [ 4513, 4514, 4325, 4368, 2343 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_11_A_LUT4_Z_1_B": { + "hide_name": 0, + "bits": [ 1615, 4516, 2334, 2335 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_11_A_LUT4_Z_1_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4517 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__LUT4_Z_11_A_LUT4_Z_1_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4518 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__LUT4_Z_11_A_LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4519, 4520, 2548, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_12_A": { + "hide_name": 0, + "bits": [ 4521, 4522, 4042, 4368, 2343 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_12_A_LUT4_Z_1_B": { + "hide_name": 0, + "bits": [ 1619, 4524, 2334, 2335 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_12_A_LUT4_Z_1_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4525 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__LUT4_Z_12_A_LUT4_Z_1_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4526 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__LUT4_Z_12_A_LUT4_Z_1_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4527, 4528, 2549, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_14_B": { + "hide_name": 0, + "bits": [ 4530, 4130, 4368 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_14_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4532 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__LUT4_Z_14_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4533 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__LUT4_Z_14_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1631, 4534, 3865, 2335, 2334 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_14_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4535 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__LUT4_Z_14_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4536 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__LUT4_Z_14_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4537, 4538, 2551, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_15_B": { + "hide_name": 0, + "bits": [ 4539, 4150, 4368 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_15_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4541 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__LUT4_Z_15_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4542 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__LUT4_Z_15_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1639, 4543, 3883, 2335, 2334 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_15_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4544 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__LUT4_Z_15_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4545 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__LUT4_Z_15_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4546, 4547, 2553, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_16_C": { + "hide_name": 0, + "bits": [ 4172, 4548, 4368 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_16_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4550 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__LUT4_Z_16_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4551 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__LUT4_Z_16_C_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1647, 4552, 3892, 2335, 2334 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_16_C_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4553 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__LUT4_Z_16_C_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4554 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__LUT4_Z_16_C_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4555, 4556, 2554, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_17_B": { + "hide_name": 0, + "bits": [ 4557, 4182, 4368 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_17_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4559 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__LUT4_Z_17_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4560 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1651, 4561, 3901, 2335, 2334 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4562 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4563 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__LUT4_Z_17_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4564, 4565, 2555, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_18_B": { + "hide_name": 0, + "bits": [ 4566, 4192, 4368 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_18_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4568 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__LUT4_Z_18_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4569 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1554, 4570, 3917, 2335, 2334 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4571 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4572 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__LUT4_Z_18_B_PFUMX_Z_BLUT_LUT4_Z_C_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4573, 4574, 2557, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_19_C": { + "hide_name": 0, + "bits": [ 4202, 4575, 4368 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_19_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4577 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__LUT4_Z_19_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4578 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__LUT4_Z_1_B": { + "hide_name": 0, + "bits": [ 4501, 4502, 4503, 4582, 2343 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_1_B_LUT4_Z_3_D": { + "hide_name": 0, + "bits": [ 1657, 2335, 2334, 4583 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_2_B": { + "hide_name": 0, + "bits": [ 4584, 4585, 4586, 4589, 2343 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_2_B_LUT4_Z_3_D": { + "hide_name": 0, + "bits": [ 1669, 2335, 2334, 4590 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_3_B": { + "hide_name": 0, + "bits": [ 4591, 4592, 4593, 4595, 2343 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_3_B_LUT4_Z_3_D": { + "hide_name": 0, + "bits": [ 1677, 2335, 2334, 4596 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_4_B": { + "hide_name": 0, + "bits": [ 4597, 4598, 4599, 4601, 2343 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_4_B_LUT4_Z_3_D": { + "hide_name": 0, + "bits": [ 1561, 2335, 2334, 4602 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_5_B": { + "hide_name": 0, + "bits": [ 4603, 4604, 4605, 4607, 2343 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_5_B_LUT4_Z_3_D": { + "hide_name": 0, + "bits": [ 1569, 2335, 2334, 4608 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_6_B": { + "hide_name": 0, + "bits": [ 4609, 4610, 4611, 4613, 2343 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_6_B_LUT4_Z_3_D": { + "hide_name": 0, + "bits": [ 1577, 2335, 2334, 4614 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_7_B": { + "hide_name": 0, + "bits": [ 4615, 4616, 4617, 4619, 2343 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_7_B_LUT4_Z_3_D": { + "hide_name": 0, + "bits": [ 1581, 2335, 2334, 4620 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_8_B": { + "hide_name": 0, + "bits": [ 4621, 4622, 4623, 4625, 2343 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_8_B_LUT4_Z_3_D": { + "hide_name": 0, + "bits": [ 1585, 2335, 2334, 4626 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_9_B": { + "hide_name": 0, + "bits": [ 4627, 4628, 4629, 4631, 2343 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_9_B_LUT4_Z_3_D": { + "hide_name": 0, + "bits": [ 1589, 2335, 2334, 4632 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4497, 4498, 4499, 5219, 2343 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__LUT4_Z_B_LUT4_Z_2_D": { + "hide_name": 0, + "bits": [ 1641, 2335, 2334, 4633 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 4637 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 4638 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4634 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4635 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__PFUMX_Z_BLUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 3856, 2334, 4120, 4641, 4368 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 1627, 4642, 2334, 2335 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_29__PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4643 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_29__PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4644 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_29__PFUMX_Z_BLUT_LUT4_Z_D_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4645, 4646, 2550, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_2_": { + "hide_name": 0, + "bits": [ 3992, 3991 ], + "attributes": { + "hdlname": "core_cpu _zz_2_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1770.20-1770.26" + } + }, + "core_cpu._zz_300_": { + "hide_name": 0, + "bits": [ 5403 ], + "attributes": { + "hdlname": "core_cpu _zz_300_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1661.23-1661.31" + } + }, + "core_cpu._zz_301_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu _zz_301_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1662.23-1662.31" + } + }, + "core_cpu._zz_302_": { + "hide_name": 0, + "bits": [ 3325 ], + "attributes": { + "hdlname": "core_cpu _zz_302_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1663.23-1663.31" + } + }, + "core_cpu._zz_303_": { + "hide_name": 0, + "bits": [ 5405 ], + "attributes": { + "hdlname": "core_cpu _zz_303_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1664.23-1664.31" + } + }, + "core_cpu._zz_304_": { + "hide_name": 0, + "bits": [ 2731, 4653, 3242, 5573, 7664, 7665, 7666, 7667, 7675, 3239, 5398, 5372 ], + "attributes": { + "hdlname": "core_cpu _zz_304_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1665.23-1665.31", + "unused_bits": "4 5 6 7 8" + } + }, + "core_cpu._zz_305_": { + "hide_name": 0, + "bits": [ "0", "0", "1", "0", "1", "1", "0", "0", "0", "0", "0", "0", "1", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_305_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1666.23-1666.31" + } + }, + "core_cpu._zz_306_": { + "hide_name": 0, + "bits": [ "0", "0", "1", "0", "1", "0", "1", "0", "0", "0", "0", "0", "1", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_306_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1667.23-1667.31" + } + }, + "core_cpu._zz_307_": { + "hide_name": 0, + "bits": [ "0", "0", "1", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_307_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1668.23-1668.31" + } + }, + "core_cpu._zz_308_": { + "hide_name": 0, + "bits": [ "0", "0", 2340, "0", 2342, "0", "0", "0", "0", "0", "0", "0", "0", 2729, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_308_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1669.23-1669.31" + } + }, + "core_cpu._zz_309_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_309_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1670.23-1670.31" + } + }, + "core_cpu._zz_30_": { + "hide_name": 0, + "bits": [ 7664, 7665 ], + "attributes": { + "hdlname": "core_cpu _zz_30_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1871.20-1871.27", + "unused_bits": "0 1" + } + }, + "core_cpu._zz_310_": { + "hide_name": 0, + "bits": [ "0", "0", 2340, "0", 2342, 2731, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", 3996, "0" ], + "attributes": { + "hdlname": "core_cpu _zz_310_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1671.23-1671.31" + } + }, + "core_cpu._zz_311_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_311_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1672.23-1672.31" + } + }, + "core_cpu._zz_315_": { + "hide_name": 0, + "bits": [ "0", "0", 2340, "0", 2342, 2731, 2341, "0", "0", "0", "0", "0", "0", "0", 2339, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", 3995, "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_315_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1676.23-1676.31" + } + }, + "core_cpu._zz_316_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_316_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1677.23-1677.31" + } + }, + "core_cpu._zz_317_": { + "hide_name": 0, + "bits": [ 3325 ], + "attributes": { + "hdlname": "core_cpu _zz_317_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1678.23-1678.31" + } + }, + "core_cpu._zz_318_": { + "hide_name": 0, + "bits": [ 5405 ], + "attributes": { + "hdlname": "core_cpu _zz_318_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1679.23-1679.31" + } + }, + "core_cpu._zz_319_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu _zz_319_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1680.23-1680.31" + } + }, + "core_cpu._zz_31_": { + "hide_name": 0, + "bits": [ 1522, 1523 ], + "attributes": { + "hdlname": "core_cpu _zz_31_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1877.20-1877.27" + } + }, + "core_cpu._zz_320_": { + "hide_name": 0, + "bits": [ 5372 ], + "attributes": { + "hdlname": "core_cpu _zz_320_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1681.23-1681.31" + } + }, + "core_cpu._zz_321_": { + "hide_name": 0, + "bits": [ 5398 ], + "attributes": { + "hdlname": "core_cpu _zz_321_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1682.23-1682.31" + } + }, + "core_cpu._zz_322_": { + "hide_name": 0, + "bits": [ 2731, 4653, 3242, 5573, 7664, 7665, 7666, 7667, 7676, 3239 ], + "attributes": { + "hdlname": "core_cpu _zz_322_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1683.23-1683.31", + "unused_bits": "4 5 6 7 8" + } + }, + "core_cpu._zz_323_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "1", "1", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_323_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1684.23-1684.31" + } + }, + "core_cpu._zz_324_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", 2731, 2341, "0", "0", "0", "0", "0", "0", 2729, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", 3995, "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_324_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1685.23-1685.31" + } + }, + "core_cpu._zz_325_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_325_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1686.23-1686.31" + } + }, + "core_cpu._zz_326_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", 2731, "0", "0", "0", "0", "0", "0", 3242, 2729, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", 3995, "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_326_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1687.23-1687.31" + } + }, + "core_cpu._zz_327_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_327_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1688.23-1688.31" + } + }, + "core_cpu._zz_328_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "1", "1", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_328_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1689.23-1689.31" + } + }, + "core_cpu._zz_329_": { + "hide_name": 0, + "bits": [ 2341 ], + "attributes": { + "hdlname": "core_cpu _zz_329_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1690.23-1690.31" + } + }, + "core_cpu._zz_329__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 4647 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_329__TRELLIS_FF_Q_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4648 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_329__TRELLIS_FF_Q_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4649 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_32_": { + "hide_name": 0, + "bits": [ 1525, 187 ], + "attributes": { + "hdlname": "core_cpu _zz_32_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1880.20-1880.27" + } + }, + "core_cpu._zz_330_": { + "hide_name": 0, + "bits": [ 2340 ], + "attributes": { + "hdlname": "core_cpu _zz_330_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1691.23-1691.31" + } + }, + "core_cpu._zz_335_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_335_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1696.23-1696.31" + } + }, + "core_cpu._zz_337_": { + "hide_name": 0, + "bits": [ 7667 ], + "attributes": { + "hdlname": "core_cpu _zz_337_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1698.23-1698.31", + "unused_bits": "0 " + } + }, + "core_cpu._zz_338_": { + "hide_name": 0, + "bits": [ 2731, 4653, 3242, 5573, 7664, 7665, 7666 ], + "attributes": { + "hdlname": "core_cpu _zz_338_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1699.23-1699.31", + "unused_bits": "4 5 6" + } + }, + "core_cpu._zz_339_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_339_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1700.23-1700.31" + } + }, + "core_cpu._zz_33_": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x", "x", "x", "x", 2280, 2281, 2282, 2283, 2533, 3611, 3612, 4923, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 6343, 6342, "x", "x" ], + "attributes": { + "hdlname": "core_cpu _zz_33_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1881.23-1881.30" + } + }, + "core_cpu._zz_343_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", 2342, "0", 2341, "0", "0", "0", "0", "0", 3242, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_343_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1704.23-1704.31" + } + }, + "core_cpu._zz_344_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "1", "0", "1", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_344_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1705.23-1705.31" + } + }, + "core_cpu._zz_345_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", 2342, "0", 2341, "0", "0", "0", "0", "0", "0", 2729, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_345_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1706.23-1706.31" + } + }, + "core_cpu._zz_346_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "1", "0", "1", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_346_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1707.23-1707.31" + } + }, + "core_cpu._zz_34_": { + "hide_name": 0, + "bits": [ 2726 ], + "attributes": { + "hdlname": "core_cpu _zz_34_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1882.23-1882.30" + } + }, + "core_cpu._zz_350_": { + "hide_name": 0, + "bits": [ 7677, 2340 ], + "attributes": { + "hdlname": "core_cpu _zz_350_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1711.23-1711.31", + "unused_bits": "0 " + } + }, + "core_cpu._zz_351_": { + "hide_name": 0, + "bits": [ "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_351_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1712.23-1712.31" + } + }, + "core_cpu._zz_352_": { + "hide_name": 0, + "bits": [ 7666 ], + "attributes": { + "hdlname": "core_cpu _zz_352_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1713.23-1713.31", + "unused_bits": "0 " + } + }, + "core_cpu._zz_353_": { + "hide_name": 0, + "bits": [ 7665 ], + "attributes": { + "hdlname": "core_cpu _zz_353_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1714.23-1714.31", + "unused_bits": "0 " + } + }, + "core_cpu._zz_354_": { + "hide_name": 0, + "bits": [ 2731, 4653, 3242, 5573, 7664 ], + "attributes": { + "hdlname": "core_cpu _zz_354_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1715.23-1715.31", + "unused_bits": "4" + } + }, + "core_cpu._zz_355_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_355_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1716.23-1716.31" + } + }, + "core_cpu._zz_356_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", 2342, 2731, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_356_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1717.23-1717.31" + } + }, + "core_cpu._zz_357_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_357_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1718.23-1718.31" + } + }, + "core_cpu._zz_358_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", 2731, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", 3995, "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_358_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1719.23-1719.31" + } + }, + "core_cpu._zz_359_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_359_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1720.23-1720.31" + } + }, + "core_cpu._zz_360_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", 2342, "0", "0", "0", "0", "0", "0", "0", 3242, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_360_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1721.23-1721.31" + } + }, + "core_cpu._zz_361_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_361_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1722.23-1722.31" + } + }, + "core_cpu._zz_365_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "1", "0", "1", "0", "0", "0", "0", "0", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_365_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1726.23-1726.31" + } + }, + "core_cpu._zz_366_": { + "hide_name": 0, + "bits": [ 7677 ], + "attributes": { + "hdlname": "core_cpu _zz_366_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1727.23-1727.31", + "unused_bits": "0 " + } + }, + "core_cpu._zz_367_": { + "hide_name": 0, + "bits": [ 2340 ], + "attributes": { + "hdlname": "core_cpu _zz_367_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1728.23-1728.31" + } + }, + "core_cpu._zz_36_": { + "hide_name": 0, + "bits": [ 3992, 3991 ], + "attributes": { + "hdlname": "core_cpu _zz_36_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1886.20-1886.27" + } + }, + "core_cpu._zz_370_": { + "hide_name": 0, + "bits": [ "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_370_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1731.23-1731.31" + } + }, + "core_cpu._zz_371_": { + "hide_name": 0, + "bits": [ 7664 ], + "attributes": { + "hdlname": "core_cpu _zz_371_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1732.23-1732.31", + "unused_bits": "0 " + } + }, + "core_cpu._zz_372_": { + "hide_name": 0, + "bits": [ 5573 ], + "attributes": { + "hdlname": "core_cpu _zz_372_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1733.23-1733.31" + } + }, + "core_cpu._zz_373_": { + "hide_name": 0, + "bits": [ 2731, 4653, 3242 ], + "attributes": { + "hdlname": "core_cpu _zz_373_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1734.23-1734.31" + } + }, + "core_cpu._zz_374_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_374_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1735.23-1735.31" + } + }, + "core_cpu._zz_375_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", 2342, "0", 2341, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_375_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1736.23-1736.31" + } + }, + "core_cpu._zz_376_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_376_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1737.23-1737.31" + } + }, + "core_cpu._zz_379_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "1", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_379_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1740.23-1740.31" + } + }, + "core_cpu._zz_37_": { + "hide_name": 0, + "bits": [ 3999, 3998 ], + "attributes": { + "hdlname": "core_cpu _zz_37_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1887.20-1887.27" + } + }, + "core_cpu._zz_380_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", 2731, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_380_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1741.23-1741.31" + } + }, + "core_cpu._zz_381_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_381_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1742.23-1742.31" + } + }, + "core_cpu._zz_386_": { + "hide_name": 0, + "bits": [ "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_386_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1747.23-1747.31" + } + }, + "core_cpu._zz_387_": { + "hide_name": 0, + "bits": [ 3242 ], + "attributes": { + "hdlname": "core_cpu _zz_387_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1748.23-1748.31" + } + }, + "core_cpu._zz_387__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 4650 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_387__TRELLIS_FF_Q_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4651 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_387__TRELLIS_FF_Q_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4652 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_388_": { + "hide_name": 0, + "bits": [ 4653 ], + "attributes": { + "hdlname": "core_cpu _zz_388_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1749.23-1749.31" + } + }, + "core_cpu._zz_389_": { + "hide_name": 0, + "bits": [ 2731 ], + "attributes": { + "hdlname": "core_cpu _zz_389_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1750.23-1750.31" + } + }, + "core_cpu._zz_38_": { + "hide_name": 0, + "bits": [ 2730, 4001 ], + "attributes": { + "hdlname": "core_cpu _zz_38_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1888.20-1888.27" + } + }, + "core_cpu._zz_390_": { + "hide_name": 0, + "bits": [ "0", "0", "1", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_390_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1751.23-1751.31" + } + }, + "core_cpu._zz_391_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", 2342, "0", "0", "0", "0", "0", "0", "0", "0", 2729, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_391_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1752.23-1752.31" + } + }, + "core_cpu._zz_392_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_392_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1753.23-1753.31" + } + }, + "core_cpu._zz_393_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", 3242, "0", 2339, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_393_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1754.23-1754.31" + } + }, + "core_cpu._zz_394_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_394_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1755.23-1755.31" + } + }, + "core_cpu._zz_395_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", 3242, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_395_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1756.23-1756.31" + } + }, + "core_cpu._zz_396_": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu _zz_396_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1757.23-1757.31" + } + }, + "core_cpu._zz_397_": { + "hide_name": 0, + "bits": [ 4653 ], + "attributes": { + "hdlname": "core_cpu _zz_397_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1758.23-1758.31" + } + }, + "core_cpu._zz_398_": { + "hide_name": 0, + "bits": [ 2731 ], + "attributes": { + "hdlname": "core_cpu _zz_398_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1759.23-1759.31" + } + }, + "core_cpu._zz_39_": { + "hide_name": 0, + "bits": [ 3325 ], + "attributes": { + "hdlname": "core_cpu _zz_39_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1889.20-1889.27" + } + }, + "core_cpu._zz_3_": { + "hide_name": 0, + "bits": [ 3992, 3991 ], + "attributes": { + "hdlname": "core_cpu _zz_3_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1771.20-1771.26" + } + }, + "core_cpu._zz_40_": { + "hide_name": 0, + "bits": [ 7666, 7667 ], + "attributes": { + "hdlname": "core_cpu _zz_40_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1890.20-1890.27", + "unused_bits": "0 1" + } + }, + "core_cpu._zz_41_": { + "hide_name": 0, + "bits": [ 7664, 7665 ], + "attributes": { + "hdlname": "core_cpu _zz_41_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1891.20-1891.27", + "unused_bits": "0 1" + } + }, + "core_cpu._zz_42_": { + "hide_name": 0, + "bits": [ 4653, 3242 ], + "attributes": { + "hdlname": "core_cpu _zz_42_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1892.20-1892.27" + } + }, + "core_cpu._zz_43_": { + "hide_name": 0, + "bits": [ 4202, 4192, 4182, 4172, 4150, 4140, 4130, 4120, 4337, 4042, 4325, 4313, 4025, 4301, 4059, 4345, 4117, 4110, 4103, 4096, 4081, 4069, 4273, 4262, 4251, 4240, 4229, 4226, 4211, 4208, 4066, 4063 ], + "attributes": { + "hdlname": "core_cpu _zz_43_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1893.23-1893.30" + } + }, + "core_cpu._zz_43__LUT4_Z_10_B": { + "hide_name": 0, + "bits": [ 4659, 4660, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_10_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4663, 299, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_10_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1378, 4661, 4662 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_11_B": { + "hide_name": 0, + "bits": [ 4664, 4665, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_11_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4668, 301, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_11_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1377, 4666, 4667 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_12_B": { + "hide_name": 0, + "bits": [ 4669, 4670, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_12_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4673, 303, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_12_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1383, 4671, 4672 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_13_B": { + "hide_name": 0, + "bits": [ 4674, 4675, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_13_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4678, 305, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_13_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1382, 4676, 4677 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_14_B": { + "hide_name": 0, + "bits": [ 4679, 4680, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_14_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4683, 307, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_14_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1388, 4681, 4682 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_15_B": { + "hide_name": 0, + "bits": [ 4684, 4685, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_15_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4688, 309, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_15_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1387, 4686, 4687 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_16_B": { + "hide_name": 0, + "bits": [ 4689, 4690, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_16_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4693, 311, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_16_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1393, 4691, 4692 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_17_B": { + "hide_name": 0, + "bits": [ 4694, 4695, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_17_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4698, 313, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_17_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1392, 4696, 4697 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_18_B": { + "hide_name": 0, + "bits": [ 4699, 4700, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_18_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4703, 315, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_18_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1398, 4701, 4702 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_19_B": { + "hide_name": 0, + "bits": [ 4704, 4705, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_19_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4706, 317, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_1_B": { + "hide_name": 0, + "bits": [ 4657, 4658, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_1_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4709, 297, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_1_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1342, 4707, 4708 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_21_B": { + "hide_name": 0, + "bits": [ 4712, 4713, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_21_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4716, 323, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_21_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1407, 4714, 4715 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_22_B": { + "hide_name": 0, + "bits": [ 4717, 4718, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_22_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4721, 325, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_22_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1291, 4719, 4720 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_23_B": { + "hide_name": 0, + "bits": [ 4722, 4723, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_23_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4726, 327, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_23_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1290, 4724, 4725 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_25_B": { + "hide_name": 0, + "bits": [ 4727, 4728, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_25_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4731, 331, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_25_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1296, 4729, 4730 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_26_B": { + "hide_name": 0, + "bits": [ 4732, 4733, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_26_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4736, 333, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_26_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1439, 4734, 4735 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_27_B": { + "hide_name": 0, + "bits": [ 4737, 4738, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_27_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4741, 335, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_27_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1438, 4739, 4740 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_29_B": { + "hide_name": 0, + "bits": [ 4742, 1030, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_29_B_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 4743, 339, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_2_B": { + "hide_name": 0, + "bits": [ 4710, 4711, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_2_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4746, 319, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_2_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1358, 4744, 4745 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_3_B": { + "hide_name": 0, + "bits": [ 4747, 4748, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_3_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4751, 341, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_3_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1357, 4749, 4750 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_4_B": { + "hide_name": 0, + "bits": [ 4752, 4753, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_4_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4756, 345, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_4_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1363, 4754, 4755 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_5_B": { + "hide_name": 0, + "bits": [ 4757, 4758, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_5_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4761, 347, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_5_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1362, 4759, 4760 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_6_B": { + "hide_name": 0, + "bits": [ 4762, 4763, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_6_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4766, 349, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_6_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1368, 4764, 4765 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_7_B": { + "hide_name": 0, + "bits": [ 4767, 4768, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_7_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4771, 351, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_7_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1367, 4769, 4770 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_8_B": { + "hide_name": 0, + "bits": [ 4772, 4773, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_8_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4776, 353, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_8_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1373, 4774, 4775 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_9_B": { + "hide_name": 0, + "bits": [ 4777, 4778, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_9_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4781, 355, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_9_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1031, 1372, 4779, 4780 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4654, 4655, 4656 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_43__LUT4_Z_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 4784, 295, 1522, 1523 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_44_": { + "hide_name": 0, + "bits": [ 6000 ], + "attributes": { + "hdlname": "core_cpu _zz_44_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1899.20-1899.27" + } + }, + "core_cpu._zz_45_": { + "hide_name": 0, + "bits": [ 5605 ], + "attributes": { + "hdlname": "core_cpu _zz_45_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1901.20-1901.27" + } + }, + "core_cpu._zz_46_": { + "hide_name": 0, + "bits": [ 1974 ], + "attributes": { + "hdlname": "core_cpu _zz_46_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1903.20-1903.27" + } + }, + "core_cpu._zz_47_": { + "hide_name": 0, + "bits": [ 1557, 1554, 1651, 1647, 1639, 1635, 1631, 1627, 1623, 1619, 1615, 1611, 1607, 1603, 1597, 1593, 1589, 1585, 1581, 1577, 1573, 1569, 1565, 1561, 1677, 1673, 1669, 1665, 1661, 1657, 1653, 1641 ], + "attributes": { + "hdlname": "core_cpu _zz_47_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1905.23-1905.30" + } + }, + "core_cpu._zz_47__PFUMX_Z_10_ALUT": { + "hide_name": 0, + "bits": [ 4791 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_10_BLUT": { + "hide_name": 0, + "bits": [ 4792 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_10_C0": { + "hide_name": 0, + "bits": [ 4794, 3612, 4795, 4796, 4793 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_11_ALUT": { + "hide_name": 0, + "bits": [ 4802 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_11_BLUT": { + "hide_name": 0, + "bits": [ 4803 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_11_C0": { + "hide_name": 0, + "bits": [ 4805, 3612, 4795, 4806, 4804 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_12_ALUT": { + "hide_name": 0, + "bits": [ 4809 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_12_BLUT": { + "hide_name": 0, + "bits": [ 4810 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_12_C0": { + "hide_name": 0, + "bits": [ 4812, 3612, 4795, 4813, 4811 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_13_ALUT": { + "hide_name": 0, + "bits": [ 4816 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_13_BLUT": { + "hide_name": 0, + "bits": [ 4817 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_13_C0": { + "hide_name": 0, + "bits": [ 4819, 3612, 4795, 4820, 4818 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_14_ALUT": { + "hide_name": 0, + "bits": [ 4823 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_14_BLUT": { + "hide_name": 0, + "bits": [ 4824 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_14_C0": { + "hide_name": 0, + "bits": [ 4826, 3612, 4795, 4827, 4825 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_15_ALUT": { + "hide_name": 0, + "bits": [ 4830 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_15_BLUT": { + "hide_name": 0, + "bits": [ 4831 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_16_ALUT": { + "hide_name": 0, + "bits": [ 4835 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_16_BLUT": { + "hide_name": 0, + "bits": [ 4836 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_16_BLUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4797, 4838, 4837, 4839, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_16_BLUT_LUT4_Z_D_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4841 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_16_BLUT_LUT4_Z_D_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4842 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_17_ALUT": { + "hide_name": 0, + "bits": [ 4846 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_17_BLUT": { + "hide_name": 0, + "bits": [ 4847 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_17_BLUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4797, 4849, 4848, 4850, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_17_BLUT_LUT4_Z_D_LUT4_Z_1_C": { + "hide_name": 0, + "bits": [ 4843, 4844, 4852, 4797 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_18_ALUT": { + "hide_name": 0, + "bits": [ 4856 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_18_BLUT": { + "hide_name": 0, + "bits": [ 4857 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_18_BLUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4797, 4859, 4858, 4860, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_18_BLUT_LUT4_Z_D_LUT4_Z_1_C": { + "hide_name": 0, + "bits": [ 4843, 4844, 4862, 4797 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_19_ALUT": { + "hide_name": 0, + "bits": [ 4865 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_19_BLUT": { + "hide_name": 0, + "bits": [ 4866 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_19_BLUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4797, 4868, 4867, 4869, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_19_BLUT_LUT4_Z_D_LUT4_Z_1_C": { + "hide_name": 0, + "bits": [ 4843, 4844, 4871, 4797 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 4788 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 4789 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_1_C0": { + "hide_name": 0, + "bits": [ 4853, 3612, 4795, 4874, 4790 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_20_ALUT": { + "hide_name": 0, + "bits": [ 4880 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_20_BLUT": { + "hide_name": 0, + "bits": [ 4881 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_20_BLUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4797, 4883, 4882, 4884, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_20_BLUT_LUT4_Z_D_LUT4_Z_1_C": { + "hide_name": 0, + "bits": [ 4843, 4844, 4886, 4797 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_21_ALUT": { + "hide_name": 0, + "bits": [ 4889 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_21_BLUT": { + "hide_name": 0, + "bits": [ 4890 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_21_BLUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4797, 4892, 4891, 4893, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_21_BLUT_LUT4_Z_D_LUT4_Z_1_C": { + "hide_name": 0, + "bits": [ 4843, 4844, 4895, 4797 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_22_ALUT": { + "hide_name": 0, + "bits": [ 4898 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_22_BLUT": { + "hide_name": 0, + "bits": [ 4899 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_22_BLUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4797, 4901, 4900, 4902, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_22_BLUT_LUT4_Z_D_LUT4_Z_1_C": { + "hide_name": 0, + "bits": [ 4843, 4844, 4904, 4797 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_23_ALUT": { + "hide_name": 0, + "bits": [ 4907 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_23_BLUT": { + "hide_name": 0, + "bits": [ 4908 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_23_BLUT_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4797, 4910, 4909, 4911, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_23_BLUT_LUT4_Z_D_LUT4_Z_1_C": { + "hide_name": 0, + "bits": [ 4843, 4844, 4913, 4797 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_23_BLUT_LUT4_Z_D_LUT4_Z_1_C_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4896, 4897, 4801, 4855 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_24_ALUT": { + "hide_name": 0, + "bits": [ 4918 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_24_BLUT": { + "hide_name": 0, + "bits": [ 4919 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_24_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4843, 4921, 4920, 4797, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_24_BLUT_LUT4_Z_B_LUT4_A_C": { + "hide_name": 0, + "bits": [ 4843, 4844, 4922, 4797 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_24_BLUT_LUT4_Z_B_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4917, 4924, 4916, 4925 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_24_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4927 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_24_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4928 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_25_ALUT": { + "hide_name": 0, + "bits": [ 4932 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_25_BLUT": { + "hide_name": 0, + "bits": [ 4933 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_25_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4935, 4936, 4934, 4797, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_25_BLUT_LUT4_Z_B_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4916, 4917, 4937, 4938 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_25_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4940 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_25_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4941 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_26_ALUT": { + "hide_name": 0, + "bits": [ 4943 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_26_BLUT": { + "hide_name": 0, + "bits": [ 4944 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_26_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4946, 4947, 4945, 4797, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_26_BLUT_LUT4_Z_B_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4916, 4917, 4948, 4949 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_26_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4951 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_26_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4952 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_27_ALUT": { + "hide_name": 0, + "bits": [ 4953 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_27_BLUT": { + "hide_name": 0, + "bits": [ 4954 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_27_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4956, 4957, 4955, 4797, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_27_BLUT_LUT4_Z_B_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4916, 4917, 4958, 4959 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_27_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4961 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_27_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4962 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_28_ALUT": { + "hide_name": 0, + "bits": [ 4963 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_28_BLUT": { + "hide_name": 0, + "bits": [ 4964 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_28_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4966, 4967, 4965, 4797, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_28_BLUT_LUT4_Z_B_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4916, 4917, 4968, 4969 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_28_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4971 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_28_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4972 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_29_ALUT": { + "hide_name": 0, + "bits": [ 4973 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_29_BLUT": { + "hide_name": 0, + "bits": [ 4974 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_29_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4976, 4977, 4975, 4797, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_29_BLUT_LUT4_Z_B_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4916, 4917, 4978, 4979 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_29_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4981 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_29_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4982 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_2_ALUT": { + "hide_name": 0, + "bits": [ 4877 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_2_BLUT": { + "hide_name": 0, + "bits": [ 4878 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_2_C0": { + "hide_name": 0, + "bits": [ 4863, 3612, 4795, 4983, 4879 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_30_ALUT": { + "hide_name": 0, + "bits": [ 4989 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_30_BLUT": { + "hide_name": 0, + "bits": [ 4990 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_30_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 4992, 4993, 4991, 4797, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_30_BLUT_LUT4_Z_B_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4916, 4917, 4994, 4995 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_30_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4997 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_30_BLUT_LUT4_Z_B_LUT4_Z_D_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4998 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_31_ALUT": { + "hide_name": 0, + "bits": [ 4999 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_31_BLUT": { + "hide_name": 0, + "bits": [ 5000 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_3_ALUT": { + "hide_name": 0, + "bits": [ 4986 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_3_BLUT": { + "hide_name": 0, + "bits": [ 4987 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_3_C0": { + "hide_name": 0, + "bits": [ 4872, 3612, 4795, 5004, 4988 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_4_ALUT": { + "hide_name": 0, + "bits": [ 5007 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_4_BLUT": { + "hide_name": 0, + "bits": [ 5008 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_4_C0": { + "hide_name": 0, + "bits": [ 4887, 3612, 4795, 5010, 5009 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_5_ALUT": { + "hide_name": 0, + "bits": [ 5013 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_5_BLUT": { + "hide_name": 0, + "bits": [ 5014 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_5_C0": { + "hide_name": 0, + "bits": [ 4896, 3612, 4795, 5016, 5015 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_6_ALUT": { + "hide_name": 0, + "bits": [ 5019 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_6_BLUT": { + "hide_name": 0, + "bits": [ 5020 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_6_C0": { + "hide_name": 0, + "bits": [ 4905, 3612, 4795, 5022, 5021 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_7_ALUT": { + "hide_name": 0, + "bits": [ 5025 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_7_BLUT": { + "hide_name": 0, + "bits": [ 5026 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_7_C0": { + "hide_name": 0, + "bits": [ 4914, 3612, 4795, 5028, 5027 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_8_ALUT": { + "hide_name": 0, + "bits": [ 5031 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_8_BLUT": { + "hide_name": 0, + "bits": [ 5032 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_8_C0": { + "hide_name": 0, + "bits": [ 4930, 3612, 4795, 5034, 5033 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_9_ALUT": { + "hide_name": 0, + "bits": [ 5037 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_9_BLUT": { + "hide_name": 0, + "bits": [ 5038 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_9_C0": { + "hide_name": 0, + "bits": [ 4942, 3612, 4795, 5040, 5039 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 4785 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_ALUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 4795, 4833, 4832, 4834, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 4786 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_47__PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 4929, 3612, 4795, 5043, 4787 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_47__PFUMX_Z_C0_LUT4_Z_1_C": { + "hide_name": 0, + "bits": [ 4843, 4844, 4801, 4845, 4797 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_4_": { + "hide_name": 0, + "bits": [ 4653, 3242 ], + "attributes": { + "hdlname": "core_cpu _zz_4_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1774.20-1774.26" + } + }, + "core_cpu._zz_50_": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "core_cpu _zz_50_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2032.23-2032.30" + } + }, + "core_cpu._zz_52_": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "core_cpu _zz_52_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2034.23-2034.30" + } + }, + "core_cpu._zz_54_": { + "hide_name": 0, + "bits": [ 1885 ], + "attributes": { + "hdlname": "core_cpu _zz_54_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2037.23-2037.30" + } + }, + "core_cpu._zz_55_": { + "hide_name": 0, + "bits": [ 1885 ], + "attributes": { + "hdlname": "core_cpu _zz_55_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2038.23-2038.30" + } + }, + "core_cpu._zz_55__PFUMX_C0_ALUT": { + "hide_name": 0, + "bits": [ 5049 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_55__PFUMX_C0_BLUT": { + "hide_name": 0, + "bits": [ 5050 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_55__PFUMX_C0_Z": { + "hide_name": 0, + "bits": [ 2256, 73, 2103, 2105, 395 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_55__PFUMX_C0_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5055 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_55__TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 5057 ], + "attributes": { + } + }, + "core_cpu._zz_55__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 5058 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu._zz_56_": { + "hide_name": 0, + "bits": [ 1884 ], + "attributes": { + "hdlname": "core_cpu _zz_56_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2039.23-2039.30" + } + }, + "core_cpu._zz_57_": { + "hide_name": 0, + "bits": [ 1884 ], + "attributes": { + "hdlname": "core_cpu _zz_57_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2040.23-2040.30" + } + }, + "core_cpu._zz_57__LUT4_B_Z": { + "hide_name": 0, + "bits": [ 5056 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_57__TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 5059 ], + "attributes": { + } + }, + "core_cpu._zz_57__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 5060 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu._zz_58_": { + "hide_name": 0, + "bits": [ "0", "0", 5083, 5082, 5081, 5080, 5079, 5078, 5077, 5076, 5075, 5074, 5072, 5071, 5070, 5069, 5068, 5067, 5066, 5065, 5064, 5063, 5090, 5089, 5088, 5087, 5086, 5085, 5084, 5073, 5062, 5061 ], + "attributes": { + "hdlname": "core_cpu _zz_58_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2041.23-2041.30" + } + }, + "core_cpu._zz_59_": { + "hide_name": 0, + "bits": [ 5091 ], + "attributes": { + "hdlname": "core_cpu _zz_59_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2055.23-2055.30" + } + }, + "core_cpu._zz_59__LUT4_B_Z": { + "hide_name": 0, + "bits": [ 1682, 1680, 1000, 1901, 1892 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z": { + "hide_name": 0, + "bits": [ 5119, 5129, 5104, 5097, 5092, 3994, 1015 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 5093, 5095, 5096, 5584 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_1_A_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5098 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_1_A_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5099 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_1_A_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 2507, 2574, 2514, 2595, 5100 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D": { + "hide_name": 0, + "bits": [ 5103, 1881, 5102, 5101 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_A_Z": { + "hide_name": 0, + "bits": [ 4206, 1557, 3924, 4015, 4011 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_A_Z_PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 5108 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_A_Z_PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 5109 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_A_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5105 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_A_Z_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5106 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_A_Z_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 2504, 2280, 5110, 2532, 5107 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_2_D_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2512, 5111, 5112, 5113 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_3_D": { + "hide_name": 0, + "bits": [ 5101, 1881, 5102, 5118 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_3_D_LUT4_A_Z": { + "hide_name": 0, + "bits": [ 1557, 4579, 3924, 2335, 2334 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_3_D_LUT4_A_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5122 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_3_D_LUT4_A_Z_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5123 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_59__LUT4_D_Z_LUT4_Z_3_D_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2526, 5116, 5124, 5125 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5126 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_59__LUT4_D_Z_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5127 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_59__TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 5131 ], + "attributes": { + } + }, + "core_cpu._zz_59__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 5132 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu._zz_59__TRELLIS_FF_Q_DI_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 1897, 2113, 2239, 2114, 2238 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_59__TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 5133, 1884 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_5_": { + "hide_name": 0, + "bits": [ 4653, 3242 ], + "attributes": { + "hdlname": "core_cpu _zz_5_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1775.20-1775.26" + } + }, + "core_cpu._zz_60_": { + "hide_name": 0, + "bits": [ "0", "0", 1242, 1240, 1238, 1236, 1234, 1232, 1230, 1228, 1226, 1224, 1220, 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1256, 1254, 1252, 1250, 1248, 1246, 1244, 1222, 1200, 1198 ], + "attributes": { + "hdlname": "core_cpu _zz_60_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2056.23-2056.30" + } + }, + "core_cpu._zz_62_": { + "hide_name": 0, + "bits": [ "x", "x", 2340, 3237, 2342, 2731, 2341, 5145, 5141, 5137, 5210, 5206, 3242, 2729, 2339, 2499, 2525, 2522, 2526, 2523, 2504, 2512, 2507, 2514, 2509, 3995, 4588, 5190, 3326, 4581, 3996, 2565 ], + "attributes": { + "hdlname": "core_cpu _zz_62_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2058.23-2058.30" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_10_DI": { + "hide_name": 0, + "bits": [ 5136 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_10_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5138 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_10_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5139 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_11_DI": { + "hide_name": 0, + "bits": [ 5140 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_11_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5142 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_11_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5143 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_12_DI": { + "hide_name": 0, + "bits": [ 5144 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_12_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5146 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_12_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5147 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_13_DI": { + "hide_name": 0, + "bits": [ 5148 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_13_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5149 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_13_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5150 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_14_DI": { + "hide_name": 0, + "bits": [ 5151 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_14_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5152 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_14_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5153 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_15_DI": { + "hide_name": 0, + "bits": [ 5154 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_15_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5155 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_15_DI_PFUMX_Z_ALUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2509, 2520, 1743, 1897, 2738 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_15_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5156 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_16_DI": { + "hide_name": 0, + "bits": [ 5157 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_16_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5158 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_16_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5159 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_17_DI": { + "hide_name": 0, + "bits": [ 5160 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_17_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5161 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_17_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5162 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_18_DI": { + "hide_name": 0, + "bits": [ 5163 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_18_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5164 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_18_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5165 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_19_DI": { + "hide_name": 0, + "bits": [ 5166 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_19_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5167 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_19_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5168 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 5135 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_1_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5169 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_1_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5170 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_20_DI": { + "hide_name": 0, + "bits": [ 5172 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_20_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5173 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_20_DI_PFUMX_Z_ALUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2523, 2531, 1697, 1897, 2738 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_20_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5174 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_21_DI": { + "hide_name": 0, + "bits": [ 5175 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_21_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5176 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_21_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5177 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_22_DI": { + "hide_name": 0, + "bits": [ 5178 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_22_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5179 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_22_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5180 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_23_DI": { + "hide_name": 0, + "bits": [ 5181 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_23_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5182 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_23_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5183 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_24_DI": { + "hide_name": 0, + "bits": [ 5184 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_24_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5185 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_24_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5186 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 5171 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_2_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5187 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_2_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5188 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_3_DI": { + "hide_name": 0, + "bits": [ 5189 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_3_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5191 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_3_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5192 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_4_DI": { + "hide_name": 0, + "bits": [ 5193 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_4_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5194 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_4_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5195 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_5_DI": { + "hide_name": 0, + "bits": [ 5196 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_5_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5197 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_5_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5198 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_6_DI": { + "hide_name": 0, + "bits": [ 5199 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_6_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5200 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_6_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5201 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_7_DI": { + "hide_name": 0, + "bits": [ 5202 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_7_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5203 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_7_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5204 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_8_DI": { + "hide_name": 0, + "bits": [ 5205 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_8_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5207 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_8_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5208 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_9_DI": { + "hide_name": 0, + "bits": [ 5209 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_9_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5211 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_9_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5212 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 2734 ], + "attributes": { + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 5134 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5213 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_62__TRELLIS_FF_Q_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5214 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_64_": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "core_cpu _zz_64_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2092.23-2092.30" + } + }, + "core_cpu._zz_65_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu _zz_65_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2093.23-2093.30" + } + }, + "core_cpu._zz_66_": { + "hide_name": 0, + "bits": [ 201, 198, 195, 192, 189, 185, 183, 179, 203, 200, 197, 194, 191, 188, 219, 217, 215, 213, 211, 209, 207, 205, 184, 181, 249, 245, 241, 237, 233, 229, 225, 222 ], + "attributes": { + "hdlname": "core_cpu _zz_66_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2095.23-2095.30" + } + }, + "core_cpu._zz_6_": { + "hide_name": 0, + "bits": [ 4653, 3242 ], + "attributes": { + "hdlname": "core_cpu _zz_6_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1776.20-1776.26" + } + }, + "core_cpu._zz_75_": { + "hide_name": 0, + "bits": [ 2731, 4653, 3242, 5573, 7664, 7665, 7666, 7667, 7678, 3239, 5398, 5372, 5405, 3325, 5403, 5370, 5575, 2730, 4001, 5404, 7679, "x", 5404, 7680, 3999, 3998, 3992, 3991, 7681, 5401 ], + "attributes": { + "hdlname": "core_cpu _zz_75_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2154.23-2154.30", + "unused_bits": "4 5 6 7 8 20 23 28" + } + }, + "core_cpu._zz_77_": { + "hide_name": 0, + "bits": [ 2340 ], + "attributes": { + "hdlname": "core_cpu _zz_77_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2156.23-2156.30" + } + }, + "core_cpu._zz_79_": { + "hide_name": 0, + "bits": [ 5404 ], + "attributes": { + "hdlname": "core_cpu _zz_79_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2158.23-2158.30" + } + }, + "core_cpu._zz_7_": { + "hide_name": 0, + "bits": [ 3999, 3998 ], + "attributes": { + "hdlname": "core_cpu _zz_7_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1797.20-1797.26" + } + }, + "core_cpu._zz_80_": { + "hide_name": 0, + "bits": [ 3992 ], + "attributes": { + "hdlname": "core_cpu _zz_80_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2159.23-2159.30" + } + }, + "core_cpu._zz_81_": { + "hide_name": 0, + "bits": [ 4653, 3242 ], + "attributes": { + "hdlname": "core_cpu _zz_81_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2160.20-2160.27" + } + }, + "core_cpu._zz_82_": { + "hide_name": 0, + "bits": [ 7664, 7665 ], + "attributes": { + "hdlname": "core_cpu _zz_82_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2161.20-2161.27", + "unused_bits": "0 1" + } + }, + "core_cpu._zz_83_": { + "hide_name": 0, + "bits": [ 7666, 7667 ], + "attributes": { + "hdlname": "core_cpu _zz_83_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2162.20-2162.27", + "unused_bits": "0 1" + } + }, + "core_cpu._zz_84_": { + "hide_name": 0, + "bits": [ 3325 ], + "attributes": { + "hdlname": "core_cpu _zz_84_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2163.20-2163.27" + } + }, + "core_cpu._zz_85_": { + "hide_name": 0, + "bits": [ 2730, 4001 ], + "attributes": { + "hdlname": "core_cpu _zz_85_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2164.20-2164.27" + } + }, + "core_cpu._zz_86_": { + "hide_name": 0, + "bits": [ 3999, 3998 ], + "attributes": { + "hdlname": "core_cpu _zz_86_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2165.20-2165.27" + } + }, + "core_cpu._zz_87_": { + "hide_name": 0, + "bits": [ 3992, 3991 ], + "attributes": { + "hdlname": "core_cpu _zz_87_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2166.20-2166.27" + } + }, + "core_cpu._zz_88_": { + "hide_name": 0, + "bits": [ 5215 ], + "attributes": { + "hdlname": "core_cpu _zz_88_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2174.23-2174.30" + } + }, + "core_cpu._zz_88__LUT4_C_1_Z": { + "hide_name": 0, + "bits": [ 2297 ], + "attributes": { + } + }, + "core_cpu._zz_88__LUT4_C_Z": { + "hide_name": 0, + "bits": [ 2284 ], + "attributes": { + } + }, + "core_cpu._zz_8_": { + "hide_name": 0, + "bits": [ 3999, 3998 ], + "attributes": { + "hdlname": "core_cpu _zz_8_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1798.20-1798.26" + } + }, + "core_cpu._zz_90_": { + "hide_name": 0, + "bits": [ 5422, 5421, 5408, 5420, 5419, 5417, 5416, 5415, 5448, 5445, 5442, 5439, 5430, 5429, 5428, 5427, 5472, 5469, 5466, 5463, 5426, 5460, 5425, 5457, 5454, 5424, 5451, 5423, 5414, 5436, 5413, 5433 ], + "attributes": { + "hdlname": "core_cpu _zz_90_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2177.23-2177.30" + } + }, + "core_cpu._zz_91_": { + "hide_name": 0, + "bits": [ 2565 ], + "attributes": { + "hdlname": "core_cpu _zz_91_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2178.23-2178.30" + } + }, + "core_cpu._zz_91__LUT4_B_Z": { + "hide_name": 0, + "bits": [ 5216, 5218, 5217, 5190 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_91__LUT4_D_1_Z": { + "hide_name": 0, + "bits": [ 2340, 1246, 4071 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_91__LUT4_D_C": { + "hide_name": 0, + "bits": [ 4416, 2343, 4580, 2499 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu._zz_91__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 5221 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu._zz_91__TRELLIS_FF_Q_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5222 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu._zz_91__TRELLIS_FF_Q_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5223 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu._zz_92_": { + "hide_name": 0, + "bits": [ 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565 ], + "attributes": { + "hdlname": "core_cpu _zz_92_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2179.23-2179.30" + } + }, + "core_cpu._zz_93_": { + "hide_name": 0, + "bits": [ 2565 ], + "attributes": { + "hdlname": "core_cpu _zz_93_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2180.23-2180.30" + } + }, + "core_cpu._zz_94_": { + "hide_name": 0, + "bits": [ 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565, 2565 ], + "attributes": { + "hdlname": "core_cpu _zz_94_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2181.23-2181.30" + } + }, + "core_cpu._zz_95_": { + "hide_name": 0, + "bits": [ 5477, 5475, 5551, 5548, 5544, 5540, 5537, 5534, 5531, 5528, 5525, 5522, 5516, 5513, 5510, 5507, 5504, 5501, 5498, 5495, 5492, 5489, 5572, 5569, 5566, 5563, 5560, 5557, 5554, 5519, 5486, 5483 ], + "attributes": { + "hdlname": "core_cpu _zz_95_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2182.23-2182.30" + } + }, + "core_cpu._zz_96_": { + "hide_name": 0, + "bits": [ 2918, 2917, 2920, 2928, 2930, 2913, 2906, 2908, 2934, 2932, 2873, 2882, 2880, 2886, 2842, 2846, 2845, 2841, 2887, 2881, 2883, 2874, 2933, 2935, 2907, 2905, 2912, 2931, 2929, 2919, 1526, 1541 ], + "attributes": { + "hdlname": "core_cpu _zz_96_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2186.23-2186.30" + } + }, + "core_cpu._zz_97_": { + "hide_name": 0, + "bits": [ 3929, 3819, 3906, 3897, 3888, 3879, 3870, 3861, 3852, 3844, 3744, 3753, 3762, 3771, 3780, 3789, 3788, 3779, 3770, 3761, 3752, 3743, 3845, 3853, 3862, 3871, 3880, 3889, 3898, 3907, 3818, 3930 ], + "attributes": { + "hdlname": "core_cpu _zz_97_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2188.23-2188.30" + } + }, + "core_cpu._zz_9_": { + "hide_name": 0, + "bits": [ 3999, 3998 ], + "attributes": { + "hdlname": "core_cpu _zz_9_", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1799.20-1799.26" + } + }, + "core_cpu.dBus_cmd_payload_address": { + "hide_name": 0, + "bits": [ 255, 252, 339, 337, 335, 333, 331, 329, 327, 325, 323, 321, 317, 315, 313, 311, 309, 307, 305, 303, 301, 299, 355, 353, 351, 349, 347, 345, 341, 319, 297, 295 ], + "attributes": { + "hdlname": "core_cpu dBus_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1481.23-1481.47" + } + }, + "core_cpu.dBus_cmd_payload_data": { + "hide_name": 0, + "bits": [ 201, 198, 195, 192, 189, 185, 183, 179, 203, 200, 197, 194, 191, 188, 219, 217, 215, 213, 211, 209, 207, 205, 184, 181, 249, 245, 241, 237, 233, 229, 225, 222 ], + "attributes": { + "hdlname": "core_cpu dBus_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1482.23-1482.44" + } + }, + "core_cpu.dBus_cmd_payload_size": { + "hide_name": 0, + "bits": [ 187, 180 ], + "attributes": { + "hdlname": "core_cpu dBus_cmd_payload_size", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1483.23-1483.44" + } + }, + "core_cpu.dBus_cmd_payload_wr": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "hdlname": "core_cpu dBus_cmd_payload_wr", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1480.23-1480.42" + } + }, + "core_cpu.dBus_rsp_data": { + "hide_name": 0, + "bits": [ 5328, 5322, 5314, 5310, 5306, 5302, 5298, 5294, 5290, 5286, 5282, 5278, 5270, 5266, 5262, 5258, 5254, 5250, 5246, 5242, 5238, 5233, 5355, 5351, 5347, 5343, 5339, 5335, 5319, 5275, 5230, 5227 ], + "attributes": { + "hdlname": "core_cpu dBus_rsp_data", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1486.23-1486.36" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_10_ALUT": { + "hide_name": 0, + "bits": [ 5231 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_10_BLUT": { + "hide_name": 0, + "bits": [ 5232 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_11_ALUT": { + "hide_name": 0, + "bits": [ 5236 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_11_BLUT": { + "hide_name": 0, + "bits": [ 5237 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_12_ALUT": { + "hide_name": 0, + "bits": [ 5240 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_12_BLUT": { + "hide_name": 0, + "bits": [ 5241 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_13_ALUT": { + "hide_name": 0, + "bits": [ 5244 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_13_BLUT": { + "hide_name": 0, + "bits": [ 5245 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_14_ALUT": { + "hide_name": 0, + "bits": [ 5248 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_14_BLUT": { + "hide_name": 0, + "bits": [ 5249 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_15_ALUT": { + "hide_name": 0, + "bits": [ 5252 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_15_BLUT": { + "hide_name": 0, + "bits": [ 5253 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_16_ALUT": { + "hide_name": 0, + "bits": [ 5256 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_16_BLUT": { + "hide_name": 0, + "bits": [ 5257 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_17_ALUT": { + "hide_name": 0, + "bits": [ 5260 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_17_BLUT": { + "hide_name": 0, + "bits": [ 5261 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_18_ALUT": { + "hide_name": 0, + "bits": [ 5264 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_18_BLUT": { + "hide_name": 0, + "bits": [ 5265 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_19_ALUT": { + "hide_name": 0, + "bits": [ 5268 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_19_BLUT": { + "hide_name": 0, + "bits": [ 5269 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 5228 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 5229 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_20_ALUT": { + "hide_name": 0, + "bits": [ 5276 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_20_BLUT": { + "hide_name": 0, + "bits": [ 5277 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_21_ALUT": { + "hide_name": 0, + "bits": [ 5280 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_21_BLUT": { + "hide_name": 0, + "bits": [ 5281 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_22_ALUT": { + "hide_name": 0, + "bits": [ 5284 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_22_BLUT": { + "hide_name": 0, + "bits": [ 5285 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_23_ALUT": { + "hide_name": 0, + "bits": [ 5288 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_23_BLUT": { + "hide_name": 0, + "bits": [ 5289 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_24_ALUT": { + "hide_name": 0, + "bits": [ 5292 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_24_BLUT": { + "hide_name": 0, + "bits": [ 5293 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_25_ALUT": { + "hide_name": 0, + "bits": [ 5296 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_25_BLUT": { + "hide_name": 0, + "bits": [ 5297 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_26_ALUT": { + "hide_name": 0, + "bits": [ 5300 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_26_BLUT": { + "hide_name": 0, + "bits": [ 5301 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_27_ALUT": { + "hide_name": 0, + "bits": [ 5304 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_27_BLUT": { + "hide_name": 0, + "bits": [ 5305 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_28_ALUT": { + "hide_name": 0, + "bits": [ 5308 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_28_BLUT": { + "hide_name": 0, + "bits": [ 5309 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_29_ALUT": { + "hide_name": 0, + "bits": [ 5312 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_29_BLUT": { + "hide_name": 0, + "bits": [ 5313 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_2_ALUT": { + "hide_name": 0, + "bits": [ 5273 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_2_BLUT": { + "hide_name": 0, + "bits": [ 5274 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_30_ALUT": { + "hide_name": 0, + "bits": [ 5320 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_30_BLUT": { + "hide_name": 0, + "bits": [ 5321 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_31_ALUT": { + "hide_name": 0, + "bits": [ 5326 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_31_BLUT": { + "hide_name": 0, + "bits": [ 5327 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_3_ALUT": { + "hide_name": 0, + "bits": [ 5317 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_3_BLUT": { + "hide_name": 0, + "bits": [ 5318 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_4_ALUT": { + "hide_name": 0, + "bits": [ 5333 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_4_BLUT": { + "hide_name": 0, + "bits": [ 5334 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_5_ALUT": { + "hide_name": 0, + "bits": [ 5337 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_5_BLUT": { + "hide_name": 0, + "bits": [ 5338 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_6_ALUT": { + "hide_name": 0, + "bits": [ 5341 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_6_BLUT": { + "hide_name": 0, + "bits": [ 5342 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_7_ALUT": { + "hide_name": 0, + "bits": [ 5345 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_7_BLUT": { + "hide_name": 0, + "bits": [ 5346 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_8_ALUT": { + "hide_name": 0, + "bits": [ 5349 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_8_BLUT": { + "hide_name": 0, + "bits": [ 5350 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_9_ALUT": { + "hide_name": 0, + "bits": [ 5353 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_9_BLUT": { + "hide_name": 0, + "bits": [ 5354 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5224 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.dBus_rsp_data_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5225 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.dBus_rsp_error": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu dBus_rsp_error", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1485.23-1485.37" + } + }, + "core_cpu.debug_bus_cmd_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 2719, 5362, 5366, 5367, 5359, 5358 ], + "attributes": { + "hdlname": "core_cpu debug_bus_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1474.23-1474.52" + } + }, + "core_cpu.debug_bus_cmd_payload_data": { + "hide_name": 0, + "bits": [ 1774, 1736, 1732, 1730, 1728, 1726, 1724, 1722, 1720, 1718, 1716, 1714, 1710, 1708, 1706, 1704, 1702, 1683, 1699, 1697, 1695, 1693, 1747, 1745, 1743, 1684, 1740, 1738, 1734, 1712, 1691, 1689 ], + "attributes": { + "hdlname": "core_cpu debug_bus_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1475.23-1475.49" + } + }, + "core_cpu.debug_bus_cmd_payload_wr": { + "hide_name": 0, + "bits": [ 5360 ], + "attributes": { + "hdlname": "core_cpu debug_bus_cmd_payload_wr", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1473.23-1473.47" + } + }, + "core_cpu.debug_bus_cmd_payload_wr_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 2719, 5363, 5362, 5361 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.debug_bus_cmd_payload_wr_LUT4_C_Z_LUT4_C_1_D": { + "hide_name": 0, + "bits": [ 530, 5364, 5365 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.debug_bus_cmd_payload_wr_LUT4_C_Z_LUT4_C_1_D_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 1702, 1743, 1685 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.debug_bus_cmd_payload_wr_LUT4_C_Z_LUT4_C_1_Z": { + "hide_name": 0, + "bits": [ 1688 ], + "attributes": { + } + }, + "core_cpu.debug_bus_cmd_payload_wr_LUT4_C_Z_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 1775 ], + "attributes": { + } + }, + "core_cpu.debug_bus_cmd_payload_wr_LUT4_C_Z_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 1825 ], + "attributes": { + } + }, + "core_cpu.debug_bus_cmd_payload_wr_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 5362, 5365, 5363, 2719 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.debug_bus_rsp_data": { + "hide_name": 0, + "bits": [ 6461, 6460, 6459, 6462, 6458, 1634, 1630, 1626, 1622, 1618, 1614, 1610, 1606, 1602, 1596, 1592, 1588, 1584, 1580, 1576, 1572, 1568, 1564, 1560, 1676, 1672, 1668, 1664, 1660, 1656, 1644, 1600 ], + "attributes": { + "hdlname": "core_cpu debug_bus_rsp_data", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1476.23-1476.41" + } + }, + "core_cpu.debug_resetOut": { + "hide_name": 0, + "bits": [ 1889 ], + "attributes": { + "hdlname": "core_cpu debug_resetOut", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1477.23-1477.37" + } + }, + "core_cpu.decode_ALU_BITWISE_CTRL": { + "hide_name": 0, + "bits": [ 4653, 3242 ], + "attributes": { + "hdlname": "core_cpu decode_ALU_BITWISE_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1773.20-1773.43" + } + }, + "core_cpu.decode_ALU_CTRL": { + "hide_name": 0, + "bits": [ 3992, 3991 ], + "attributes": { + "hdlname": "core_cpu decode_ALU_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1768.20-1768.35" + } + }, + "core_cpu.decode_BRANCH_CTRL": { + "hide_name": 0, + "bits": [ 3999, 3998 ], + "attributes": { + "hdlname": "core_cpu decode_BRANCH_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1796.20-1796.38" + } + }, + "core_cpu.decode_BYPASSABLE_EXECUTE_STAGE": { + "hide_name": 0, + "bits": [ 5370 ], + "attributes": { + "hdlname": "core_cpu decode_BYPASSABLE_EXECUTE_STAGE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1787.23-1787.54" + } + }, + "core_cpu.decode_BYPASSABLE_EXECUTE_STAGE_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2729, 3242, 5369, 2340 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_BYPASSABLE_MEMORY_STAGE": { + "hide_name": 0, + "bits": [ 5372 ], + "attributes": { + "hdlname": "core_cpu decode_BYPASSABLE_MEMORY_STAGE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1795.23-1795.53" + } + }, + "core_cpu.decode_BYPASSABLE_MEMORY_STAGE_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 2339, 3995, 2731, 5371 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_CSR_WRITE_OPCODE": { + "hide_name": 0, + "bits": [ 5374 ], + "attributes": { + "hdlname": "core_cpu decode_CSR_WRITE_OPCODE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1783.23-1783.46" + } + }, + "core_cpu.decode_CSR_WRITE_OPCODE_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 2499, 2729, 5373 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_DO_EBREAK": { + "hide_name": 0, + "bits": [ 5377 ], + "attributes": { + "hdlname": "core_cpu decode_DO_EBREAK", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1800.23-1800.39" + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 5375 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 5378 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5380 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5381 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 5379 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5382 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5383 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 5376 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 5384 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5386 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5387 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 5385 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5388 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "core_cpu.decode_DO_EBREAK_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5389 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "core_cpu.decode_ENV_CTRL": { + "hide_name": 0, + "bits": [ 3325 ], + "attributes": { + "hdlname": "core_cpu decode_ENV_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1821.20-1821.35" + } + }, + "core_cpu.decode_INSTRUCTION": { + "hide_name": 0, + "bits": [ "x", "x", 2340, 3237, 2342, 2731, 2341, 5145, 5141, 5137, 5210, 5206, 3242, 2729, 2339, 2499, 2525, 2522, 2526, 2523, 2504, 2512, 2507, 2514, 2509, 3995, 4588, 5190, 3326, 4581, 3996, 2565 ], + "attributes": { + "hdlname": "core_cpu decode_INSTRUCTION", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1919.23-1919.41" + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED": { + "hide_name": 0, + "bits": [ "x", "x", 7481, 7482, 7483, 7484, 7485, 7486, 7487, 7488, 7489, 7490, 7491, 7492, 7493, 2289, 2290, 2291, 2292, 7682, 2276, 2277, 2278, 2279, 7683, 7504, 7505, 7506, 7507, 7508, 7509, 7510 ], + "attributes": { + "hdlname": "core_cpu decode_INSTRUCTION_ANTICIPATED", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1884.23-1884.53", + "unused_bits": "2 3 4 5 6 7 8 9 10 11 12 13 14 19 24 25 26 27 28 29 30 31" + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_1_C": { + "hide_name": 0, + "bits": [ 2507, 5391, 1747, 1897, 2738 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_2_C": { + "hide_name": 0, + "bits": [ 2512, 5392, 1693, 1897, 2738 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_3_C": { + "hide_name": 0, + "bits": [ 2504, 5393, 1695, 1897, 2738 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_4_C": { + "hide_name": 0, + "bits": [ 2526, 5394, 1699, 1897, 2738 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_5_C": { + "hide_name": 0, + "bits": [ 2522, 5395, 1683, 1897, 2738 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_6_C": { + "hide_name": 0, + "bits": [ 2525, 5396, 1702, 1897, 2738 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_7_C": { + "hide_name": 0, + "bits": [ 2499, 5397, 1704, 1897, 2738 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_INSTRUCTION_ANTICIPATED_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2514, 5390, 1745, 1897, 2738 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_IS_CSR": { + "hide_name": 0, + "bits": [ 5398 ], + "attributes": { + "hdlname": "core_cpu decode_IS_CSR", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1772.23-1772.36" + } + }, + "core_cpu.decode_IS_DIV": { + "hide_name": 0, + "bits": [ 5401 ], + "attributes": { + "hdlname": "core_cpu decode_IS_DIV", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1778.23-1778.36" + } + }, + "core_cpu.decode_IS_DIV_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5399 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_IS_DIV_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5400 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_IS_MUL": { + "hide_name": 0, + "bits": [ 5403 ], + "attributes": { + "hdlname": "core_cpu decode_IS_MUL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1793.23-1793.36" + } + }, + "core_cpu.decode_IS_MUL_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 2341, 2731, 5402 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_IS_RS1_SIGNED": { + "hide_name": 0, + "bits": [ 5404 ], + "attributes": { + "hdlname": "core_cpu decode_IS_RS1_SIGNED", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1786.23-1786.43" + } + }, + "core_cpu.decode_IS_RS2_SIGNED": { + "hide_name": 0, + "bits": [ 5404 ], + "attributes": { + "hdlname": "core_cpu decode_IS_RS2_SIGNED", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1762.23-1762.43" + } + }, + "core_cpu.decode_MEMORY_ENABLE": { + "hide_name": 0, + "bits": [ 5405 ], + "attributes": { + "hdlname": "core_cpu decode_MEMORY_ENABLE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1760.23-1760.43" + } + }, + "core_cpu.decode_MEMORY_STORE": { + "hide_name": 0, + "bits": [ 2731 ], + "attributes": { + "hdlname": "core_cpu decode_MEMORY_STORE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1780.23-1780.42" + } + }, + "core_cpu.decode_PC": { + "hide_name": 0, + "bits": [ "0", "0", 1242, 1240, 1238, 1236, 1234, 1232, 1230, 1228, 1226, 1224, 1220, 1218, 1216, 1214, 1212, 1210, 1208, 1206, 1204, 1202, 1256, 1254, 1252, 1250, 1248, 1246, 1244, 1222, 1200, 1198 ], + "attributes": { + "hdlname": "core_cpu decode_PC", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1918.23-1918.32" + } + }, + "core_cpu.decode_RS1": { + "hide_name": 0, + "bits": [ 4576, 4567, 4558, 4549, 4540, 4639, 4531, 4636, 4529, 4523, 4515, 4507, 4473, 4454, 4435, 4416, 4630, 4624, 4618, 4612, 4406, 4606, 4395, 4600, 4594, 4384, 4587, 4373, 4361, 4504, 4357, 4500 ], + "attributes": { + "hdlname": "core_cpu decode_RS1", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1855.23-1855.33" + } + }, + "core_cpu.decode_RS2": { + "hide_name": 0, + "bits": [ 4203, 4193, 4183, 4173, 4151, 4141, 4131, 4121, 4334, 4030, 4322, 4310, 4008, 4298, 4005, 4294, 4115, 4108, 4101, 4094, 4082, 4070, 4274, 4263, 4252, 4241, 4230, 4224, 4212, 4170, 4067, 4064 ], + "attributes": { + "hdlname": "core_cpu decode_RS2", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1854.23-1854.33" + } + }, + "core_cpu.decode_RegFilePlugin_regFileReadAddress1": { + "hide_name": 0, + "bits": [ 2289, 2290, 2291, 2292, 7682 ], + "attributes": { + "hdlname": "core_cpu decode_RegFilePlugin_regFileReadAddress1", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2167.23-2167.63", + "unused_bits": "4" + } + }, + "core_cpu.decode_RegFilePlugin_regFileReadAddress2": { + "hide_name": 0, + "bits": [ 2276, 2277, 2278, 2279, 7683 ], + "attributes": { + "hdlname": "core_cpu decode_RegFilePlugin_regFileReadAddress2", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2168.23-2168.63", + "unused_bits": "4" + } + }, + "core_cpu.decode_SHIFT_CTRL": { + "hide_name": 0, + "bits": [ 2730, 4001 ], + "attributes": { + "hdlname": "core_cpu decode_SHIFT_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1813.20-1813.37" + } + }, + "core_cpu.decode_SRC1": { + "hide_name": 0, + "bits": [ 5422, 5421, 5408, 5420, 5419, 5417, 5416, 5415, 5448, 5445, 5442, 5439, 5430, 5429, 5428, 5427, 5472, 5469, 5466, 5463, 5426, 5460, 5425, 5457, 5454, 5424, 5451, 5423, 5414, 5436, 5413, 5433 ], + "attributes": { + "hdlname": "core_cpu decode_SRC1", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1802.23-1802.34" + } + }, + "core_cpu.decode_SRC1_CTRL": { + "hide_name": 0, + "bits": [ 7664, 7665 ], + "attributes": { + "hdlname": "core_cpu decode_SRC1_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1870.20-1870.36", + "unused_bits": "0 1" + } + }, + "core_cpu.decode_SRC1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 5406 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "core_cpu.decode_SRC1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5409 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "core_cpu.decode_SRC1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5410 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "core_cpu.decode_SRC1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 5407 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "core_cpu.decode_SRC1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5411 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "core_cpu.decode_SRC1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5412 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "core_cpu.decode_SRC1_LUT4_Z_16_C": { + "hide_name": 0, + "bits": [ 4576, 2343, 5418, 2499 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_10_ALUT": { + "hide_name": 0, + "bits": [ 5437 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_10_BLUT": { + "hide_name": 0, + "bits": [ 5438 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_11_ALUT": { + "hide_name": 0, + "bits": [ 5440 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_11_BLUT": { + "hide_name": 0, + "bits": [ 5441 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_12_ALUT": { + "hide_name": 0, + "bits": [ 5443 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_12_BLUT": { + "hide_name": 0, + "bits": [ 5444 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_13_ALUT": { + "hide_name": 0, + "bits": [ 5446 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_13_BLUT": { + "hide_name": 0, + "bits": [ 5447 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 5434 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 5435 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_2_ALUT": { + "hide_name": 0, + "bits": [ 5449 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_2_BLUT": { + "hide_name": 0, + "bits": [ 5450 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_3_ALUT": { + "hide_name": 0, + "bits": [ 5452 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_3_BLUT": { + "hide_name": 0, + "bits": [ 5453 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_4_ALUT": { + "hide_name": 0, + "bits": [ 5455 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_4_BLUT": { + "hide_name": 0, + "bits": [ 5456 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_5_ALUT": { + "hide_name": 0, + "bits": [ 5458 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_5_BLUT": { + "hide_name": 0, + "bits": [ 5459 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_6_ALUT": { + "hide_name": 0, + "bits": [ 5461 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_6_BLUT": { + "hide_name": 0, + "bits": [ 5462 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_7_ALUT": { + "hide_name": 0, + "bits": [ 5464 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_7_BLUT": { + "hide_name": 0, + "bits": [ 5465 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_8_ALUT": { + "hide_name": 0, + "bits": [ 5467 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_8_BLUT": { + "hide_name": 0, + "bits": [ 5468 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_9_ALUT": { + "hide_name": 0, + "bits": [ 5470 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_9_BLUT": { + "hide_name": 0, + "bits": [ 5471 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5431 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5432 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2": { + "hide_name": 0, + "bits": [ 5477, 5475, 5551, 5548, 5544, 5540, 5537, 5534, 5531, 5528, 5525, 5522, 5516, 5513, 5510, 5507, 5504, 5501, 5498, 5495, 5492, 5489, 5572, 5569, 5566, 5563, 5560, 5557, 5554, 5519, 5486, 5483 ], + "attributes": { + "hdlname": "core_cpu decode_SRC2", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1790.23-1790.34" + } + }, + "core_cpu.decode_SRC2_CTRL": { + "hide_name": 0, + "bits": [ 7666, 7667 ], + "attributes": { + "hdlname": "core_cpu decode_SRC2_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1867.20-1867.36", + "unused_bits": "0 1" + } + }, + "core_cpu.decode_SRC2_FORCE_ZERO": { + "hide_name": 0, + "bits": [ 5473 ], + "attributes": { + "hdlname": "core_cpu decode_SRC2_FORCE_ZERO", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1777.23-1777.45" + } + }, + "core_cpu.decode_SRC2_LUT4_Z_1_D": { + "hide_name": 0, + "bits": [ 5220, 4203, 5476 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_SRC2_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 5220, 4193, 5474 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_SRC2_LUT4_Z_D_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 5478, 2512, 5479, 5141 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_SRC2_LUT4_Z_D_LUT4_Z_C_LUT4_A_Z": { + "hide_name": 0, + "bits": [ 4183, 5220, 5478, 2507, 5480 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_10_ALUT": { + "hide_name": 0, + "bits": [ 5487 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_10_BLUT": { + "hide_name": 0, + "bits": [ 5488 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_11_ALUT": { + "hide_name": 0, + "bits": [ 5490 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_11_BLUT": { + "hide_name": 0, + "bits": [ 5491 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_12_ALUT": { + "hide_name": 0, + "bits": [ 5493 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_12_BLUT": { + "hide_name": 0, + "bits": [ 5494 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_13_ALUT": { + "hide_name": 0, + "bits": [ 5496 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_13_BLUT": { + "hide_name": 0, + "bits": [ 5497 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_14_ALUT": { + "hide_name": 0, + "bits": [ 5499 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_14_BLUT": { + "hide_name": 0, + "bits": [ 5500 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_15_ALUT": { + "hide_name": 0, + "bits": [ 5502 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_15_BLUT": { + "hide_name": 0, + "bits": [ 5503 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_16_ALUT": { + "hide_name": 0, + "bits": [ 5505 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_16_BLUT": { + "hide_name": 0, + "bits": [ 5506 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_17_ALUT": { + "hide_name": 0, + "bits": [ 5508 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_17_BLUT": { + "hide_name": 0, + "bits": [ 5509 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_18_ALUT": { + "hide_name": 0, + "bits": [ 5511 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_18_BLUT": { + "hide_name": 0, + "bits": [ 5512 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_19_ALUT": { + "hide_name": 0, + "bits": [ 5514 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_19_BLUT": { + "hide_name": 0, + "bits": [ 5515 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 5484 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 5485 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_20_ALUT": { + "hide_name": 0, + "bits": [ 5520 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_20_BLUT": { + "hide_name": 0, + "bits": [ 5521 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_21_ALUT": { + "hide_name": 0, + "bits": [ 5523 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_21_BLUT": { + "hide_name": 0, + "bits": [ 5524 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_22_ALUT": { + "hide_name": 0, + "bits": [ 5526 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_22_BLUT": { + "hide_name": 0, + "bits": [ 5527 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_23_ALUT": { + "hide_name": 0, + "bits": [ 5529 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_23_BLUT": { + "hide_name": 0, + "bits": [ 5530 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_24_ALUT": { + "hide_name": 0, + "bits": [ 5532 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_24_BLUT": { + "hide_name": 0, + "bits": [ 5533 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_25_ALUT": { + "hide_name": 0, + "bits": [ 5535 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_25_BLUT": { + "hide_name": 0, + "bits": [ 5536 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_26_ALUT": { + "hide_name": 0, + "bits": [ 5538 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_26_BLUT": { + "hide_name": 0, + "bits": [ 5539 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_27_ALUT": { + "hide_name": 0, + "bits": [ 5541 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_27_BLUT": { + "hide_name": 0, + "bits": [ 5542 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_27_C0": { + "hide_name": 0, + "bits": [ 4151, 5220, 5478, 2509, 5543 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_28_ALUT": { + "hide_name": 0, + "bits": [ 5545 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_28_BLUT": { + "hide_name": 0, + "bits": [ 5546 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_28_C0": { + "hide_name": 0, + "bits": [ 4173, 5220, 5478, 2514, 5547 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_29_ALUT": { + "hide_name": 0, + "bits": [ 5549 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_29_BLUT": { + "hide_name": 0, + "bits": [ 5550 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_2_ALUT": { + "hide_name": 0, + "bits": [ 5517 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_2_BLUT": { + "hide_name": 0, + "bits": [ 5518 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_3_ALUT": { + "hide_name": 0, + "bits": [ 5552 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_3_BLUT": { + "hide_name": 0, + "bits": [ 5553 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_4_ALUT": { + "hide_name": 0, + "bits": [ 5555 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_4_BLUT": { + "hide_name": 0, + "bits": [ 5556 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_5_ALUT": { + "hide_name": 0, + "bits": [ 5558 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_5_BLUT": { + "hide_name": 0, + "bits": [ 5559 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_6_ALUT": { + "hide_name": 0, + "bits": [ 5561 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_6_BLUT": { + "hide_name": 0, + "bits": [ 5562 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_7_ALUT": { + "hide_name": 0, + "bits": [ 5564 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_7_BLUT": { + "hide_name": 0, + "bits": [ 5565 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_8_ALUT": { + "hide_name": 0, + "bits": [ 5567 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_8_BLUT": { + "hide_name": 0, + "bits": [ 5568 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_9_ALUT": { + "hide_name": 0, + "bits": [ 5570 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_9_BLUT": { + "hide_name": 0, + "bits": [ 5571 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5481 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_SRC2_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5482 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_SRC_LESS_UNSIGNED": { + "hide_name": 0, + "bits": [ 5573 ], + "attributes": { + "hdlname": "core_cpu decode_SRC_LESS_UNSIGNED", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1803.23-1803.47" + } + }, + "core_cpu.decode_SRC_USE_SUB_LESS": { + "hide_name": 0, + "bits": [ 5575 ], + "attributes": { + "hdlname": "core_cpu decode_SRC_USE_SUB_LESS", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1872.23-1872.46" + } + }, + "core_cpu.decode_SRC_USE_SUB_LESS_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 5574, 2341, 2340 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_arbitration_flushIt": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu decode_arbitration_flushIt", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1925.23-1925.49" + } + }, + "core_cpu.decode_arbitration_flushNext": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu decode_arbitration_flushNext", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1926.23-1926.51" + } + }, + "core_cpu.decode_arbitration_isStuck": { + "hide_name": 0, + "bits": [ 1897 ], + "attributes": { + "hdlname": "core_cpu decode_arbitration_isStuck", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1928.23-1928.49" + } + }, + "core_cpu.decode_to_execute_ALU_BITWISE_CTRL": { + "hide_name": 0, + "bits": [ 1525, 187 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_ALU_BITWISE_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2319.20-2319.54" + } + }, + "core_cpu.decode_to_execute_ALU_CTRL": { + "hide_name": 0, + "bits": [ 1522, 1523 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_ALU_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2321.20-2321.46" + } + }, + "core_cpu.decode_to_execute_BRANCH_CTRL": { + "hide_name": 0, + "bits": [ 2568, 2569 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_BRANCH_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2286.20-2286.49" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE": { + "hide_name": 0, + "bits": [ 5576 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_BYPASSABLE_EXECUTE_STAGE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2300.23-2300.65" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 2525, 2577, 5094, 5130, 5128 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B_Z_PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 5580 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B_Z_PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 5581 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B_Z_PFUMX_Z_1_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2526, 2595, 5582, 2574, 2522 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5578 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B_Z_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5579 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_B_Z_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2526, 2595, 5583, 2499, 2600 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 2525, 2577, 5584, 5130, 5128 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 5585 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C_Z_PFUMX_ALUT_BLUT": { + "hide_name": 0, + "bits": [ 5586 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C_Z_PFUMX_ALUT_Z": { + "hide_name": 0, + "bits": [ 3874, 2334, 4140, 4640, 4368 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C_Z_PFUMX_ALUT_Z_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 1635, 5587, 2334, 2335 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C_Z_PFUMX_ALUT_Z_LUT4_Z_B_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5588 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C_Z_PFUMX_ALUT_Z_LUT4_Z_B_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5589 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_C_Z_PFUMX_ALUT_Z_LUT4_Z_B_PFUMX_Z_BLUT_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 5590, 5591, 2552, 2328, 2331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 1619, 4015, 3839, 4042, 4035, 4011, 4004 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_D_Z_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2549, 5592, 4015, 2517 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE_LUT4_D_Z_LUT4_D_Z_LUT4_Z_B_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 5593, 5594, 2390 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_BYPASSABLE_MEMORY_STAGE": { + "hide_name": 0, + "bits": [ 5595 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_BYPASSABLE_MEMORY_STAGE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2287.23-2287.64" + } + }, + "core_cpu.decode_to_execute_CSR_WRITE_OPCODE": { + "hide_name": 0, + "bits": [ 5598 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_CSR_WRITE_OPCODE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2306.23-2306.57" + } + }, + "core_cpu.decode_to_execute_CSR_WRITE_OPCODE_PFUMX_C0_ALUT": { + "hide_name": 0, + "bits": [ 5596 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_to_execute_CSR_WRITE_OPCODE_PFUMX_C0_BLUT": { + "hide_name": 0, + "bits": [ 5597 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_to_execute_CSR_WRITE_OPCODE_PFUMX_C0_Z": { + "hide_name": 0, + "bits": [ 1280, 1258 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_CSR_WRITE_OPCODE_PFUMX_C0_Z_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 1463 ], + "attributes": { + } + }, + "core_cpu.decode_to_execute_DO_EBREAK": { + "hide_name": 0, + "bits": [ 5599 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_DO_EBREAK", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2285.23-2285.50" + } + }, + "core_cpu.decode_to_execute_DO_EBREAK_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 5604, 5632, 1555, 5630, 5601 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL": { + "hide_name": 0, + "bits": [ 5605 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_ENV_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2269.20-2269.46" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 5606 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_BLUT": { + "hide_name": 0, + "bits": [ 5607 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z": { + "hide_name": 0, + "bits": [ 5051, 1899, 5052, 5053, 1885 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 5608 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 5610 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5612 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5613 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 5611 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5614 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5615 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 5609 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 5616 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5618 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5619 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 5617 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5620 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "core_cpu.decode_to_execute_ENV_CTRL_LUT4_B_Z_PFUMX_ALUT_Z_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5621 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "core_cpu.decode_to_execute_INSTRUCTION": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x", "x", 292, "x", 2600, 2577, 2574, 2595, 2592, 187, 180, 2587, 2585, 2583, 2581, 2571, 2567, 2579, 2576, 2573, 2594, 2591, 5627, 5626, 5625, 5624, 5623, 5622, 2566 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_INSTRUCTION", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2292.23-2292.52" + } + }, + "core_cpu.decode_to_execute_IS_CSR": { + "hide_name": 0, + "bits": [ 5628 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_IS_CSR", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2320.23-2320.47" + } + }, + "core_cpu.decode_to_execute_IS_CSR_LUT4_D_1_Z": { + "hide_name": 0, + "bits": [ 396, 269, 5600 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_IS_CSR_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 1442, 1881, 394, 4656, 5598 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_IS_CSR_LUT4_D_Z_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 5630, 5629 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_IS_CSR_LUT4_D_Z_LUT4_Z_B_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 1552, 1901 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_IS_CSR_LUT4_D_Z_LUT4_Z_B_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 5631 ], + "attributes": { + } + }, + "core_cpu.decode_to_execute_IS_DIV": { + "hide_name": 0, + "bits": [ 2718 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_IS_DIV", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2316.23-2316.47" + } + }, + "core_cpu.decode_to_execute_IS_MUL": { + "hide_name": 0, + "bits": [ 5633 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_IS_MUL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2289.23-2289.47" + } + }, + "core_cpu.decode_to_execute_IS_RS1_SIGNED": { + "hide_name": 0, + "bits": [ 2607 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_IS_RS1_SIGNED", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2301.23-2301.54" + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED": { + "hide_name": 0, + "bits": [ 2607 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_IS_RS2_SIGNED", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2326.23-2326.54" + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 2602, 2603, 5681, 5682, 5671, 5672, 5641, 5642, 5635, 5636, 5667, 5668, 5662, 5663, 5657, 5658, 5652, 5653, 5646, 5647, 5705, 5706, 5700, 5701, 5695, 5696, 5690, 5691, 5685, 5686, 5676, 5634 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:32.22-32.23" + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_C_Z_CCU2C_B0_3_COUT": { + "hide_name": 0, + "bits": [ 7541, 2604, 7542, 5673, 7543, 5643, 7544, 5637, 7545, 5638, 7546, 5664, 7547, 5659, 7548, 5654, 7549, 5648, 7550, 5649, 7551, 5702, 7552, 5697, 7553, 5692, 7554, 5687, 7555, 5677, 7556, 5678 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:38.23-38.25", + "unused_bits": "0 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 31" + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 5714, 5709, 5711, 5710 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_D_Z_LUT4_Z_2_C": { + "hide_name": 0, + "bits": [ 180, 195, 5712, 5713 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_IS_RS2_SIGNED_LUT4_D_Z_LUT4_Z_2_C_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 5715, 5716, 5717, 5718 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_MEMORY_ENABLE": { + "hide_name": 0, + "bits": [ 5719 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_MEMORY_ENABLE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2328.23-2328.54" + } + }, + "core_cpu.decode_to_execute_MEMORY_STORE": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_MEMORY_STORE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2312.23-2312.53" + } + }, + "core_cpu.decode_to_execute_PC": { + "hide_name": 0, + "bits": [ "0", "0", 1652, 1648, 1640, 1636, 1632, 1628, 1624, 1620, 1616, 1612, 1608, 1604, 1598, 1594, 1590, 1586, 1582, 1578, 1574, 1570, 1566, 1562, 1678, 1674, 1670, 1666, 1662, 1658, 1654, 1642 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_PC", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2302.23-2302.43" + } + }, + "core_cpu.decode_to_execute_REGFILE_WRITE_VALID": { + "hide_name": 0, + "bits": [ 5577 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_REGFILE_WRITE_VALID", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2308.23-2308.60" + } + }, + "core_cpu.decode_to_execute_REGFILE_WRITE_VALID_TRELLIS_FF_Q_LSR": { + "hide_name": 0, + "bits": [ 5720 ], + "attributes": { + } + }, + "core_cpu.decode_to_execute_REGFILE_WRITE_VALID_TRELLIS_FF_Q_LSR_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 1548, 5145, 5141, 5721 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_RS1": { + "hide_name": 0, + "bits": [ 2711, 2710, 2708, 2707, 2706, 2705, 2704, 2703, 2702, 2701, 2700, 2699, 2697, 2696, 2695, 2694, 2693, 2692, 2691, 2690, 2689, 2688, 2717, 2716, 2715, 2714, 2713, 2712, 2709, 2698, 2686, 2684 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_RS1", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2331.23-2331.44" + } + }, + "core_cpu.decode_to_execute_RS2": { + "hide_name": 0, + "bits": [ 201, 198, 195, 192, 189, 185, 183, 179, 202, 199, 196, 193, 190, 186, 218, 216, 214, 212, 210, 208, 206, 204, 182, 178, 250, 246, 242, 238, 234, 230, 226, 251 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_RS2", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2276.23-2276.44" + } + }, + "core_cpu.decode_to_execute_SHIFT_CTRL": { + "hide_name": 0, + "bits": [ 2844, 2843 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_SHIFT_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2272.20-2272.48" + } + }, + "core_cpu.decode_to_execute_SRC1": { + "hide_name": 0, + "bits": [ 1541, 1526, 2919, 2929, 2931, 2912, 2905, 2907, 2935, 2933, 2874, 2883, 2881, 2887, 2841, 2845, 2846, 2842, 2886, 2880, 2882, 2873, 2932, 2934, 2908, 2906, 2913, 2930, 2928, 2920, 2917, 2918 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_SRC1", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2282.23-2282.45" + } + }, + "core_cpu.decode_to_execute_SRC2": { + "hide_name": 0, + "bits": [ 1542, 1527, 2771, 2762, 2739, 3394, 3393, 3392, 3391, 3390, 3389, 3388, 3386, 3385, 3384, 3383, 3382, 3381, 3380, 3379, 3378, 3377, 3401, 3400, 3399, 3398, 3397, 3396, 3395, 3387, 3376, 3375 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_SRC2", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2295.23-2295.45" + } + }, + "core_cpu.decode_to_execute_SRC2_FORCE_ZERO": { + "hide_name": 0, + "bits": [ 5722 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_SRC2_FORCE_ZERO", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2318.23-2318.56" + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED": { + "hide_name": 0, + "bits": [ 5723 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_SRC_LESS_UNSIGNED", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2281.23-2281.58" + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 5728, 180, 1534, 187, 2587 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 5724, 5725, 5726, 5727 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 5733, 5734, 5735, 5736 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_2_A": { + "hide_name": 0, + "bits": [ 5737, 5738, 5739, 5740 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_3_A": { + "hide_name": 0, + "bits": [ 5741, 5742, 5743, 5744 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_SRC_LESS_UNSIGNED_LUT4_D_Z_LUT4_Z_A_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 5729, 5730, 5731, 5732 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.decode_to_execute_SRC_USE_SUB_LESS": { + "hide_name": 0, + "bits": [ 3279 ], + "attributes": { + "hdlname": "core_cpu decode_to_execute_SRC_USE_SUB_LESS", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2299.23-2299.57" + } + }, + "core_cpu.execute_ALIGNEMENT_FAULT": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu execute_ALIGNEMENT_FAULT", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1916.23-1916.47" + } + }, + "core_cpu.execute_ALU_BITWISE_CTRL": { + "hide_name": 0, + "bits": [ 1525, 187 ], + "attributes": { + "hdlname": "core_cpu execute_ALU_BITWISE_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1879.20-1879.44" + } + }, + "core_cpu.execute_ALU_CTRL": { + "hide_name": 0, + "bits": [ 1522, 1523 ], + "attributes": { + "hdlname": "core_cpu execute_ALU_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1876.20-1876.36" + } + }, + "core_cpu.execute_BRANCH_CALC": { + "hide_name": 0, + "bits": [ "0", 5749, 5804, 5805, 5794, 5795, 5764, 5765, 5759, 5760, 5789, 5790, 5785, 5786, 5780, 5781, 5775, 5776, 5770, 5771, 5828, 5829, 5824, 5825, 5819, 5820, 5814, 5815, 5809, 5810, 5800, 5801 ], + "attributes": { + "hdlname": "core_cpu execute_BRANCH_CALC", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1782.23-1782.42", + "unused_bits": "1 " + } + }, + "core_cpu.execute_BRANCH_CALC_CCU2C_S1_COUT": { + "hide_name": 0, + "bits": [ "0", 7684, 5747, 7685, 5793, 7686, 5763, 7687, 5757, 7688, 5758, 7689, 5784, 7690, 5779, 7691, 5774, 7692, 5768, 7693, 5769, 7694, 5823, 7695, 5818, 7696, 5813, 7697, 5808, 7698, 5798, 7699 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31" + } + }, + "core_cpu.execute_BRANCH_CTRL": { + "hide_name": 0, + "bits": [ 2568, 2569 ], + "attributes": { + "hdlname": "core_cpu execute_BRANCH_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1844.20-1844.39" + } + }, + "core_cpu.execute_BRANCH_DO": { + "hide_name": 0, + "bits": [ 5752 ], + "attributes": { + "hdlname": "core_cpu execute_BRANCH_DO", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1761.23-1761.40" + } + }, + "core_cpu.execute_BRANCH_DO_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 5750, 5751, 2568, 2569 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.execute_BRANCH_DO_LUT4_Z_A_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5753 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.execute_BRANCH_DO_LUT4_Z_A_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5754 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.execute_BYPASSABLE_EXECUTE_STAGE": { + "hide_name": 0, + "bits": [ 5576 ], + "attributes": { + "hdlname": "core_cpu execute_BYPASSABLE_EXECUTE_STAGE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1849.23-1849.55" + } + }, + "core_cpu.execute_BYPASSABLE_MEMORY_STAGE": { + "hide_name": 0, + "bits": [ 5595 ], + "attributes": { + "hdlname": "core_cpu execute_BYPASSABLE_MEMORY_STAGE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1794.23-1794.54" + } + }, + "core_cpu.execute_BranchPlugin_branchAdder": { + "hide_name": 0, + "bits": [ 5748, 5749, 5804, 5805, 5794, 5795, 5764, 5765, 5759, 5760, 5789, 5790, 5785, 5786, 5780, 5781, 5775, 5776, 5770, 5771, 5828, 5829, 5824, 5825, 5819, 5820, 5814, 5815, 5809, 5810, 5800, 5801 ], + "attributes": { + "hdlname": "core_cpu execute_BranchPlugin_branchAdder", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2213.23-2213.55", + "unused_bits": "0 1" + } + }, + "core_cpu.execute_BranchPlugin_branchAdder_CCU2C_S0_3_COUT": { + "hide_name": 0, + "bits": [ 7684, 5747, 7685, 5793, 7686, 5763, 7687, 5757, 7688, 5758, 7689, 5784, 7690, 5779, 7691, 5774, 7692, 5768, 7693, 5769, 7694, 5823, 7695, 5818, 7696, 5813, 7697, 5808, 7698, 5798, 7699, 5799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4536.46-4536.113|/usr/local/bin/../share/yosys/ecp5/arith_map.v:38.23-38.25", + "unused_bits": "0 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 31" + } + }, + "core_cpu.execute_BranchPlugin_branch_src1": { + "hide_name": 0, + "bits": [ 5745, 5746, 5802, 5803, 5791, 5792, 5761, 5762, 5755, 5756, 5787, 5788, 5782, 5783, 5777, 5778, 5772, 5773, 5766, 5767, 5826, 5827, 5821, 5822, 5816, 5817, 5811, 5812, 5806, 5807, 5796, 5797 ], + "attributes": { + "hdlname": "core_cpu execute_BranchPlugin_branch_src1", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2204.23-2204.55" + } + }, + "core_cpu.execute_BranchPlugin_branch_src2": { + "hide_name": 0, + "bits": [ 2580, 2578, 2575, 2596, 2593, 5627, 5626, 5625, 5624, 5623, 5622, 2599, 2590, 2589, 2588, 2586, 2584, 2582, 2572, 2570, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566, 2566 ], + "attributes": { + "hdlname": "core_cpu execute_BranchPlugin_branch_src2", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2212.23-2212.55" + } + }, + "core_cpu.execute_CSR_WRITE_OPCODE": { + "hide_name": 0, + "bits": [ 5598 ], + "attributes": { + "hdlname": "core_cpu execute_CSR_WRITE_OPCODE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1896.23-1896.47" + } + }, + "core_cpu.execute_CsrPlugin_csrAddress": { + "hide_name": 0, + "bits": [ 2579, 2576, 2573, 2594, 2591, 5627, 5626, 5625, 5624, 5623, 5622, 2566 ], + "attributes": { + "hdlname": "core_cpu execute_CsrPlugin_csrAddress", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2153.23-2153.51" + } + }, + "core_cpu.execute_CsrPlugin_csr_2816": { + "hide_name": 0, + "bits": [ 1037 ], + "attributes": { + "hdlname": "core_cpu execute_CsrPlugin_csr_2816", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2338.23-2338.49" + } + }, + "core_cpu.execute_CsrPlugin_csr_2816_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 5830 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5372.38-5372.76" + } + }, + "core_cpu.execute_CsrPlugin_csr_2818": { + "hide_name": 0, + "bits": [ 1031 ], + "attributes": { + "hdlname": "core_cpu execute_CsrPlugin_csr_2818", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2340.23-2340.49" + } + }, + "core_cpu.execute_CsrPlugin_csr_2818_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 5832 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5378.38-5378.76" + } + }, + "core_cpu.execute_CsrPlugin_csr_2944": { + "hide_name": 0, + "bits": [ 1025 ], + "attributes": { + "hdlname": "core_cpu execute_CsrPlugin_csr_2944", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2339.23-2339.49" + } + }, + "core_cpu.execute_CsrPlugin_csr_2944_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 5833 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5375.38-5375.76" + } + }, + "core_cpu.execute_CsrPlugin_csr_2946": { + "hide_name": 0, + "bits": [ 1033 ], + "attributes": { + "hdlname": "core_cpu execute_CsrPlugin_csr_2946", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2341.23-2341.49" + } + }, + "core_cpu.execute_CsrPlugin_csr_2946_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 5834 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5381.38-5381.76" + } + }, + "core_cpu.execute_CsrPlugin_csr_768": { + "hide_name": 0, + "bits": [ 1262 ], + "attributes": { + "hdlname": "core_cpu execute_CsrPlugin_csr_768", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2333.23-2333.48" + } + }, + "core_cpu.execute_CsrPlugin_csr_768_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 5835 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5357.37-5357.75" + } + }, + "core_cpu.execute_CsrPlugin_csr_768_TRELLIS_FF_Q_DI_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 5831, 5216, 5218, 5190 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.execute_CsrPlugin_csr_772": { + "hide_name": 0, + "bits": [ 1258 ], + "attributes": { + "hdlname": "core_cpu execute_CsrPlugin_csr_772", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2335.23-2335.48" + } + }, + "core_cpu.execute_CsrPlugin_csr_772_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 5837 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5363.37-5363.75" + } + }, + "core_cpu.execute_CsrPlugin_csr_772_TRELLIS_FF_Q_DI_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 2512, 2514, 2504, 2507, 5836 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.execute_CsrPlugin_csr_772_TRELLIS_FF_Q_DI_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 5836, 5838 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.execute_CsrPlugin_csr_773": { + "hide_name": 0, + "bits": [ 1035 ], + "attributes": { + "hdlname": "core_cpu execute_CsrPlugin_csr_773", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2336.23-2336.48" + } + }, + "core_cpu.execute_CsrPlugin_csr_773_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 5840 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5366.37-5366.75" + } + }, + "core_cpu.execute_CsrPlugin_csr_773_TRELLIS_FF_Q_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5841 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.execute_CsrPlugin_csr_773_TRELLIS_FF_Q_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5842 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.execute_CsrPlugin_csr_834": { + "hide_name": 0, + "bits": [ 1024 ], + "attributes": { + "hdlname": "core_cpu execute_CsrPlugin_csr_834", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2337.23-2337.48" + } + }, + "core_cpu.execute_CsrPlugin_csr_834_PFUMX_C0_ALUT": { + "hide_name": 0, + "bits": [ 5843 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.execute_CsrPlugin_csr_834_PFUMX_C0_BLUT": { + "hide_name": 0, + "bits": [ 5844 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.execute_CsrPlugin_csr_834_PFUMX_C0_Z": { + "hide_name": 0, + "bits": [ 1035, 1465, 4782, 4783 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.execute_CsrPlugin_csr_834_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 5845 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5369.37-5369.75" + } + }, + "core_cpu.execute_CsrPlugin_csr_834_TRELLIS_FF_Q_DI_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 5846, 5217 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.execute_CsrPlugin_csr_834_TRELLIS_FF_Q_DI_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5847 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.execute_CsrPlugin_csr_834_TRELLIS_FF_Q_DI_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5848 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.execute_CsrPlugin_csr_834_TRELLIS_FF_Q_DI_LUT4_Z_C_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 5190, 3995, 2509, 4588, 5839 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.execute_CsrPlugin_csr_836": { + "hide_name": 0, + "bits": [ 1270 ], + "attributes": { + "hdlname": "core_cpu execute_CsrPlugin_csr_836", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2334.23-2334.48" + } + }, + "core_cpu.execute_CsrPlugin_csr_836_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 5849 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5360.37-5360.75" + } + }, + "core_cpu.execute_CsrPlugin_writeData": { + "hide_name": 0, + "bits": [ 1544, 1543, 1500, 1279, 1498, 1496, 1494, 1289, 1492, 1490, 1488, 1267, 1461, 1484, 1482, 1480, 1478, 1476, 1474, 1472, 1470, 1468, 1513, 1511, 1509, 1507, 1505, 1503, 1501, 1486, 1466, 1464 ], + "attributes": { + "hdlname": "core_cpu execute_CsrPlugin_writeData", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2152.23-2152.50" + } + }, + "core_cpu.execute_DBusSimplePlugin_skipCmd": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu execute_DBusSimplePlugin_skipCmd", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2094.23-2094.55" + } + }, + "core_cpu.execute_DO_EBREAK": { + "hide_name": 0, + "bits": [ 5599 ], + "attributes": { + "hdlname": "core_cpu execute_DO_EBREAK", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1826.23-1826.40" + } + }, + "core_cpu.execute_ENV_CTRL": { + "hide_name": 0, + "bits": [ 5605 ], + "attributes": { + "hdlname": "core_cpu execute_ENV_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1900.20-1900.36" + } + }, + "core_cpu.execute_FullBarrelShifterPlugin_amplitude": { + "hide_name": 0, + "bits": [ 1542, 1527, 2771, 2762, 2739 ], + "attributes": { + "hdlname": "core_cpu execute_FullBarrelShifterPlugin_amplitude", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2185.23-2185.64" + } + }, + "core_cpu.execute_INSTRUCTION": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x", "x", 292, "x", 2600, 2577, 2574, 2595, 2592, 187, 180, 2587, 2585, 2583, 2581, 2571, 2567, 2579, 2576, 2573, 2594, 2591, 5627, 5626, 5625, 5624, 5623, 5622, 2566 ], + "attributes": { + "hdlname": "core_cpu execute_INSTRUCTION", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1913.23-1913.42" + } + }, + "core_cpu.execute_IS_CSR": { + "hide_name": 0, + "bits": [ 5628 ], + "attributes": { + "hdlname": "core_cpu execute_IS_CSR", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1897.23-1897.37" + } + }, + "core_cpu.execute_IS_DIV": { + "hide_name": 0, + "bits": [ 2718 ], + "attributes": { + "hdlname": "core_cpu execute_IS_DIV", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1829.23-1829.37" + } + }, + "core_cpu.execute_IS_MUL": { + "hide_name": 0, + "bits": [ 5633 ], + "attributes": { + "hdlname": "core_cpu execute_IS_MUL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1792.23-1792.37" + } + }, + "core_cpu.execute_IS_RS1_SIGNED": { + "hide_name": 0, + "bits": [ 2607 ], + "attributes": { + "hdlname": "core_cpu execute_IS_RS1_SIGNED", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1828.23-1828.44" + } + }, + "core_cpu.execute_IS_RS2_SIGNED": { + "hide_name": 0, + "bits": [ 2607 ], + "attributes": { + "hdlname": "core_cpu execute_IS_RS2_SIGNED", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1830.23-1830.44" + } + }, + "core_cpu.execute_MEMORY_ADDRESS_LOW": { + "hide_name": 0, + "bits": [ 255, 252 ], + "attributes": { + "hdlname": "core_cpu execute_MEMORY_ADDRESS_LOW", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1805.23-1805.49" + } + }, + "core_cpu.execute_MEMORY_ENABLE": { + "hide_name": 0, + "bits": [ 5719 ], + "attributes": { + "hdlname": "core_cpu execute_MEMORY_ENABLE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1915.23-1915.44" + } + }, + "core_cpu.execute_MEMORY_STORE": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "hdlname": "core_cpu execute_MEMORY_STORE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1914.23-1914.43" + } + }, + "core_cpu.execute_MUL_HH": { + "hide_name": 0, + "bits": [ 5850, 5851, 5862, 5873, 5880, 5881, 5882, 5883, 5884, 5885, 5852, 5853, 5854, 5855, 5856, 5857, 5858, 5859, 5860, 5861, 5863, 5864, 5865, 5866, 5867, 5868, 5869, 5870, 5871, 5872, 5874, 5875 ], + "attributes": { + "hdlname": "core_cpu execute_MUL_HH", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1763.23-1763.37" + } + }, + "core_cpu.execute_MUL_HH_MULT18X18D_P0_P32": { + "hide_name": 0, + "bits": [ 7700, 7701, 7702, 7703, 7704, 7705, 7706, 7707, 7708, 7709, 7710, 7711, 7712, 7713, 7714, 7715, 7716, 7717, 7718, 7719, 7720, 7721, 7722, 7723, 7724, 7725, 7726, 7727, 7728, 7729, 7730, 7731, 5876, 5877, 5878, 5879 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3278.28-3278.81|/usr/local/bin/../share/yosys/mul2dsp.v:249.6-253.5|/usr/local/bin/../share/yosys/ecp5/dsp_map.v:1.68-1.69", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35" + } + }, + "core_cpu.execute_MUL_HL": { + "hide_name": 0, + "bits": [ 5886, 5887, 5898, 5909, 5916, 5917, 5918, 5919, 5920, 5921, 5888, 5889, 5890, 5891, 5892, 5893, 5894, 5895, 5896, 5897, 5899, 5900, 5901, 5902, 5903, 5904, 5905, 5906, 5907, 5908, 5910, 5911 ], + "attributes": { + "hdlname": "core_cpu execute_MUL_HL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1781.23-1781.37" + } + }, + "core_cpu.execute_MUL_HL_MULT18X18D_P0_P32": { + "hide_name": 0, + "bits": [ 7732, 7733, 7734, 7735, 7736, 7737, 7738, 7739, 7740, 7741, 7742, 7743, 7744, 7745, 7746, 7747, 7748, 7749, 7750, 7751, 7752, 7753, 7754, 7755, 7756, 7757, 7758, 7759, 7760, 7761, 7762, 7763, 5912, 5913, 5914, 5915 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3292.28-3292.80|/usr/local/bin/../share/yosys/mul2dsp.v:249.6-253.5|/usr/local/bin/../share/yosys/ecp5/dsp_map.v:1.68-1.69", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35" + } + }, + "core_cpu.execute_MUL_LH": { + "hide_name": 0, + "bits": [ 5922, 5923, 5934, 5945, 5952, 5953, 5954, 5955, 5956, 5957, 5924, 5925, 5926, 5927, 5928, 5929, 5930, 5931, 5932, 5933, 5935, 5936, 5937, 5938, 5939, 5940, 5941, 5942, 5943, 5944, 5946, 5947 ], + "attributes": { + "hdlname": "core_cpu execute_MUL_LH", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1779.23-1779.37" + } + }, + "core_cpu.execute_MUL_LH_MULT18X18D_P0_P32": { + "hide_name": 0, + "bits": [ 7764, 7765, 7766, 7767, 7768, 7769, 7770, 7771, 7772, 7773, 7774, 7775, 7776, 7777, 7778, 7779, 7780, 7781, 7782, 7783, 7784, 7785, 7786, 7787, 7788, 7789, 7790, 7791, 7792, 7793, 7794, 7795, 5948, 5949, 5950, 5951 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3290.28-3290.80|/usr/local/bin/../share/yosys/mul2dsp.v:249.6-253.5|/usr/local/bin/../share/yosys/ecp5/dsp_map.v:1.68-1.69", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35" + } + }, + "core_cpu.execute_MUL_LL": { + "hide_name": 0, + "bits": [ 5958, 5959, 5970, 5981, 5988, 5989, 5990, 5991, 5992, 5993, 5960, 5961, 5962, 5963, 5964, 5965, 5966, 5967, 5968, 5969, 5971, 5972, 5973, 5974, 5975, 5976, 5977, 5978, 5979, 5980, 5982, 5983 ], + "attributes": { + "hdlname": "core_cpu execute_MUL_LL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1825.23-1825.37" + } + }, + "core_cpu.execute_MUL_LL_MULT18X18D_P0_P32": { + "hide_name": 0, + "bits": [ 7796, 7797, 7798, 7799, 7800, 7801, 7802, 7803, 7804, 7805, 7806, 7807, 7808, 7809, 7810, 7811, 7812, 7813, 7814, 7815, 7816, 7817, 7818, 7819, 7820, 7821, 7822, 7823, 7824, 7825, 7826, 7827, 5984, 5985, 5986, 5987 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:3327.28-3327.79|/usr/local/bin/../share/yosys/mul2dsp.v:249.6-253.5|/usr/local/bin/../share/yosys/ecp5/dsp_map.v:1.68-1.69", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35" + } + }, + "core_cpu.execute_Mul16Plugin_a": { + "hide_name": 0, + "bits": [ 1541, 1526, 2919, 2929, 2931, 2912, 2905, 2907, 2935, 2933, 2874, 2883, 2881, 2887, 2841, 2845, 2846, 2842, 2886, 2880, 2882, 2873, 2932, 2934, 2908, 2906, 2913, 2930, 2928, 2920, 2917, 2918 ], + "attributes": { + "hdlname": "core_cpu execute_Mul16Plugin_a", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2214.23-2214.44" + } + }, + "core_cpu.execute_Mul16Plugin_aHigh": { + "hide_name": 0, + "bits": [ 2846, 2842, 2886, 2880, 2882, 2873, 2932, 2934, 2908, 2906, 2913, 2930, 2928, 2920, 2917, 2918 ], + "attributes": { + "hdlname": "core_cpu execute_Mul16Plugin_aHigh", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2218.23-2218.48" + } + }, + "core_cpu.execute_Mul16Plugin_aLow": { + "hide_name": 0, + "bits": [ 1541, 1526, 2919, 2929, 2931, 2912, 2905, 2907, 2935, 2933, 2874, 2883, 2881, 2887, 2841, 2845 ], + "attributes": { + "hdlname": "core_cpu execute_Mul16Plugin_aLow", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2216.23-2216.47" + } + }, + "core_cpu.execute_Mul16Plugin_b": { + "hide_name": 0, + "bits": [ 1542, 1527, 2771, 2762, 2739, 3394, 3393, 3392, 3391, 3390, 3389, 3388, 3386, 3385, 3384, 3383, 3382, 3381, 3380, 3379, 3378, 3377, 3401, 3400, 3399, 3398, 3397, 3396, 3395, 3387, 3376, 3375 ], + "attributes": { + "hdlname": "core_cpu execute_Mul16Plugin_b", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2215.23-2215.44" + } + }, + "core_cpu.execute_Mul16Plugin_bHigh": { + "hide_name": 0, + "bits": [ 3382, 3381, 3380, 3379, 3378, 3377, 3401, 3400, 3399, 3398, 3397, 3396, 3395, 3387, 3376, 3375 ], + "attributes": { + "hdlname": "core_cpu execute_Mul16Plugin_bHigh", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2219.23-2219.48" + } + }, + "core_cpu.execute_Mul16Plugin_bLow": { + "hide_name": 0, + "bits": [ 1542, 1527, 2771, 2762, 2739, 3394, 3393, 3392, 3391, 3390, 3389, 3388, 3386, 3385, 3384, 3383 ], + "attributes": { + "hdlname": "core_cpu execute_Mul16Plugin_bLow", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2217.23-2217.47" + } + }, + "core_cpu.execute_PC": { + "hide_name": 0, + "bits": [ "0", "0", 1652, 1648, 1640, 1636, 1632, 1628, 1624, 1620, 1616, 1612, 1608, 1604, 1598, 1594, 1590, 1586, 1582, 1578, 1574, 1570, 1566, 1562, 1678, 1674, 1670, 1666, 1662, 1658, 1654, 1642 ], + "attributes": { + "hdlname": "core_cpu execute_PC", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1842.23-1842.33" + } + }, + "core_cpu.execute_REGFILE_WRITE_VALID": { + "hide_name": 0, + "bits": [ 5577 ], + "attributes": { + "hdlname": "core_cpu execute_REGFILE_WRITE_VALID", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1848.23-1848.50" + } + }, + "core_cpu.execute_RS1": { + "hide_name": 0, + "bits": [ 2711, 2710, 2708, 2707, 2706, 2705, 2704, 2703, 2702, 2701, 2700, 2699, 2697, 2696, 2695, 2694, 2693, 2692, 2691, 2690, 2689, 2688, 2717, 2716, 2715, 2714, 2713, 2712, 2709, 2698, 2686, 2684 ], + "attributes": { + "hdlname": "core_cpu execute_RS1", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1843.23-1843.34" + } + }, + "core_cpu.execute_RS2": { + "hide_name": 0, + "bits": [ 201, 198, 195, 192, 189, 185, 183, 179, 202, 199, 196, 193, 190, 186, 218, 216, 214, 212, 210, 208, 206, 204, 182, 178, 250, 246, 242, 238, 234, 230, 226, 251 ], + "attributes": { + "hdlname": "core_cpu execute_RS2", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1912.23-1912.34" + } + }, + "core_cpu.execute_SHIFT_CTRL": { + "hide_name": 0, + "bits": [ 2844, 2843 ], + "attributes": { + "hdlname": "core_cpu execute_SHIFT_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1860.20-1860.38" + } + }, + "core_cpu.execute_SHIFT_RIGHT": { + "hide_name": 0, + "bits": [ 2988, 2939, 2985, 2923, 2813, 2802, 2790, 2782, 2982, 2774, 2979, 2765, 2976, 2973, 2970, 2759, 2757, 2755, 2753, 2751, 2749, 2747, 2964, 2961, 2960, 2954, 2950, 2945, 2944, 2787, 2744, 2742 ], + "attributes": { + "hdlname": "core_cpu execute_SHIFT_RIGHT", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1784.23-1784.42" + } + }, + "core_cpu.execute_SRC1": { + "hide_name": 0, + "bits": [ 1541, 1526, 2919, 2929, 2931, 2912, 2905, 2907, 2935, 2933, 2874, 2883, 2881, 2887, 2841, 2845, 2846, 2842, 2886, 2880, 2882, 2873, 2932, 2934, 2908, 2906, 2913, 2930, 2928, 2920, 2917, 2918 ], + "attributes": { + "hdlname": "core_cpu execute_SRC1", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1894.23-1894.35" + } + }, + "core_cpu.execute_SRC2": { + "hide_name": 0, + "bits": [ 1542, 1527, 2771, 2762, 2739, 3394, 3393, 3392, 3391, 3390, 3389, 3388, 3386, 3385, 3384, 3383, 3382, 3381, 3380, 3379, 3378, 3377, 3401, 3400, 3399, 3398, 3397, 3396, 3395, 3387, 3376, 3375 ], + "attributes": { + "hdlname": "core_cpu execute_SRC2", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1878.23-1878.35" + } + }, + "core_cpu.execute_SRC2_FORCE_ZERO": { + "hide_name": 0, + "bits": [ 5722 ], + "attributes": { + "hdlname": "core_cpu execute_SRC2_FORCE_ZERO", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1863.23-1863.46" + } + }, + "core_cpu.execute_SRC_ADD": { + "hide_name": 0, + "bits": [ 255, 252, 339, 337, 335, 333, 331, 329, 327, 325, 323, 321, 317, 315, 313, 311, 309, 307, 305, 303, 301, 299, 355, 353, 351, 349, 347, 345, 341, 319, 297, 295 ], + "attributes": { + "hdlname": "core_cpu execute_SRC_ADD", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1911.23-1911.38" + } + }, + "core_cpu.execute_SRC_ADD_SUB": { + "hide_name": 0, + "bits": [ 255, 252, 339, 337, 335, 333, 331, 329, 327, 325, 323, 321, 317, 315, 313, 311, 309, 307, 305, 303, 301, 299, 355, 353, 351, 349, 347, 345, 341, 319, 297, 295 ], + "attributes": { + "hdlname": "core_cpu execute_SRC_ADD_SUB", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1874.23-1874.42" + } + }, + "core_cpu.execute_SRC_LESS_UNSIGNED": { + "hide_name": 0, + "bits": [ 5723 ], + "attributes": { + "hdlname": "core_cpu execute_SRC_LESS_UNSIGNED", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1862.23-1862.48" + } + }, + "core_cpu.execute_SRC_USE_SUB_LESS": { + "hide_name": 0, + "bits": [ 3279 ], + "attributes": { + "hdlname": "core_cpu execute_SRC_USE_SUB_LESS", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1864.23-1864.47" + } + }, + "core_cpu.execute_SrcPlugin_addSub": { + "hide_name": 0, + "bits": [ 255, 252, 339, 337, 335, 333, 331, 329, 327, 325, 323, 321, 317, 315, 313, 311, 309, 307, 305, 303, 301, 299, 355, 353, 351, 349, 347, 345, 341, 319, 297, 295 ], + "attributes": { + "hdlname": "core_cpu execute_SrcPlugin_addSub", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2183.23-2183.47" + } + }, + "core_cpu.execute_arbitration_isStuck": { + "hide_name": 0, + "bits": [ 1548 ], + "attributes": { + "hdlname": "core_cpu execute_arbitration_isStuck", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1939.23-1939.50" + } + }, + "core_cpu.execute_arbitration_isStuck_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 5994 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.execute_arbitration_isStuck_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 5995 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.execute_arbitration_isValid": { + "hide_name": 0, + "bits": [ 1883 ], + "attributes": { + "hdlname": "core_cpu execute_arbitration_isValid", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1938.23-1938.50" + } + }, + "core_cpu.execute_arbitration_isValid_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 5996 ], + "attributes": { + } + }, + "core_cpu.execute_arbitration_isValid_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 5997 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu.execute_to_memory_BRANCH_CALC": { + "hide_name": 0, + "bits": [ "0", "x", 2075, 2072, 2069, 2066, 2063, 2060, 2057, 2054, 2051, 2048, 1927, 2038, 2035, 2032, 2029, 2026, 2023, 2020, 2017, 2014, 2097, 2094, 2091, 2088, 2085, 2082, 2079, 2076, 2043, 2099 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_BRANCH_CALC", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2307.23-2307.52" + } + }, + "core_cpu.execute_to_memory_BRANCH_DO": { + "hide_name": 0, + "bits": [ 5999 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_BRANCH_DO", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2327.23-2327.50" + } + }, + "core_cpu.execute_to_memory_BRANCH_DO_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 1897, 1898, 1899, 1900, 1892 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.execute_to_memory_BRANCH_DO_LUT4_C_Z_LUT4_Z_1_C": { + "hide_name": 0, + "bits": [ 2251, 5054, 1884 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.execute_to_memory_BYPASSABLE_MEMORY_STAGE": { + "hide_name": 0, + "bits": [ 5101 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_BYPASSABLE_MEMORY_STAGE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2288.23-2288.64" + } + }, + "core_cpu.execute_to_memory_ENV_CTRL": { + "hide_name": 0, + "bits": [ 6000 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_ENV_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2270.20-2270.46" + } + }, + "core_cpu.execute_to_memory_ENV_CTRL_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 527, 1018, 1019, 1020 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.execute_to_memory_INSTRUCTION": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x", "x", 6015, "x", 5114, 5111, 5115, 5116, 5117, 6005, 6004, 6003, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 6002, 6001, "x", "x" ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_INSTRUCTION", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2293.23-2293.52" + } + }, + "core_cpu.execute_to_memory_IS_DIV": { + "hide_name": 0, + "bits": [ 6006 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_IS_DIV", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2317.23-2317.47" + } + }, + "core_cpu.execute_to_memory_IS_DIV_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 3797, 3798, 3729 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.execute_to_memory_IS_DIV_LUT4_D_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6007 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.execute_to_memory_IS_DIV_LUT4_D_Z_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6008 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.execute_to_memory_IS_DIV_LUT4_D_Z_PFUMX_Z_BLUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 3740, 3741, 6010, 1881, 6009 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.execute_to_memory_IS_MUL": { + "hide_name": 0, + "bits": [ 6011 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_IS_MUL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2290.23-2290.47" + } + }, + "core_cpu.execute_to_memory_MEMORY_ADDRESS_LOW": { + "hide_name": 0, + "bits": [ 6013, 6012 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_MEMORY_ADDRESS_LOW", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2279.23-2279.59" + } + }, + "core_cpu.execute_to_memory_MEMORY_ENABLE": { + "hide_name": 0, + "bits": [ 6014 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_MEMORY_ENABLE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2329.23-2329.54" + } + }, + "core_cpu.execute_to_memory_MEMORY_STORE": { + "hide_name": 0, + "bits": [ 6015 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_MEMORY_STORE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2313.23-2313.53" + } + }, + "core_cpu.execute_to_memory_MUL_HH": { + "hide_name": 0, + "bits": [ 3163, 3160, 3157, 3154, 3151, 3148, 3235, 3232, 3229, 3226, 3223, 3220, 3211, 3178, 3145, 3142, 3004, 3005, 3137, 3138, 3132, 3133, 3127, 3128, 3122, 3123, 3117, 3118, 3112, 3113, 3106, 3107 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_MUL_HH", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2325.23-2325.47" + } + }, + "core_cpu.execute_to_memory_MUL_HL": { + "hide_name": 0, + "bits": [ 3218, 3215, 3209, 3206, 3203, 3200, 3197, 3194, 3191, 3188, 3185, 3182, 3176, 3173, 3170, 3167, 3162, 3159, 3156, 3153, 3150, 3147, 3234, 3231, 3228, 3225, 3222, 3219, 3210, 3177, 3144, 3141 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_MUL_HL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2311.23-2311.47" + } + }, + "core_cpu.execute_to_memory_MUL_LH": { + "hide_name": 0, + "bits": [ 3217, 3214, 3208, 3205, 3202, 3199, 3196, 3193, 3190, 3187, 3184, 3181, 3175, 3172, 3169, 3166, 3164, 3161, 3158, 3155, 3152, 3149, 3236, 3233, 3230, 3227, 3224, 3221, 3212, 3179, 3146, 3143 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_MUL_LH", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2315.23-2315.47" + } + }, + "core_cpu.execute_to_memory_MUL_LL": { + "hide_name": 0, + "bits": [ 6031, 6030, 6029, 6028, 6027, 6026, 6025, 6024, 6023, 6022, 6021, 6020, 6019, 6018, 6017, 6016, 3216, 3213, 3207, 3204, 3201, 3198, 3195, 3192, 3189, 3186, 3183, 3180, 3174, 3171, 3168, 3165 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_MUL_LL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2268.23-2268.47" + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_DATA": { + "hide_name": 0, + "bits": [ 3927, 3920, 3904, 3895, 3886, 3877, 3868, 3859, 3850, 3842, 3835, 3828, 3812, 3805, 6009, 3795, 3786, 3777, 3768, 3759, 3750, 3739, 3975, 3968, 3961, 3954, 3947, 3940, 3933, 3910, 3816, 3979 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_REGFILE_WRITE_DATA", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2274.23-2274.59" + } + }, + "core_cpu.execute_to_memory_REGFILE_WRITE_VALID": { + "hide_name": 0, + "bits": [ 5102 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_REGFILE_WRITE_VALID", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2309.23-2309.60" + } + }, + "core_cpu.execute_to_memory_SHIFT_CTRL": { + "hide_name": 0, + "bits": [ 3740, 3741 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_SHIFT_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2273.20-2273.48" + } + }, + "core_cpu.execute_to_memory_SHIFT_RIGHT": { + "hide_name": 0, + "bits": [ 3930, 3818, 3907, 3898, 3889, 3880, 3871, 3862, 3853, 3845, 3743, 3752, 3761, 3770, 3779, 3788, 3789, 3780, 3771, 3762, 3753, 3744, 3844, 3852, 3861, 3870, 3879, 3888, 3897, 3906, 3819, 3929 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_SHIFT_RIGHT", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2305.23-2305.52" + } + }, + "core_cpu.execute_to_memory_SRC1": { + "hide_name": 0, + "bits": [ 6057, 6056, 6054, 6053, 6052, 6051, 6050, 6049, 6048, 6047, 6046, 6045, 6043, 6042, 6041, 6040, 6039, 6038, 6037, 6036, 6035, 6034, 6063, 6062, 6061, 6060, 6059, 6058, 6055, 6044, 6033, 6032 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_SRC1", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2283.23-2283.45" + } + }, + "core_cpu.execute_to_memory_SRC2": { + "hide_name": 0, + "bits": [ 6089, 6088, 6086, 6085, 6084, 6083, 6082, 6081, 6080, 6079, 6078, 6077, 6075, 6074, 6073, 6072, 6071, 6070, 6069, 6068, 6067, 6066, 6095, 6094, 6093, 6092, 6091, 6090, 6087, 6076, 6065, 6064 ], + "attributes": { + "hdlname": "core_cpu execute_to_memory_SRC2", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2296.23-2296.45" + } + }, + "core_cpu.externalInterrupt": { + "hide_name": 0, + "bits": [ 572 ], + "attributes": { + "hdlname": "core_cpu externalInterrupt", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1469.23-1469.40" + } + }, + "core_cpu.iBus_cmd_payload_pc": { + "hide_name": 0, + "bits": [ "0", "0", 152, 148, 144, 140, 136, 132, 128, 124, 120, 116, 109, 105, 101, 97, 93, 90, 87, 84, 81, 78, 175, 172, 169, 166, 163, 160, 157, 154, 111, 177 ], + "attributes": { + "hdlname": "core_cpu iBus_cmd_payload_pc", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1464.23-1464.42" + } + }, + "core_cpu.iBus_rsp_payload_error": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu iBus_rsp_payload_error", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1466.23-1466.45" + } + }, + "core_cpu.iBus_rsp_payload_inst": { + "hide_name": 0, + "bits": [ "x", "x", 2159, 2157, 2155, 2153, 2151, 2149, 2147, 2145, 2143, 2141, 2137, 2135, 2133, 2131, 2129, 2127, 2125, 2123, 2121, 2119, 2173, 2171, 2169, 2167, 2165, 2163, 2161, 2139, 2117, 2115 ], + "attributes": { + "hdlname": "core_cpu iBus_rsp_payload_inst", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1467.23-1467.44" + } + }, + "core_cpu.lastStageInstruction": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x", "x", "x", "x", 2280, 2281, 2282, 2283, 2533, 3611, 3612, 4923, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 6343, 6342, "x", "x" ], + "attributes": { + "hdlname": "core_cpu lastStageInstruction", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1966.23-1966.43" + } + }, + "core_cpu.lastStageIsFiring": { + "hide_name": 0, + "bits": [ 1442 ], + "attributes": { + "hdlname": "core_cpu lastStageIsFiring", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1969.23-1969.40" + } + }, + "core_cpu.lastStageIsValid": { + "hide_name": 0, + "bits": [ 1442 ], + "attributes": { + "hdlname": "core_cpu lastStageIsValid", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1968.23-1968.39" + } + }, + "core_cpu.lastStageRegFileWrite_payload_address": { + "hide_name": 0, + "bits": [ 2280, 2281, 2282, 2283, 2533 ], + "attributes": { + "hdlname": "core_cpu lastStageRegFileWrite_payload_address", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2172.23-2172.60" + } + }, + "core_cpu.lastStageRegFileWrite_payload_data": { + "hide_name": 0, + "bits": [ 1557, 1554, 1651, 1647, 1639, 1635, 1631, 1627, 1623, 1619, 1615, 1611, 1607, 1603, 1597, 1593, 1589, 1585, 1581, 1577, 1573, 1569, 1565, 1561, 1677, 1673, 1669, 1665, 1661, 1657, 1653, 1641 ], + "attributes": { + "hdlname": "core_cpu lastStageRegFileWrite_payload_data", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2173.23-2173.57" + } + }, + "core_cpu.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "core_cpu mainClock", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1487.23-1487.32" + } + }, + "core_cpu.memory_BRANCH_CALC": { + "hide_name": 0, + "bits": [ "0", "x", 2075, 2072, 2069, 2066, 2063, 2060, 2057, 2054, 2051, 2048, 1927, 2038, 2035, 2032, 2029, 2026, 2023, 2020, 2017, 2014, 2097, 2094, 2091, 2088, 2085, 2082, 2079, 2076, 2043, 2099 ], + "attributes": { + "hdlname": "core_cpu memory_BRANCH_CALC", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1840.23-1840.41" + } + }, + "core_cpu.memory_BRANCH_DO": { + "hide_name": 0, + "bits": [ 5999 ], + "attributes": { + "hdlname": "core_cpu memory_BRANCH_DO", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1841.23-1841.39" + } + }, + "core_cpu.memory_BYPASSABLE_MEMORY_STAGE": { + "hide_name": 0, + "bits": [ 5101 ], + "attributes": { + "hdlname": "core_cpu memory_BYPASSABLE_MEMORY_STAGE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1852.23-1852.53" + } + }, + "core_cpu.memory_ENV_CTRL": { + "hide_name": 0, + "bits": [ 6000 ], + "attributes": { + "hdlname": "core_cpu memory_ENV_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1898.20-1898.35" + } + }, + "core_cpu.memory_INSTRUCTION": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x", "x", 6015, "x", 5114, 5111, 5115, 5116, 5117, 6005, 6004, 6003, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 6002, 6001, "x", "x" ], + "attributes": { + "hdlname": "core_cpu memory_INSTRUCTION", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1851.23-1851.41" + } + }, + "core_cpu.memory_IS_DIV": { + "hide_name": 0, + "bits": [ 6006 ], + "attributes": { + "hdlname": "core_cpu memory_IS_DIV", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1831.23-1831.36" + } + }, + "core_cpu.memory_IS_MUL": { + "hide_name": 0, + "bits": [ 6011 ], + "attributes": { + "hdlname": "core_cpu memory_IS_MUL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1791.23-1791.36" + } + }, + "core_cpu.memory_MEMORY_ADDRESS_LOW": { + "hide_name": 0, + "bits": [ 6013, 6012 ], + "attributes": { + "hdlname": "core_cpu memory_MEMORY_ADDRESS_LOW", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1804.23-1804.48" + } + }, + "core_cpu.memory_MEMORY_ENABLE": { + "hide_name": 0, + "bits": [ 6014 ], + "attributes": { + "hdlname": "core_cpu memory_MEMORY_ENABLE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1910.23-1910.43" + } + }, + "core_cpu.memory_MEMORY_READ_DATA": { + "hide_name": 0, + "bits": [ 5328, 5322, 5314, 5310, 5306, 5302, 5298, 5294, 5290, 5286, 5282, 5278, 5270, 5266, 5262, 5258, 5254, 5250, 5246, 5242, 5238, 5233, 5355, 5351, 5347, 5343, 5339, 5335, 5319, 5275, 5230, 5227 ], + "attributes": { + "hdlname": "core_cpu memory_MEMORY_READ_DATA", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1807.23-1807.46" + } + }, + "core_cpu.memory_MEMORY_STORE": { + "hide_name": 0, + "bits": [ 6015 ], + "attributes": { + "hdlname": "core_cpu memory_MEMORY_STORE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1909.23-1909.42" + } + }, + "core_cpu.memory_MUL": { + "hide_name": 0, + "bits": [ 6031, 6030, 6029, 6028, 6027, 6026, 6025, 6024, 6023, 6022, 6021, 6020, 6019, 6018, 6017, 6016, 3104, 3105, 3024, 3025, 3079, 3080, 3002, 3003, 2995, 2996, 3099, 3100, 3093, 3094, 3086, 3087, 3073, 3074, 3066, 3067, 3059, 3060, 3052, 3053, 3045, 3046, 3038, 3039, 3031, 3032, 3016, 3017, 3009, 3010, 3139, 3140, 3135, 3136, 3130, 3131, 3125, 3126, 3120, 3121, 3115, 3116, 3110, 3111 ], + "attributes": { + "hdlname": "core_cpu memory_MUL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1788.23-1788.33" + } + }, + "core_cpu.memory_MUL_HH": { + "hide_name": 0, + "bits": [ 3163, 3160, 3157, 3154, 3151, 3148, 3235, 3232, 3229, 3226, 3223, 3220, 3211, 3178, 3145, 3142, 3004, 3005, 3137, 3138, 3132, 3133, 3127, 3128, 3122, 3123, 3117, 3118, 3112, 3113, 3106, 3107 ], + "attributes": { + "hdlname": "core_cpu memory_MUL_HH", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1836.23-1836.36" + } + }, + "core_cpu.memory_MUL_HL": { + "hide_name": 0, + "bits": [ 3218, 3215, 3209, 3206, 3203, 3200, 3197, 3194, 3191, 3188, 3185, 3182, 3176, 3173, 3170, 3167, 3162, 3159, 3156, 3153, 3150, 3147, 3234, 3231, 3228, 3225, 3222, 3219, 3210, 3177, 3144, 3141 ], + "attributes": { + "hdlname": "core_cpu memory_MUL_HL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1837.23-1837.36" + } + }, + "core_cpu.memory_MUL_LH": { + "hide_name": 0, + "bits": [ 3217, 3214, 3208, 3205, 3202, 3199, 3196, 3193, 3190, 3187, 3184, 3181, 3175, 3172, 3169, 3166, 3164, 3161, 3158, 3155, 3152, 3149, 3236, 3233, 3230, 3227, 3224, 3221, 3212, 3179, 3146, 3143 ], + "attributes": { + "hdlname": "core_cpu memory_MUL_LH", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1838.23-1838.36" + } + }, + "core_cpu.memory_MUL_LL": { + "hide_name": 0, + "bits": [ 6031, 6030, 6029, 6028, 6027, 6026, 6025, 6024, 6023, 6022, 6021, 6020, 6019, 6018, 6017, 6016, 3216, 3213, 3207, 3204, 3201, 3198, 3195, 3192, 3189, 3186, 3183, 3180, 3174, 3171, 3168, 3165 ], + "attributes": { + "hdlname": "core_cpu memory_MUL_LL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1839.23-1839.36" + } + }, + "core_cpu.memory_Mul16Plugin_hh": { + "hide_name": 0, + "bits": [ 3163, 3160, 3157, 3154, 3151, 3148, 3235, 3232, 3229, 3226, 3223, 3220, 3211, 3178, 3145, 3142, 3004, 3005, 3137, 3138, 3132, 3133, 3127, 3128, 3122, 3123, 3117, 3118, 3112, 3113, 3106, 3107 ], + "attributes": { + "hdlname": "core_cpu memory_Mul16Plugin_hh", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2223.23-2223.44" + } + }, + "core_cpu.memory_Mul16Plugin_hl": { + "hide_name": 0, + "bits": [ 3218, 3215, 3209, 3206, 3203, 3200, 3197, 3194, 3191, 3188, 3185, 3182, 3176, 3173, 3170, 3167, 3162, 3159, 3156, 3153, 3150, 3147, 3234, 3231, 3228, 3225, 3222, 3219, 3210, 3177, 3144, 3141 ], + "attributes": { + "hdlname": "core_cpu memory_Mul16Plugin_hl", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2222.23-2222.44" + } + }, + "core_cpu.memory_Mul16Plugin_hllh": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x" ], + "attributes": { + "hdlname": "core_cpu memory_Mul16Plugin_hllh", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2224.23-2224.46" + } + }, + "core_cpu.memory_Mul16Plugin_lh": { + "hide_name": 0, + "bits": [ 3217, 3214, 3208, 3205, 3202, 3199, 3196, 3193, 3190, 3187, 3184, 3181, 3175, 3172, 3169, 3166, 3164, 3161, 3158, 3155, 3152, 3149, 3236, 3233, 3230, 3227, 3224, 3221, 3212, 3179, 3146, 3143, "0" ], + "attributes": { + "hdlname": "core_cpu memory_Mul16Plugin_lh", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2221.23-2221.44" + } + }, + "core_cpu.memory_Mul16Plugin_ll": { + "hide_name": 0, + "bits": [ 6031, 6030, 6029, 6028, 6027, 6026, 6025, 6024, 6023, 6022, 6021, 6020, 6019, 6018, 6017, 6016, 3216, 3213, 3207, 3204, 3201, 3198, 3195, 3192, 3189, 3186, 3183, 3180, 3174, 3171, 3168, 3165 ], + "attributes": { + "hdlname": "core_cpu memory_Mul16Plugin_ll", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2220.23-2220.44" + } + }, + "core_cpu.memory_MulDivIterativePlugin_accumulator": { + "hide_name": 0, + "bits": [ 6147, 6145, 6141, 6139, 6137, 6135, 6133, 6131, 6129, 6127, 6125, 6123, 6119, 6117, 6115, 6113, 6111, 6109, 6107, 6105, 6103, 6101, 6159, 6157, 6155, 6153, 6151, 6149, 6143, 6121, 6099, 6097, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_cpu memory_MulDivIterativePlugin_accumulator", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2231.23-2231.63" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_value": { + "hide_name": 0, + "bits": [ 6180, 6181, 6177, 6178, 6173, 6174 ], + "attributes": { + "hdlname": "core_cpu memory_MulDivIterativePlugin_div_counter_value", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2237.23-2237.69" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_valueNext": { + "hide_name": 0, + "bits": [ 6172, 6170, 6168, 6166, 6164, 6162 ], + "attributes": { + "hdlname": "core_cpu memory_MulDivIterativePlugin_div_counter_valueNext", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2236.23-2236.73" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_valueNext_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 6171, 6169, 6167, 6165, 6163, 6161 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4603.61-4603.118|/usr/local/bin/../share/yosys/ecp5/arith_map.v:34.26-34.27" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_valueNext_LUT4_Z_D_CCU2C_S0_2_COUT": { + "hide_name": 0, + "bits": [ "0", 7828, 6179, 7829, 6175, 7830 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4603.61-4603.118|/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "1 3 5" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_valueNext_LUT4_Z_D_CCU2C_S0_COUT": { + "hide_name": 0, + "bits": [ 7828, 6179, 7829, 6175, 7830, 6176 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4603.61-4603.118|/usr/local/bin/../share/yosys/ecp5/arith_map.v:38.23-38.25", + "unused_bits": "0 2 4 5" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_counter_willIncrement": { + "hide_name": 0, + "bits": [ 5630 ], + "attributes": { + "hdlname": "core_cpu memory_MulDivIterativePlugin_div_counter_willIncrement", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2234.23-2234.77" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_done": { + "hide_name": 0, + "bits": [ 6182 ], + "attributes": { + "hdlname": "core_cpu memory_MulDivIterativePlugin_div_done", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2240.23-2240.60" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_done_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 6183, 5630 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_done_TRELLIS_FF_Q_CE_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 6184 ], + "attributes": { + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_done_TRELLIS_FF_Q_CE_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 6180, 6185 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_done_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 1552, 6160, 6171 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_done_TRELLIS_FF_Q_CE_LUT4_Z_D_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6186 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_done_TRELLIS_FF_Q_CE_LUT4_Z_D_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6187 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert": { + "hide_name": 0, + "bits": [ 3681 ], + "attributes": { + "hdlname": "core_cpu memory_MulDivIterativePlugin_div_needRevert", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2233.23-2233.66" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 6220 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5165.55-5165.199" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_needRevert_TRELLIS_FF_Q_DI_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 180, 2601, 6221, 2608 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_result": { + "hide_name": 0, + "bits": [ 3923, 3916, 3900, 3891, 3882, 3873, 3864, 3855, 3847, 3838, 3831, 3824, 3808, 3801, 3798, 3791, 3782, 3773, 3764, 3755, 3746, 3735, 3971, 3964, 3957, 3950, 3943, 3936, 3913, 3821, 3732, 3728 ], + "attributes": { + "hdlname": "core_cpu memory_MulDivIterativePlugin_div_result", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2241.23-2241.62" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outNumerator": { + "hide_name": 0, + "bits": [ 7646, 6188, 6213, 6211, 6210, 6209, 6208, 6207, 6206, 6205, 6204, 6203, 6202, 6200, 6199, 6198, 6197, 6196, 6195, 6194, 6193, 6192, 6191, 6219, 6218, 6217, 6216, 6215, 6214, 6212, 6201, 6190 ], + "attributes": { + "hdlname": "core_cpu memory_MulDivIterativePlugin_div_stage_0_outNumerator", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2246.23-2246.76", + "unused_bits": "0 " + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder": { + "hide_name": 0, + "bits": [ 6146, 6144, 6140, 6138, 6136, 6134, 6132, 6130, 6128, 6126, 6124, 6122, 6118, 6116, 6114, 6112, 6110, 6108, 6106, 6104, 6102, 6100, 6158, 6156, 6154, 6152, 6150, 6148, 6142, 6120, 6098, 6096 ], + "attributes": { + "hdlname": "core_cpu memory_MulDivIterativePlugin_div_stage_0_outRemainder", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2245.23-2245.76" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator": { + "hide_name": 0, + "bits": [ 6248, 6247, 6245, 6244, 6243, 6242, 6241, 6240, 6239, 6238, 6237, 6236, 6234, 6233, 6232, 6231, 6230, 6229, 6228, 6227, 6226, 6225, 6254, 6253, 6252, 6251, 6250, 6249, 6246, 6235, 6224, 6222, 6223 ], + "attributes": { + "hdlname": "core_cpu memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2244.23-2244.89" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_3_COUT": { + "hide_name": 0, + "bits": [ 6282, 7831, 6285, 7832, 6261, 7833, 6257, 7834, 6258, 7835, 6277, 7836, 6274, 7837, 6271, 7838, 6268, 7839, 6264, 7840, 6265, 7841, 6302, 7842, 6299, 7843, 6296, 7844, 6291, 7845, 6286, 7846, 6287, 7847 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:65.22-65.25", + "unused_bits": "1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 32 33" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_3_S1": { + "hide_name": 0, + "bits": [ 6247, 7848, 6244, 7849, 6242, 7850, 6240, 7851, 6238, 7852, 6236, 7853, 6233, 7854, 6231, 7855, 6229, 7856, 6227, 7857, 6225, 7858, 6253, 7859, 6251, 7860, 6249, 7861, 6235, 7862, 6222, 7863, 6288, 7864 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:65.27-65.29", + "unused_bits": "1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 32 33" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator_CCU2C_S0_COUT": { + "hide_name": 0, + "bits": [ "1", 7865, 6282, 7866, 6285, 7867, 6261, 7868, 6257, 7869, 6258, 7870, 6277, 7871, 6274, 7872, 6271, 7873, 6268, 7874, 6264, 7875, 6265, 7876, 6302, 7877, 6299, 7878, 6296, 7879, 6291, 7880, 6286, 7881 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4612.80-4612.148|/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33" + } + }, + "core_cpu.memory_MulDivIterativePlugin_div_stage_0_remainderShifted": { + "hide_name": 0, + "bits": [ 6189, 6147, 6145, 6141, 6139, 6137, 6135, 6133, 6131, 6129, 6127, 6125, 6123, 6119, 6117, 6115, 6113, 6111, 6109, 6107, 6105, 6103, 6101, 6159, 6157, 6155, 6153, 6151, 6149, 6143, 6121, 6099, 6097 ], + "attributes": { + "hdlname": "core_cpu memory_MulDivIterativePlugin_div_stage_0_remainderShifted", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2243.23-2243.80" + } + }, + "core_cpu.memory_MulDivIterativePlugin_frontendOk": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "core_cpu memory_MulDivIterativePlugin_frontendOk", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2232.23-2232.62" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1": { + "hide_name": 0, + "bits": [ 6188, 6213, 6211, 6210, 6209, 6208, 6207, 6206, 6205, 6204, 6203, 6202, 6200, 6199, 6198, 6197, 6196, 6195, 6194, 6193, 6192, 6191, 6219, 6218, 6217, 6216, 6215, 6214, 6212, 6201, 6190, 6189, "x" ], + "attributes": { + "hdlname": "core_cpu memory_MulDivIterativePlugin_rs1", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2229.23-2229.55" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_10_DI": { + "hide_name": 0, + "bits": [ 6307 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_11_DI": { + "hide_name": 0, + "bits": [ 6308 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_12_DI": { + "hide_name": 0, + "bits": [ 6309 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_13_DI": { + "hide_name": 0, + "bits": [ 6310 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_14_DI": { + "hide_name": 0, + "bits": [ 6311 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_15_DI": { + "hide_name": 0, + "bits": [ 6312 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_16_DI": { + "hide_name": 0, + "bits": [ 6313 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_17_DI": { + "hide_name": 0, + "bits": [ 6314 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_18_DI": { + "hide_name": 0, + "bits": [ 6315 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_19_DI": { + "hide_name": 0, + "bits": [ 6316 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 6306 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_20_DI": { + "hide_name": 0, + "bits": [ 6319 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_21_DI": { + "hide_name": 0, + "bits": [ 6320 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_22_DI": { + "hide_name": 0, + "bits": [ 6321 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_23_DI": { + "hide_name": 0, + "bits": [ 6322 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_24_DI": { + "hide_name": 0, + "bits": [ 6323 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_25_DI": { + "hide_name": 0, + "bits": [ 6324 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_26_DI": { + "hide_name": 0, + "bits": [ 6325 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_27_DI": { + "hide_name": 0, + "bits": [ 6326 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_28_DI": { + "hide_name": 0, + "bits": [ 6327 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_29_DI": { + "hide_name": 0, + "bits": [ 6328 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 6318 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_30_DI": { + "hide_name": 0, + "bits": [ 6330 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_31_DI": { + "hide_name": 0, + "bits": [ 6331 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_3_DI": { + "hide_name": 0, + "bits": [ 6329 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_4_DI": { + "hide_name": 0, + "bits": [ 6332 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_5_DI": { + "hide_name": 0, + "bits": [ 6333 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_6_DI": { + "hide_name": 0, + "bits": [ 6334 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_7_DI": { + "hide_name": 0, + "bits": [ 6335 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_8_DI": { + "hide_name": 0, + "bits": [ 6336 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_9_DI": { + "hide_name": 0, + "bits": [ 6337 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 6305 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5079.3-5388.6" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_DI_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 2612, 2613, 2652, 2653, 2648, 2649, 2623, 2624, 2618, 2619, 2643, 2644, 2639, 2640, 2634, 2635, 2629, 2630, 2682, 2683, 2678, 2679, 2673, 2674, 2668, 2669, 2663, 2664, 2658, 2659, 6317, 6338 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:34.26-34.27" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs1_TRELLIS_FF_Q_DI_LUT4_Z_B_CCU2C_S0_COUT": { + "hide_name": 0, + "bits": [ 7557, 2611, 7558, 2647, 7559, 2622, 7560, 2616, 7561, 2617, 7562, 2638, 7563, 2633, 7564, 2627, 7565, 2628, 7566, 2677, 7567, 2672, 7568, 2667, 7569, 2662, 7570, 2656, 7571, 2657, 7572, 6339 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5163.44-5163.91|/usr/local/bin/../share/yosys/ecp5/arith_map.v:38.23-38.25", + "unused_bits": "0 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 31" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2": { + "hide_name": 0, + "bits": [ 6280, 6281, 6292, 6293, 6283, 6284, 6259, 6260, 6255, 6256, 6278, 6279, 6275, 6276, 6272, 6273, 6269, 6270, 6266, 6267, 6262, 6263, 6303, 6304, 6300, 6301, 6297, 6298, 6294, 6295, 6289, 6290 ], + "attributes": { + "hdlname": "core_cpu memory_MulDivIterativePlugin_rs2", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2230.23-2230.55" + } + }, + "core_cpu.memory_MulDivIterativePlugin_rs2_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 2605, 2606, 5683, 5684, 5674, 5675, 5644, 5645, 5639, 5640, 5669, 5670, 5665, 5666, 5660, 5661, 5655, 5656, 5650, 5651, 5707, 5708, 5703, 5704, 5698, 5699, 5693, 5694, 5688, 5689, 5679, 5680 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:5164.44-5164.97|/usr/local/bin/../share/yosys/ecp5/arith_map.v:34.26-34.27" + } + }, + "core_cpu.memory_REGFILE_WRITE_DATA": { + "hide_name": 0, + "bits": [ 3927, 3920, 3904, 3895, 3886, 3877, 3868, 3859, 3850, 3842, 3835, 3828, 3812, 3805, 6009, 3795, 3786, 3777, 3768, 3759, 3750, 3739, 3975, 3968, 3961, 3954, 3947, 3940, 3933, 3910, 3816, 3979 ], + "attributes": { + "hdlname": "core_cpu memory_REGFILE_WRITE_DATA", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1809.23-1809.48" + } + }, + "core_cpu.memory_REGFILE_WRITE_VALID": { + "hide_name": 0, + "bits": [ 5102 ], + "attributes": { + "hdlname": "core_cpu memory_REGFILE_WRITE_VALID", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1850.23-1850.49" + } + }, + "core_cpu.memory_SHIFT_CTRL": { + "hide_name": 0, + "bits": [ 3740, 3741 ], + "attributes": { + "hdlname": "core_cpu memory_SHIFT_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1858.20-1858.37" + } + }, + "core_cpu.memory_SHIFT_RIGHT": { + "hide_name": 0, + "bits": [ 3930, 3818, 3907, 3898, 3889, 3880, 3871, 3862, 3853, 3845, 3743, 3752, 3761, 3770, 3779, 3788, 3789, 3780, 3771, 3762, 3753, 3744, 3844, 3852, 3861, 3870, 3879, 3888, 3897, 3906, 3819, 3929 ], + "attributes": { + "hdlname": "core_cpu memory_SHIFT_RIGHT", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1856.23-1856.41" + } + }, + "core_cpu.memory_SRC1": { + "hide_name": 0, + "bits": [ 6057, 6056, 6054, 6053, 6052, 6051, 6050, 6049, 6048, 6047, 6046, 6045, 6043, 6042, 6041, 6040, 6039, 6038, 6037, 6036, 6035, 6034, 6063, 6062, 6061, 6060, 6059, 6058, 6055, 6044, 6033, 6032 ], + "attributes": { + "hdlname": "core_cpu memory_SRC1", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1801.23-1801.34" + } + }, + "core_cpu.memory_SRC2": { + "hide_name": 0, + "bits": [ 6089, 6088, 6086, 6085, 6084, 6083, 6082, 6081, 6080, 6079, 6078, 6077, 6075, 6074, 6073, 6072, 6071, 6070, 6069, 6068, 6067, 6066, 6095, 6094, 6093, 6092, 6091, 6090, 6087, 6076, 6065, 6064 ], + "attributes": { + "hdlname": "core_cpu memory_SRC2", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1789.23-1789.34" + } + }, + "core_cpu.memory_arbitration_flushIt": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu memory_arbitration_flushIt", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1947.23-1947.49" + } + }, + "core_cpu.memory_arbitration_haltByOther": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu memory_arbitration_haltByOther", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1945.23-1945.53" + } + }, + "core_cpu.memory_arbitration_haltItself": { + "hide_name": 0, + "bits": [ 5998 ], + "attributes": { + "hdlname": "core_cpu memory_arbitration_haltItself", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1944.23-1944.52" + } + }, + "core_cpu.memory_arbitration_isStuck": { + "hide_name": 0, + "bits": [ 5998 ], + "attributes": { + "hdlname": "core_cpu memory_arbitration_isStuck", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1950.23-1950.49" + } + }, + "core_cpu.memory_arbitration_isStuckByOthers": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu memory_arbitration_isStuckByOthers", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1951.23-1951.57" + } + }, + "core_cpu.memory_arbitration_isValid": { + "hide_name": 0, + "bits": [ 1881 ], + "attributes": { + "hdlname": "core_cpu memory_arbitration_isValid", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1949.23-1949.49" + } + }, + "core_cpu.memory_arbitration_isValid_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 6340 ], + "attributes": { + } + }, + "core_cpu.memory_arbitration_isValid_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 6341 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu.memory_to_writeBack_ENV_CTRL": { + "hide_name": 0, + "bits": [ 1974 ], + "attributes": { + "hdlname": "core_cpu memory_to_writeBack_ENV_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2271.20-2271.48" + } + }, + "core_cpu.memory_to_writeBack_ENV_CTRL_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 1004, 1283, 1233, 1451 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.memory_to_writeBack_INSTRUCTION": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x", "x", "x", "x", 2280, 2281, 2282, 2283, 2533, 3611, 3612, 4923, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 6343, 6342, "x", "x" ], + "attributes": { + "hdlname": "core_cpu memory_to_writeBack_INSTRUCTION", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2294.23-2294.54" + } + }, + "core_cpu.memory_to_writeBack_IS_MUL": { + "hide_name": 0, + "bits": [ 6344 ], + "attributes": { + "hdlname": "core_cpu memory_to_writeBack_IS_MUL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2291.23-2291.49" + } + }, + "core_cpu.memory_to_writeBack_IS_MUL_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 5002, 5003, 5001, 4797, 4799 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.memory_to_writeBack_IS_MUL_LUT4_D_Z_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 4916, 4917, 6345, 6346 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.memory_to_writeBack_IS_MUL_LUT4_D_Z_LUT4_Z_D_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6348 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_cpu.memory_to_writeBack_IS_MUL_LUT4_D_Z_LUT4_Z_D_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6349 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_cpu.memory_to_writeBack_MEMORY_ADDRESS_LOW": { + "hide_name": 0, + "bits": [ 4916, 4917 ], + "attributes": { + "hdlname": "core_cpu memory_to_writeBack_MEMORY_ADDRESS_LOW", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2280.23-2280.61" + } + }, + "core_cpu.memory_to_writeBack_MEMORY_ENABLE": { + "hide_name": 0, + "bits": [ 6350 ], + "attributes": { + "hdlname": "core_cpu memory_to_writeBack_MEMORY_ENABLE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2330.23-2330.56" + } + }, + "core_cpu.memory_to_writeBack_MEMORY_READ_DATA": { + "hide_name": 0, + "bits": [ 6345, 4994, 4978, 4968, 4958, 4948, 4937, 4931, 4915, 4906, 4897, 4888, 4873, 4864, 4854, 4924, 5044, 4826, 4819, 4812, 4805, 4794, 4942, 4930, 4914, 4905, 4896, 4887, 4872, 4863, 4853, 4929 ], + "attributes": { + "hdlname": "core_cpu memory_to_writeBack_MEMORY_READ_DATA", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2277.23-2277.59" + } + }, + "core_cpu.memory_to_writeBack_MUL": { + "hide_name": 0, + "bits": [ 6347, 4996, 4980, 4970, 4960, 4950, 4939, 4926, 4912, 4903, 4894, 4885, 4870, 4861, 4851, 4840, 5045, 4829, 4822, 4815, 4808, 4800, 5042, 5036, 5030, 5024, 5018, 5012, 5006, 4985, 4876, 5048, 3589, 3454, 3580, 3577, 3574, 3571, 3568, 3565, 3562, 3559, 3556, 3550, 3547, 3544, 3541, 3538, 3535, 3532, 3529, 3526, 3523, 3607, 3604, 3601, 3598, 3595, 3592, 3586, 3553, 3520, 3517, 3610 ], + "attributes": { + "hdlname": "core_cpu memory_to_writeBack_MUL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2298.23-2298.46" + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_DATA": { + "hide_name": 0, + "bits": [ 5003, 4993, 4977, 4967, 4957, 4947, 4936, 4921, 4910, 4901, 4892, 4883, 4868, 4859, 4849, 4838, 5046, 4828, 4821, 4814, 4807, 4798, 5041, 5035, 5029, 5023, 5017, 5011, 5005, 4984, 4875, 5047 ], + "attributes": { + "hdlname": "core_cpu memory_to_writeBack_REGFILE_WRITE_DATA", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2275.23-2275.61" + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_VALID": { + "hide_name": 0, + "bits": [ 2726 ], + "attributes": { + "hdlname": "core_cpu memory_to_writeBack_REGFILE_WRITE_VALID", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2310.23-2310.62" + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_VALID_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 2523, 2533, 6351, 6352 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.memory_to_writeBack_REGFILE_WRITE_VALID_LUT4_C_Z_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 2525, 2281, 5120, 5121 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_cpu.memory_to_writeBack_SRC1": { + "hide_name": 0, + "bits": [ 3587, 3581, 3578, 3575, 3572, 3569, 3566, 3563, 3560, 3557, 3554, 3548, 3545, 3542, 3539, 3536, 3533, 3530, 3527, 3524, 3521, 3605, 3602, 3599, 3596, 3593, 3590, 3584, 3551, 3518, 3515, 3614 ], + "attributes": { + "hdlname": "core_cpu memory_to_writeBack_SRC1", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2284.23-2284.47" + } + }, + "core_cpu.memory_to_writeBack_SRC2": { + "hide_name": 0, + "bits": [ 3644, 3583, 3634, 3633, 3632, 3631, 3630, 3629, 3628, 3627, 3626, 3624, 3623, 3622, 3621, 3620, 3619, 3618, 3617, 3616, 3615, 3642, 3641, 3640, 3639, 3638, 3637, 3636, 3635, 3625, 3643, 3613 ], + "attributes": { + "hdlname": "core_cpu memory_to_writeBack_SRC2", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2297.23-2297.47" + } + }, + "core_cpu.resetCtrl_mainClockReset": { + "hide_name": 0, + "bits": [ 525 ], + "attributes": { + "hdlname": "core_cpu resetCtrl_mainClockReset", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1489.23-1489.47" + } + }, + "core_cpu.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "core_cpu resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1488.23-1488.49" + } + }, + "core_cpu.softwareInterrupt": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu softwareInterrupt", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1470.23-1470.40" + } + }, + "core_cpu.timerInterrupt": { + "hide_name": 0, + "bits": [ 1448 ], + "attributes": { + "hdlname": "core_cpu timerInterrupt", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1468.23-1468.37" + } + }, + "core_cpu.writeBack_DBusSimplePlugin_rspShifted": { + "hide_name": 0, + "bits": [ 7882, 7883, 7884, 7885, 7886, 7887, 7888, 7889, 7890, 7891, 7892, 7893, 7894, 7895, 7896, 7897, 5044, 4826, 4819, 4812, 4805, 4794, 4942, 4930, 4914, 4905, 4896, 4887, 4872, 4863, 4853, 4929 ], + "attributes": { + "hdlname": "core_cpu writeBack_DBusSimplePlugin_rspShifted", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:2098.23-2098.60", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "core_cpu.writeBack_ENV_CTRL": { + "hide_name": 0, + "bits": [ 1974 ], + "attributes": { + "hdlname": "core_cpu writeBack_ENV_CTRL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1902.20-1902.38" + } + }, + "core_cpu.writeBack_INSTRUCTION": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x", "x", "x", "x", 2280, 2281, 2282, 2283, 2533, 3611, 3612, 4923, "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", "x", 6343, 6342, "x", "x" ], + "attributes": { + "hdlname": "core_cpu writeBack_INSTRUCTION", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1921.23-1921.44" + } + }, + "core_cpu.writeBack_IS_MUL": { + "hide_name": 0, + "bits": [ 6344 ], + "attributes": { + "hdlname": "core_cpu writeBack_IS_MUL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1833.23-1833.39" + } + }, + "core_cpu.writeBack_MEMORY_ADDRESS_LOW": { + "hide_name": 0, + "bits": [ 4916, 4917 ], + "attributes": { + "hdlname": "core_cpu writeBack_MEMORY_ADDRESS_LOW", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1907.23-1907.51" + } + }, + "core_cpu.writeBack_MEMORY_ENABLE": { + "hide_name": 0, + "bits": [ 6350 ], + "attributes": { + "hdlname": "core_cpu writeBack_MEMORY_ENABLE", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1906.23-1906.46" + } + }, + "core_cpu.writeBack_MEMORY_READ_DATA": { + "hide_name": 0, + "bits": [ 6345, 4994, 4978, 4968, 4958, 4948, 4937, 4931, 4915, 4906, 4897, 4888, 4873, 4864, 4854, 4924, 5044, 4826, 4819, 4812, 4805, 4794, 4942, 4930, 4914, 4905, 4896, 4887, 4872, 4863, 4853, 4929 ], + "attributes": { + "hdlname": "core_cpu writeBack_MEMORY_READ_DATA", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1908.23-1908.49" + } + }, + "core_cpu.writeBack_MUL": { + "hide_name": 0, + "bits": [ 6347, 4996, 4980, 4970, 4960, 4950, 4939, 4926, 4912, 4903, 4894, 4885, 4870, 4861, 4851, 4840, 5045, 4829, 4822, 4815, 4808, 4800, 5042, 5036, 5030, 5024, 5018, 5012, 5006, 4985, 4876, 5048, 3589, 3454, 3580, 3577, 3574, 3571, 3568, 3565, 3562, 3559, 3556, 3550, 3547, 3544, 3541, 3538, 3535, 3532, 3529, 3526, 3523, 3607, 3604, 3601, 3598, 3595, 3592, 3586, 3553, 3520, 3517, 3610 ], + "attributes": { + "hdlname": "core_cpu writeBack_MUL", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1832.23-1832.36" + } + }, + "core_cpu.writeBack_REGFILE_WRITE_DATA": { + "hide_name": 0, + "bits": [ 5003, 4993, 4977, 4967, 4957, 4947, 4936, 4921, 4910, 4901, 4892, 4883, 4868, 4859, 4849, 4838, 5046, 4828, 4821, 4814, 4807, 4798, 5041, 5035, 5029, 5023, 5017, 5011, 5005, 4984, 4875, 5047 ], + "attributes": { + "hdlname": "core_cpu writeBack_REGFILE_WRITE_DATA", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1808.23-1808.51" + } + }, + "core_cpu.writeBack_REGFILE_WRITE_VALID": { + "hide_name": 0, + "bits": [ 2726 ], + "attributes": { + "hdlname": "core_cpu writeBack_REGFILE_WRITE_VALID", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1853.23-1853.52" + } + }, + "core_cpu.writeBack_SRC1": { + "hide_name": 0, + "bits": [ 3587, 3581, 3578, 3575, 3572, 3569, 3566, 3563, 3560, 3557, 3554, 3548, 3545, 3542, 3539, 3536, 3533, 3530, 3527, 3524, 3521, 3605, 3602, 3599, 3596, 3593, 3590, 3584, 3551, 3518, 3515, 3614 ], + "attributes": { + "hdlname": "core_cpu writeBack_SRC1", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1835.23-1835.37" + } + }, + "core_cpu.writeBack_SRC2": { + "hide_name": 0, + "bits": [ 3644, 3583, 3634, 3633, 3632, 3631, 3630, 3629, 3628, 3627, 3626, 3624, 3623, 3622, 3621, 3620, 3619, 3618, 3617, 3616, 3615, 3642, 3641, 3640, 3639, 3638, 3637, 3636, 3635, 3625, 3643, 3613 ], + "attributes": { + "hdlname": "core_cpu writeBack_SRC2", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1834.23-1834.37" + } + }, + "core_cpu.writeBack_arbitration_flushIt": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu writeBack_arbitration_flushIt", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1958.23-1958.52" + } + }, + "core_cpu.writeBack_arbitration_haltByOther": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu writeBack_arbitration_haltByOther", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1956.23-1956.56" + } + }, + "core_cpu.writeBack_arbitration_haltItself": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu writeBack_arbitration_haltItself", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1955.23-1955.55" + } + }, + "core_cpu.writeBack_arbitration_isFiring": { + "hide_name": 0, + "bits": [ 1442 ], + "attributes": { + "hdlname": "core_cpu writeBack_arbitration_isFiring", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1965.23-1965.53" + } + }, + "core_cpu.writeBack_arbitration_isFlushed": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu writeBack_arbitration_isFlushed", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1963.23-1963.54" + } + }, + "core_cpu.writeBack_arbitration_isMoving": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "core_cpu writeBack_arbitration_isMoving", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1964.23-1964.53" + } + }, + "core_cpu.writeBack_arbitration_isStuck": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu writeBack_arbitration_isStuck", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1961.23-1961.52" + } + }, + "core_cpu.writeBack_arbitration_isStuckByOthers": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu writeBack_arbitration_isStuckByOthers", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1962.23-1962.60" + } + }, + "core_cpu.writeBack_arbitration_isValid": { + "hide_name": 0, + "bits": [ 1442 ], + "attributes": { + "hdlname": "core_cpu writeBack_arbitration_isValid", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1960.23-1960.52" + } + }, + "core_cpu.writeBack_arbitration_isValid_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 6357 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:4817.3-5077.6" + } + }, + "core_cpu.writeBack_arbitration_removeIt": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_cpu writeBack_arbitration_removeIt", + "src": "PQVexRiscvUlx3s.v:7735.12-7764.4|PQVexRiscvUlx3s.v:1957.23-1957.53" + } + }, + "core_cpu_dBus_cmd_payload_address": { + "hide_name": 0, + "bits": [ 255, 252, 339, 337, 335, 333, 331, 329, 327, 325, 323, 321, 317, 315, 313, 311, 309, 307, 305, 303, 301, 299, 355, 353, 351, 349, 347, 345, 341, 319, 297, 295 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7537.23-7537.56" + } + }, + "core_cpu_dBus_cmd_payload_data": { + "hide_name": 0, + "bits": [ 201, 198, 195, 192, 189, 185, 183, 179, 203, 200, 197, 194, 191, 188, 219, 217, 215, 213, 211, 209, 207, 205, 184, 181, 249, 245, 241, 237, 233, 229, 225, 222 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7538.23-7538.53" + } + }, + "core_cpu_dBus_cmd_payload_size": { + "hide_name": 0, + "bits": [ 187, 180 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7539.23-7539.53" + } + }, + "core_cpu_dBus_cmd_payload_wr": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7536.23-7536.51" + } + }, + "core_cpu_debug_bus_rsp_data": { + "hide_name": 0, + "bits": [ 6461, 6460, 6459, 6462, 6458, 1634, 1630, 1626, 1622, 1618, 1614, 1610, 1606, 1602, 1596, 1592, 1588, 1584, 1580, 1576, 1572, 1568, 1564, 1560, 1676, 1672, 1668, 1664, 1660, 1656, 1644, 1600 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7533.23-7533.50" + } + }, + "core_cpu_debug_resetOut": { + "hide_name": 0, + "bits": [ 1889 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7534.23-7534.46" + } + }, + "core_cpu_debug_resetOut_regNext": { + "hide_name": 0, + "bits": [ 6358 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7725.23-7725.54" + } + }, + "core_cpu_iBus_cmd_payload_pc": { + "hide_name": 0, + "bits": [ "0", "0", 152, 148, 144, 140, 136, 132, 128, 124, 120, 116, 109, 105, 101, 97, 93, 90, 87, 84, 81, 78, 175, 172, 169, 166, 163, 160, 157, 154, 111, 177 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7531.23-7531.51" + } + }, + "core_dbus_cmd_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407, 405, 403, 461, 459, 457, 455, 453, 451, 445, 423, 401, 399 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7686.23-7686.52" + } + }, + "core_dbus_cmd_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7687.23-7687.49" + } + }, + "core_dbus_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7688.23-7688.49" + } + }, + "core_dbus_cmd_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7685.23-7685.50" + } + }, + "core_dbus_cmd_ready": { + "hide_name": 0, + "bits": [ 7898 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7684.23-7684.42", + "unused_bits": "0 " + } + }, + "core_dbus_cmd_valid": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7683.23-7683.42" + } + }, + "core_dbus_decoder._zz_10_": { + "hide_name": 0, + "bits": [ 5235, 5226 ], + "attributes": { + "hdlname": "core_dbus_decoder _zz_10_", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7096.23-7096.30" + } + }, + "core_dbus_decoder._zz_1_": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "core_dbus_decoder _zz_1_", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7100.23-7100.29" + } + }, + "core_dbus_decoder._zz_2_": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "core_dbus_decoder _zz_2_", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7101.23-7101.29" + } + }, + "core_dbus_decoder._zz_3_": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "core_dbus_decoder _zz_3_", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7102.23-7102.29" + } + }, + "core_dbus_decoder._zz_4_": { + "hide_name": 0, + "bits": [ 5328, 5322, 5314, 5310, 5306, 5302, 5298, 5294, 5290, 5286, 5282, 5278, 5270, 5266, 5262, 5258, 5254, 5250, 5246, 5242, 5238, 5233, 5355, 5351, 5347, 5343, 5339, 5335, 5319, 5275, 5230, 5227 ], + "attributes": { + "hdlname": "core_dbus_decoder _zz_4_", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7090.23-7090.29" + } + }, + "core_dbus_decoder._zz_7_": { + "hide_name": 0, + "bits": [ 7899, "0" ], + "attributes": { + "hdlname": "core_dbus_decoder _zz_7_", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7093.23-7093.29", + "unused_bits": "0 " + } + }, + "core_dbus_decoder._zz_9_": { + "hide_name": 0, + "bits": [ 7900, "0" ], + "attributes": { + "hdlname": "core_dbus_decoder _zz_9_", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7095.23-7095.29", + "unused_bits": "0 " + } + }, + "core_dbus_decoder.io_input_cmd_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407, 405, 403, 461, 459, 457, 455, 453, 451, 445, 423, 401, 399 ], + "attributes": { + "hdlname": "core_dbus_decoder io_input_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7058.23-7058.51" + } + }, + "core_dbus_decoder.io_input_cmd_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "core_dbus_decoder io_input_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7059.23-7059.48" + } + }, + "core_dbus_decoder.io_input_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "core_dbus_decoder io_input_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7060.23-7060.48" + } + }, + "core_dbus_decoder.io_input_cmd_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "core_dbus_decoder io_input_cmd_payload_write", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7057.23-7057.49" + } + }, + "core_dbus_decoder.io_input_cmd_ready": { + "hide_name": 0, + "bits": [ 7898 ], + "attributes": { + "hdlname": "core_dbus_decoder io_input_cmd_ready", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7056.23-7056.41", + "unused_bits": "0 " + } + }, + "core_dbus_decoder.io_input_cmd_valid": { + "hide_name": 0, + "bits": [ 261 ], + "attributes": { + "hdlname": "core_dbus_decoder io_input_cmd_valid", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7055.23-7055.41" + } + }, + "core_dbus_decoder.io_input_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5328, 5322, 5314, 5310, 5306, 5302, 5298, 5294, 5290, 5286, 5282, 5278, 5270, 5266, 5262, 5258, 5254, 5250, 5246, 5242, 5238, 5233, 5355, 5351, 5347, 5343, 5339, 5335, 5319, 5275, 5230, 5227 ], + "attributes": { + "hdlname": "core_dbus_decoder io_input_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7062.23-7062.48" + } + }, + "core_dbus_decoder.io_outputs_0_cmd_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407, 405, 403, 461, 459, 457, 455, 453, 451, 445, 423, 401, 399 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_0_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7066.23-7066.55" + } + }, + "core_dbus_decoder.io_outputs_0_cmd_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_0_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7067.23-7067.52" + } + }, + "core_dbus_decoder.io_outputs_0_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_0_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7068.23-7068.52" + } + }, + "core_dbus_decoder.io_outputs_0_cmd_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_0_cmd_payload_write", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7065.23-7065.53" + } + }, + "core_dbus_decoder.io_outputs_0_cmd_ready": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_0_cmd_ready", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7064.23-7064.45" + } + }, + "core_dbus_decoder.io_outputs_0_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5331, 5325, 5315, 5311, 5307, 5303, 5299, 5295, 5291, 5287, 5283, 5279, 5271, 5267, 5263, 5259, 5255, 5251, 5247, 5243, 5239, 5234, 5356, 5352, 5348, 5344, 5340, 5336, 5332, 5316, 5272, 5357 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_0_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7070.23-7070.52" + } + }, + "core_dbus_decoder.io_outputs_0_rsp_valid": { + "hide_name": 0, + "bits": [ 7251 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_0_rsp_valid", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7069.23-7069.45" + } + }, + "core_dbus_decoder.io_outputs_1_cmd_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407, 405, 403, 461, 459, 457, 455, 453, 451, 445, 423, 401, 399 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_1_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7074.23-7074.55" + } + }, + "core_dbus_decoder.io_outputs_1_cmd_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_1_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7075.23-7075.52" + } + }, + "core_dbus_decoder.io_outputs_1_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_1_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7076.23-7076.52" + } + }, + "core_dbus_decoder.io_outputs_1_cmd_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_1_cmd_payload_write", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7073.23-7073.53" + } + }, + "core_dbus_decoder.io_outputs_1_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5330, 5324, 2220, 2218, 2216, 2214, 2212, 2210, 2208, 2206, 2204, 2202, 2198, 2196, 2194, 2192, 2190, 2188, 2186, 2184, 2182, 2180, 2234, 2232, 2230, 2228, 2226, 2224, 2222, 2200, 2178, 2176 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_1_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7078.23-7078.52" + } + }, + "core_dbus_decoder.io_outputs_2_cmd_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407, 405, 403, 461, 459, 457, 455, 453, 451, 445, 423, 401, 399 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_2_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7082.23-7082.55" + } + }, + "core_dbus_decoder.io_outputs_2_cmd_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_2_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7083.23-7083.52" + } + }, + "core_dbus_decoder.io_outputs_2_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_2_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7084.23-7084.52" + } + }, + "core_dbus_decoder.io_outputs_2_cmd_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_2_cmd_payload_write", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7081.23-7081.53" + } + }, + "core_dbus_decoder.io_outputs_2_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5329, 5323, 2219, 2217, 2215, 2213, 2211, 2209, 2207, 2205, 2203, 2201, 2197, 2195, 2193, 2191, 2189, 2187, 2185, 2183, 2181, 2179, 2233, 2231, 2229, 2227, 2225, 2223, 2221, 2199, 2177, 2175 ], + "attributes": { + "hdlname": "core_dbus_decoder io_outputs_2_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7086.23-7086.52" + } + }, + "core_dbus_decoder.logic_hits_0": { + "hide_name": 0, + "bits": [ 950 ], + "attributes": { + "hdlname": "core_dbus_decoder logic_hits_0", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7097.23-7097.35" + } + }, + "core_dbus_decoder.logic_hits_1": { + "hide_name": 0, + "bits": [ 6360 ], + "attributes": { + "hdlname": "core_dbus_decoder logic_hits_1", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7098.23-7098.35" + } + }, + "core_dbus_decoder.logic_hits_2": { + "hide_name": 0, + "bits": [ 6359 ], + "attributes": { + "hdlname": "core_dbus_decoder logic_hits_2", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7099.23-7099.35" + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 263, 264, 265, 266, 261 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_D_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6368 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_D_Z_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6369 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 942, 6364, 6361, 6362, 6363, 6372, 5226 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_dbus_decoder.logic_hits_2_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 6364, 6361, 6362, 6365, 5235 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_dbus_decoder.logic_rspHits_0": { + "hide_name": 0, + "bits": [ 6372 ], + "attributes": { + "hdlname": "core_dbus_decoder logic_rspHits_0", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7105.23-7105.38" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_1_D0": { + "hide_name": 0, + "bits": [ 6374 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_1_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6377 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_1_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6378 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_1_D1": { + "hide_name": 0, + "bits": [ 6375 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_1_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6379 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_1_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6380 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_1_Z": { + "hide_name": 0, + "bits": [ 6376 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_D0": { + "hide_name": 0, + "bits": [ 6370 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6381 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6382 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_D1": { + "hide_name": 0, + "bits": [ 6371 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6383 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6384 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_Z": { + "hide_name": 0, + "bits": [ 6373 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_Z_L6MUX21_D1_Z": { + "hide_name": 0, + "bits": [ 6814, 953, 954, 955, 956, 261, 6359 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_Z_L6MUX21_D1_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6385 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "core_dbus_decoder.logic_rspHits_0_L6MUX21_SD_Z_L6MUX21_D1_Z_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6386 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "core_dbus_decoder.logic_rspHits_1": { + "hide_name": 0, + "bits": [ 5235 ], + "attributes": { + "hdlname": "core_dbus_decoder logic_rspHits_1", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7106.23-7106.38" + } + }, + "core_dbus_decoder.logic_rspHits_1_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 6387 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7113.21-7113.61" + } + }, + "core_dbus_decoder.logic_rspHits_2": { + "hide_name": 0, + "bits": [ 5226 ], + "attributes": { + "hdlname": "core_dbus_decoder logic_rspHits_2", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7107.23-7107.38" + } + }, + "core_dbus_decoder.logic_rspPendingCounter": { + "hide_name": 0, + "bits": [ 953, 954 ], + "attributes": { + "hdlname": "core_dbus_decoder logic_rspPendingCounter", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7104.23-7104.46" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 6390, 7901 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/techmap.v:270.23-270.24", + "unused_bits": "1 " + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 6391 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6394 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6395 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 6392 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6396 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6397 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI_L6MUX21_Z_SD": { + "hide_name": 0, + "bits": [ 263, 265, 264, 397, 6388, 6393 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 6390, 6389 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/techmap.v:270.26-270.27" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 6398 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 6401 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6403 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6404 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 6402 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6405 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6406 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 6399 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 6407 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6409 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6410 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 6408 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6411 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6412 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "core_dbus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI_L6MUX21_Z_SD": { + "hide_name": 0, + "bits": [ 263, 265, 264, 6393, 397, 6388, 6400 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_dbus_decoder.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "core_dbus_decoder mainClock", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7087.23-7087.32" + } + }, + "core_dbus_decoder.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "core_dbus_decoder resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7938.29-7973.4|PQVexRiscvUlx3s.v:7088.23-7088.49" + } + }, + "core_dbus_decoder_io_input_cmd_ready": { + "hide_name": 0, + "bits": [ 7898 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7605.23-7605.59", + "unused_bits": "0 " + } + }, + "core_dbus_decoder_io_input_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5328, 5322, 5314, 5310, 5306, 5302, 5298, 5294, 5290, 5286, 5282, 5278, 5270, 5266, 5262, 5258, 5254, 5250, 5246, 5242, 5238, 5233, 5355, 5351, 5347, 5343, 5339, 5335, 5319, 5275, 5230, 5227 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7607.23-7607.66" + } + }, + "core_dbus_decoder_io_outputs_0_cmd_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407, 405, 403, 461, 459, 457, 455, 453, 451, 445, 423, 401, 399 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7610.23-7610.73" + } + }, + "core_dbus_decoder_io_outputs_0_cmd_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7611.23-7611.70" + } + }, + "core_dbus_decoder_io_outputs_0_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7612.23-7612.70" + } + }, + "core_dbus_decoder_io_outputs_0_cmd_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7609.23-7609.71" + } + }, + "core_dbus_decoder_io_outputs_1_cmd_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407, 405, 403, 461, 459, 457, 455, 453, 451, 445, 423, 401, 399 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7615.23-7615.73" + } + }, + "core_dbus_decoder_io_outputs_1_cmd_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7616.23-7616.70" + } + }, + "core_dbus_decoder_io_outputs_1_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7617.23-7617.70" + } + }, + "core_dbus_decoder_io_outputs_1_cmd_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7614.23-7614.71" + } + }, + "core_dbus_decoder_io_outputs_2_cmd_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407, 405, 403, 461, 459, 457, 455, 453, 451, 445, 423, 401, 399 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7620.23-7620.73" + } + }, + "core_dbus_decoder_io_outputs_2_cmd_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7621.23-7621.70" + } + }, + "core_dbus_decoder_io_outputs_2_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7622.23-7622.70" + } + }, + "core_dbus_decoder_io_outputs_2_cmd_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7619.23-7619.71" + } + }, + "core_dbus_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5328, 5322, 5314, 5310, 5306, 5302, 5298, 5294, 5290, 5286, 5282, 5278, 5270, 5266, 5262, 5258, 5254, 5250, 5246, 5242, 5238, 5233, 5355, 5351, 5347, 5343, 5339, 5335, 5319, 5275, 5230, 5227 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7690.23-7690.49" + } + }, + "core_externalInterrupt": { + "hide_name": 0, + "bits": [ 572 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7674.23-7674.45" + } + }, + "core_ibus_cmd_payload_address": { + "hide_name": 0, + "bits": [ "0", "0", 150, 146, 142, 138, 134, 130, 126, 122, 118, 114, 107, 103, 99, 95, 41, 55, 56, 57, 59, 60, 61, 62, 48, 49, 50, 51, 52, 53, 54, 58 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7678.23-7678.52" + } + }, + "core_ibus_cmd_payload_data": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7679.23-7679.49" + } + }, + "core_ibus_cmd_payload_mask": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7680.23-7680.49" + } + }, + "core_ibus_cmd_payload_write": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7677.23-7677.50" + } + }, + "core_ibus_cmd_ready": { + "hide_name": 0, + "bits": [ 7902 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7676.23-7676.42", + "unused_bits": "0 " + } + }, + "core_ibus_cmd_valid": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7675.23-7675.42" + } + }, + "core_ibus_decoder._zz_1_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_ibus_decoder _zz_1_", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7240.23-7240.29" + } + }, + "core_ibus_decoder._zz_2_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_ibus_decoder _zz_2_", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7241.23-7241.29" + } + }, + "core_ibus_decoder._zz_3_": { + "hide_name": 0, + "bits": [ "x", "x", 2159, 2157, 2155, 2153, 2151, 2149, 2147, 2145, 2143, 2141, 2137, 2135, 2133, 2131, 2129, 2127, 2125, 2123, 2121, 2119, 2173, 2171, 2169, 2167, 2165, 2163, 2161, 2139, 2117, 2115 ], + "attributes": { + "hdlname": "core_ibus_decoder _zz_3_", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7231.23-7231.29" + } + }, + "core_ibus_decoder._zz_5_": { + "hide_name": 0, + "bits": [ 6415 ], + "attributes": { + "hdlname": "core_ibus_decoder _zz_5_", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7233.23-7233.29" + } + }, + "core_ibus_decoder._zz_5__L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 6413 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.34-142.36" + } + }, + "core_ibus_decoder._zz_5__L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6416 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.22-142.24" + } + }, + "core_ibus_decoder._zz_5__L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6417 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.18-142.20" + } + }, + "core_ibus_decoder._zz_5__L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 6414 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.38-142.40" + } + }, + "core_ibus_decoder._zz_5__L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6418 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.30-142.32" + } + }, + "core_ibus_decoder._zz_5__L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6419 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142.26-142.28" + } + }, + "core_ibus_decoder._zz_6_": { + "hide_name": 0, + "bits": [ 6415, "0" ], + "attributes": { + "hdlname": "core_ibus_decoder _zz_6_", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7234.23-7234.29" + } + }, + "core_ibus_decoder._zz_8_": { + "hide_name": 0, + "bits": [ 7903, "0" ], + "attributes": { + "hdlname": "core_ibus_decoder _zz_8_", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7236.23-7236.29", + "unused_bits": "0 " + } + }, + "core_ibus_decoder._zz_9_": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "hdlname": "core_ibus_decoder _zz_9_", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7237.23-7237.29" + } + }, + "core_ibus_decoder.io_input_cmd_payload_address": { + "hide_name": 0, + "bits": [ "0", "0", 150, 146, 142, 138, 134, 130, 126, 122, 118, 114, 107, 103, 99, 95, 41, 55, 56, 57, 59, 60, 61, 62, 48, 49, 50, 51, 52, 53, 54, 58 ], + "attributes": { + "hdlname": "core_ibus_decoder io_input_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7207.23-7207.51" + } + }, + "core_ibus_decoder.io_input_cmd_payload_data": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_ibus_decoder io_input_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7208.23-7208.48" + } + }, + "core_ibus_decoder.io_input_cmd_payload_mask": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x" ], + "attributes": { + "hdlname": "core_ibus_decoder io_input_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7209.23-7209.48" + } + }, + "core_ibus_decoder.io_input_cmd_payload_write": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_ibus_decoder io_input_cmd_payload_write", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7206.23-7206.49" + } + }, + "core_ibus_decoder.io_input_cmd_ready": { + "hide_name": 0, + "bits": [ 7902 ], + "attributes": { + "hdlname": "core_ibus_decoder io_input_cmd_ready", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7205.23-7205.41", + "unused_bits": "0 " + } + }, + "core_ibus_decoder.io_input_cmd_valid": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "hdlname": "core_ibus_decoder io_input_cmd_valid", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7204.23-7204.41" + } + }, + "core_ibus_decoder.io_input_rsp_payload_data": { + "hide_name": 0, + "bits": [ "x", "x", 2159, 2157, 2155, 2153, 2151, 2149, 2147, 2145, 2143, 2141, 2137, 2135, 2133, 2131, 2129, 2127, 2125, 2123, 2121, 2119, 2173, 2171, 2169, 2167, 2165, 2163, 2161, 2139, 2117, 2115 ], + "attributes": { + "hdlname": "core_ibus_decoder io_input_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7211.23-7211.48" + } + }, + "core_ibus_decoder.io_outputs_0_cmd_payload_address": { + "hide_name": 0, + "bits": [ "0", "0", 150, 146, 142, 138, 134, 130, 126, 122, 118, 114, 107, 103, 99, 95, 41, 55, 56, 57, 59, 60, 61, 62, 48, 49, 50, 51, 52, 53, 54, 58 ], + "attributes": { + "hdlname": "core_ibus_decoder io_outputs_0_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7215.23-7215.55" + } + }, + "core_ibus_decoder.io_outputs_0_cmd_payload_data": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_ibus_decoder io_outputs_0_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7216.23-7216.52" + } + }, + "core_ibus_decoder.io_outputs_0_cmd_payload_mask": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x" ], + "attributes": { + "hdlname": "core_ibus_decoder io_outputs_0_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7217.23-7217.52" + } + }, + "core_ibus_decoder.io_outputs_0_cmd_payload_write": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_ibus_decoder io_outputs_0_cmd_payload_write", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7214.23-7214.53" + } + }, + "core_ibus_decoder.io_outputs_0_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5330, 5324, 2220, 2218, 2216, 2214, 2212, 2210, 2208, 2206, 2204, 2202, 2198, 2196, 2194, 2192, 2190, 2188, 2186, 2184, 2182, 2180, 2234, 2232, 2230, 2228, 2226, 2224, 2222, 2200, 2178, 2176 ], + "attributes": { + "hdlname": "core_ibus_decoder io_outputs_0_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7219.23-7219.52" + } + }, + "core_ibus_decoder.io_outputs_1_cmd_payload_address": { + "hide_name": 0, + "bits": [ "0", "0", 150, 146, 142, 138, 134, 130, 126, 122, 118, 114, 107, 103, 99, 95, 41, 55, 56, 57, 59, 60, 61, 62, 48, 49, 50, 51, 52, 53, 54, 58 ], + "attributes": { + "hdlname": "core_ibus_decoder io_outputs_1_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7223.23-7223.55" + } + }, + "core_ibus_decoder.io_outputs_1_cmd_payload_data": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "core_ibus_decoder io_outputs_1_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7224.23-7224.52" + } + }, + "core_ibus_decoder.io_outputs_1_cmd_payload_mask": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x" ], + "attributes": { + "hdlname": "core_ibus_decoder io_outputs_1_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7225.23-7225.52" + } + }, + "core_ibus_decoder.io_outputs_1_cmd_payload_write": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "core_ibus_decoder io_outputs_1_cmd_payload_write", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7222.23-7222.53" + } + }, + "core_ibus_decoder.io_outputs_1_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5329, 5323, 2219, 2217, 2215, 2213, 2211, 2209, 2207, 2205, 2203, 2201, 2197, 2195, 2193, 2191, 2189, 2187, 2185, 2183, 2181, 2179, 2233, 2231, 2229, 2227, 2225, 2223, 2221, 2199, 2177, 2175 ], + "attributes": { + "hdlname": "core_ibus_decoder io_outputs_1_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7227.23-7227.52" + } + }, + "core_ibus_decoder.logic_hits_0": { + "hide_name": 0, + "bits": [ 6420 ], + "attributes": { + "hdlname": "core_ibus_decoder logic_hits_0", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7238.23-7238.35" + } + }, + "core_ibus_decoder.logic_hits_1": { + "hide_name": 0, + "bits": [ 6421 ], + "attributes": { + "hdlname": "core_ibus_decoder logic_hits_1", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7239.23-7239.35" + } + }, + "core_ibus_decoder.logic_hits_1_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 6813, 6816, 6423, 6814, 6422 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "core_ibus_decoder.logic_rspHits_0": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "hdlname": "core_ibus_decoder logic_rspHits_0", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7244.23-7244.38" + } + }, + "core_ibus_decoder.logic_rspHits_1": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "hdlname": "core_ibus_decoder logic_rspHits_1", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7245.23-7245.38" + } + }, + "core_ibus_decoder.logic_rspPendingCounter": { + "hide_name": 0, + "bits": [ 36, 37 ], + "attributes": { + "hdlname": "core_ibus_decoder logic_rspPendingCounter", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7243.23-7243.46" + } + }, + "core_ibus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 6425, 7904 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/techmap.v:270.23-270.24", + "unused_bits": "1 " + } + }, + "core_ibus_decoder.logic_rspPendingCounter_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 6425, 6424 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/techmap.v:270.26-270.27" + } + }, + "core_ibus_decoder.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "core_ibus_decoder mainClock", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7228.23-7228.32" + } + }, + "core_ibus_decoder.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "core_ibus_decoder resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7974.32-8001.4|PQVexRiscvUlx3s.v:7229.23-7229.49" + } + }, + "core_ibus_decoder_io_input_cmd_ready": { + "hide_name": 0, + "bits": [ 7902 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7623.23-7623.59", + "unused_bits": "0 " + } + }, + "core_ibus_decoder_io_input_rsp_payload_data": { + "hide_name": 0, + "bits": [ "x", "x", 2159, 2157, 2155, 2153, 2151, 2149, 2147, 2145, 2143, 2141, 2137, 2135, 2133, 2131, 2129, 2127, 2125, 2123, 2121, 2119, 2173, 2171, 2169, 2167, 2165, 2163, 2161, 2139, 2117, 2115 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7625.23-7625.66" + } + }, + "core_ibus_decoder_io_outputs_0_cmd_payload_address": { + "hide_name": 0, + "bits": [ "0", "0", 150, 146, 142, 138, 134, 130, 126, 122, 118, 114, 107, 103, 99, 95, 41, 55, 56, 57, 59, 60, 61, 62, 48, 49, 50, 51, 52, 53, 54, 58 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7628.23-7628.73" + } + }, + "core_ibus_decoder_io_outputs_0_cmd_payload_data": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7629.23-7629.70" + } + }, + "core_ibus_decoder_io_outputs_0_cmd_payload_mask": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7630.23-7630.70" + } + }, + "core_ibus_decoder_io_outputs_0_cmd_payload_write": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7627.23-7627.71" + } + }, + "core_ibus_decoder_io_outputs_1_cmd_payload_address": { + "hide_name": 0, + "bits": [ "0", "0", 150, 146, 142, 138, 134, 130, 126, 122, 118, 114, 107, 103, 99, 95, 41, 55, 56, 57, 59, 60, 61, 62, 48, 49, 50, 51, 52, 53, 54, 58 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7633.23-7633.73" + } + }, + "core_ibus_decoder_io_outputs_1_cmd_payload_data": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7634.23-7634.70" + } + }, + "core_ibus_decoder_io_outputs_1_cmd_payload_mask": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7635.23-7635.70" + } + }, + "core_ibus_decoder_io_outputs_1_cmd_payload_write": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7632.23-7632.71" + } + }, + "core_ibus_rsp_payload_data": { + "hide_name": 0, + "bits": [ "x", "x", 2159, 2157, 2155, 2153, 2151, 2149, 2147, 2145, 2143, 2141, 2137, 2135, 2133, 2131, 2129, 2127, 2125, 2123, 2121, 2119, 2173, 2171, 2169, 2167, 2165, 2163, 2161, 2139, 2117, 2115 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7682.23-7682.49" + } + }, + "core_timerInterrupt": { + "hide_name": 0, + "bits": [ 1448 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7673.23-7673.42" + } + }, + "io_apb_decoder.io_input_PADDR": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407 ], + "attributes": { + "hdlname": "io_apb_decoder io_input_PADDR", + "src": "PQVexRiscvUlx3s.v:7860.15-7877.4|PQVexRiscvUlx3s.v:6847.23-6847.37" + } + }, + "io_apb_decoder.io_input_PENABLE": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "io_apb_decoder io_input_PENABLE", + "src": "PQVexRiscvUlx3s.v:7860.15-7877.4|PQVexRiscvUlx3s.v:6849.23-6849.39" + } + }, + "io_apb_decoder.io_input_PRDATA": { + "hide_name": 0, + "bits": [ 7218, 7248, 7245, 7216, 7238, 7211, 7205, 7201, 7193, 7191, 7187, 7183, 7179, 7175, 7171, 7167, 7164, 7163, 7162, 7161, 7228, 7227, 7226, 7225, 7234, 7224, 7221, 7231, 7219, 7190, 7160, 7159 ], + "attributes": { + "hdlname": "io_apb_decoder io_input_PRDATA", + "src": "PQVexRiscvUlx3s.v:7860.15-7877.4|PQVexRiscvUlx3s.v:6853.23-6853.38" + } + }, + "io_apb_decoder.io_input_PREADY": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "io_apb_decoder io_input_PREADY", + "src": "PQVexRiscvUlx3s.v:7860.15-7877.4|PQVexRiscvUlx3s.v:6850.23-6850.38" + } + }, + "io_apb_decoder.io_input_PWDATA": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "io_apb_decoder io_input_PWDATA", + "src": "PQVexRiscvUlx3s.v:7860.15-7877.4|PQVexRiscvUlx3s.v:6852.23-6852.38" + } + }, + "io_apb_decoder.io_input_PWRITE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "io_apb_decoder io_input_PWRITE", + "src": "PQVexRiscvUlx3s.v:7860.15-7877.4|PQVexRiscvUlx3s.v:6851.23-6851.38" + } + }, + "io_apb_decoder.io_output_PADDR": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407 ], + "attributes": { + "hdlname": "io_apb_decoder io_output_PADDR", + "src": "PQVexRiscvUlx3s.v:7860.15-7877.4|PQVexRiscvUlx3s.v:6855.23-6855.38" + } + }, + "io_apb_decoder.io_output_PENABLE": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "io_apb_decoder io_output_PENABLE", + "src": "PQVexRiscvUlx3s.v:7860.15-7877.4|PQVexRiscvUlx3s.v:6857.23-6857.40" + } + }, + "io_apb_decoder.io_output_PRDATA": { + "hide_name": 0, + "bits": [ 7218, 7248, 7245, 7216, 7238, 7211, 7205, 7201, 7193, 7191, 7187, 7183, 7179, 7175, 7171, 7167, 7164, 7163, 7162, 7161, 7228, 7227, 7226, 7225, 7234, 7224, 7221, 7231, 7219, 7190, 7160, 7159 ], + "attributes": { + "hdlname": "io_apb_decoder io_output_PRDATA", + "src": "PQVexRiscvUlx3s.v:7860.15-7877.4|PQVexRiscvUlx3s.v:6861.23-6861.39" + } + }, + "io_apb_decoder.io_output_PREADY": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "io_apb_decoder io_output_PREADY", + "src": "PQVexRiscvUlx3s.v:7860.15-7877.4|PQVexRiscvUlx3s.v:6858.23-6858.39" + } + }, + "io_apb_decoder.io_output_PSEL": { + "hide_name": 0, + "bits": [ 7304, 542, 540 ], + "attributes": { + "hdlname": "io_apb_decoder io_output_PSEL", + "src": "PQVexRiscvUlx3s.v:7860.15-7877.4|PQVexRiscvUlx3s.v:6856.23-6856.37", + "unused_bits": "0 " + } + }, + "io_apb_decoder.io_output_PWDATA": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "io_apb_decoder io_output_PWDATA", + "src": "PQVexRiscvUlx3s.v:7860.15-7877.4|PQVexRiscvUlx3s.v:6860.23-6860.39" + } + }, + "io_apb_decoder.io_output_PWRITE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "io_apb_decoder io_output_PWRITE", + "src": "PQVexRiscvUlx3s.v:7860.15-7877.4|PQVexRiscvUlx3s.v:6859.23-6859.39" + } + }, + "io_apb_decoder_io_input_PRDATA": { + "hide_name": 0, + "bits": [ 7218, 7248, 7245, 7216, 7238, 7211, 7205, 7201, 7193, 7191, 7187, 7183, 7179, 7175, 7171, 7167, 7164, 7163, 7162, 7161, 7228, 7227, 7226, 7225, 7234, 7224, 7221, 7231, 7219, 7190, 7160, 7159 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7574.23-7574.53" + } + }, + "io_apb_decoder_io_input_PREADY": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7573.23-7573.53" + } + }, + "io_apb_decoder_io_output_PADDR": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7576.23-7576.53" + } + }, + "io_apb_decoder_io_output_PENABLE": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7578.23-7578.55" + } + }, + "io_apb_decoder_io_output_PSEL": { + "hide_name": 0, + "bits": [ 7304, 542, 540 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7577.23-7577.52", + "unused_bits": "0 " + } + }, + "io_apb_decoder_io_output_PWDATA": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7580.23-7580.54" + } + }, + "io_apb_decoder_io_output_PWRITE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7579.23-7579.54" + } + }, + "io_asyncReset": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7509.23-7509.36" + } + }, + "io_jtag_tck": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7516.23-7516.34" + } + }, + "io_jtag_tdi": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7514.23-7514.34" + } + }, + "io_jtag_tdo": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7515.23-7515.34" + } + }, + "io_jtag_tms": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7513.23-7513.34" + } + }, + "io_mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7510.23-7510.35" + } + }, + "io_uart_rxd": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7512.23-7512.34" + } + }, + "io_uart_txd": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7511.23-7511.34" + } + }, + "jtagBridge_1_._zz_10_": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "jtagBridge_1_ _zz_10_", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5536.23-5536.30" + } + }, + "jtagBridge_1_._zz_1_": { + "hide_name": 0, + "bits": [ 6441, 6438, 6433, 6429 ], + "attributes": { + "hdlname": "jtagBridge_1_ _zz_1_", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5545.20-5545.26" + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 6430 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 6431 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_2_ALUT": { + "hide_name": 0, + "bits": [ 6436 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_2_BLUT": { + "hide_name": 0, + "bits": [ 6437 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_3_ALUT": { + "hide_name": 0, + "bits": [ 6439 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_3_BLUT": { + "hide_name": 0, + "bits": [ 6440 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6426 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "jtagBridge_1_._zz_1__PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6427 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "jtagBridge_1_._zz_4_": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "jtagBridge_1_ _zz_4_", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5530.23-5530.29" + } + }, + "jtagBridge_1_._zz_5_": { + "hide_name": 0, + "bits": [ "1", "0", "0", "0" ], + "attributes": { + "hdlname": "jtagBridge_1_ _zz_5_", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5531.23-5531.29" + } + }, + "jtagBridge_1_._zz_6_": { + "hide_name": 0, + "bits": [ "0", "1" ], + "attributes": { + "hdlname": "jtagBridge_1_ _zz_6_", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5532.23-5532.29" + } + }, + "jtagBridge_1_._zz_7_": { + "hide_name": 0, + "bits": [ "0", "1", "0", "0" ], + "attributes": { + "hdlname": "jtagBridge_1_ _zz_7_", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5533.23-5533.29" + } + }, + "jtagBridge_1_._zz_8_": { + "hide_name": 0, + "bits": [ "1", "1" ], + "attributes": { + "hdlname": "jtagBridge_1_ _zz_8_", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5534.23-5534.29" + } + }, + "jtagBridge_1_._zz_9_": { + "hide_name": 0, + "bits": [ "1", "1", "0", "0" ], + "attributes": { + "hdlname": "jtagBridge_1_ _zz_9_", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5535.23-5535.29" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_data_fragment": { + "hide_name": 0, + "bits": [ 6443 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ inputArea_data_fragment", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:743.23-743.46|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_data_last": { + "hide_name": 0, + "bits": [ 6444 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ inputArea_data_last", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:742.23-742.42|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_target": { + "hide_name": 0, + "bits": [ 6445 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ inputArea_target", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:741.23-741.39|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_target_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 6446 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:768.28-768.46|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_target_buffercc.buffers_0": { + "hide_name": 0, + "bits": [ 6447 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ inputArea_target_buffercc buffers_0", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:753.15-758.4|PQVexRiscvUlx3s.v:116.23-116.32|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_target_buffercc.buffers_1": { + "hide_name": 0, + "bits": [ 6448 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ inputArea_target_buffercc buffers_1", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:753.15-758.4|PQVexRiscvUlx3s.v:117.23-117.32|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_target_buffercc.io_dataIn": { + "hide_name": 0, + "bits": [ 6445 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ inputArea_target_buffercc io_dataIn", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:753.15-758.4|PQVexRiscvUlx3s.v:111.23-111.32|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_target_buffercc.io_dataOut": { + "hide_name": 0, + "bits": [ 6448 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ inputArea_target_buffercc io_dataOut", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:753.15-758.4|PQVexRiscvUlx3s.v:112.23-112.33|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_target_buffercc.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ inputArea_target_buffercc mainClock", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:753.15-758.4|PQVexRiscvUlx3s.v:113.23-113.32|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_target_buffercc.resetCtrl_mainClockReset": { + "hide_name": 0, + "bits": [ 525 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ inputArea_target_buffercc resetCtrl_mainClockReset", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:753.15-758.4|PQVexRiscvUlx3s.v:114.23-114.47|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.inputArea_target_buffercc_io_dataOut": { + "hide_name": 0, + "bits": [ 6448 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ inputArea_target_buffercc_io_dataOut", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:739.23-739.59|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.io_input_payload_fragment": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ io_input_payload_fragment", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:731.23-731.48|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.io_input_payload_last": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ io_input_payload_last", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:730.23-730.44|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.io_input_valid": { + "hide_name": 0, + "bits": [ 6442 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ io_input_valid", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:729.23-729.37|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.io_jtag_tck": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ io_jtag_tck", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:735.23-735.34|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.io_output_payload_fragment": { + "hide_name": 0, + "bits": [ 6453 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ io_output_payload_fragment", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:734.23-734.49|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.io_output_payload_last": { + "hide_name": 0, + "bits": [ 6454 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ io_output_payload_last", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:733.23-733.45|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.io_output_valid": { + "hide_name": 0, + "bits": [ 6456 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ io_output_valid", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:732.23-732.38|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ mainClock", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:736.23-736.32|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.outputArea_flow_payload_fragment": { + "hide_name": 0, + "bits": [ 6443 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ outputArea_flow_payload_fragment", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:748.23-748.55|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.outputArea_flow_payload_last": { + "hide_name": 0, + "bits": [ 6444 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ outputArea_flow_payload_last", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:747.23-747.51|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.outputArea_flow_regNext_payload_fragment": { + "hide_name": 0, + "bits": [ 6453 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ outputArea_flow_regNext_payload_fragment", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:751.23-751.63|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.outputArea_flow_regNext_payload_last": { + "hide_name": 0, + "bits": [ 6454 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ outputArea_flow_regNext_payload_last", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:750.23-750.59|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.outputArea_flow_regNext_valid": { + "hide_name": 0, + "bits": [ 6456 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ outputArea_flow_regNext_valid", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:749.23-749.52|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.outputArea_flow_valid": { + "hide_name": 0, + "bits": [ 6455 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ outputArea_flow_valid", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:746.23-746.44|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.outputArea_hit": { + "hide_name": 0, + "bits": [ 6457 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ outputArea_hit", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:745.23-745.37|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.outputArea_target": { + "hide_name": 0, + "bits": [ 6448 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ outputArea_target", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:744.23-744.40|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1_.resetCtrl_mainClockReset": { + "hide_name": 0, + "bits": [ 525 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1_ resetCtrl_mainClockReset", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:737.23-737.47|PQVexRiscvUlx3s.v:5575.18-5585.4" + } + }, + "jtagBridge_1_.flowCCByToggle_1__io_output_payload_fragment": { + "hide_name": 0, + "bits": [ 6453 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1__io_output_payload_fragment", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5527.23-5527.67" + } + }, + "jtagBridge_1_.flowCCByToggle_1__io_output_payload_last": { + "hide_name": 0, + "bits": [ 6454 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1__io_output_payload_last", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5526.23-5526.63" + } + }, + "jtagBridge_1_.flowCCByToggle_1__io_output_valid": { + "hide_name": 0, + "bits": [ 6456 ], + "attributes": { + "hdlname": "jtagBridge_1_ flowCCByToggle_1__io_output_valid", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5525.23-5525.56" + } + }, + "jtagBridge_1_.io_jtag_tck": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "hdlname": "jtagBridge_1_ io_jtag_tck", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5513.23-5513.34" + } + }, + "jtagBridge_1_.io_jtag_tdi": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "hdlname": "jtagBridge_1_ io_jtag_tdi", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5511.23-5511.34" + } + }, + "jtagBridge_1_.io_jtag_tdo": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "hdlname": "jtagBridge_1_ io_jtag_tdo", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5512.23-5512.34" + } + }, + "jtagBridge_1_.io_jtag_tms": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "hdlname": "jtagBridge_1_ io_jtag_tms", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5510.23-5510.34" + } + }, + "jtagBridge_1_.io_remote_cmd_payload_fragment": { + "hide_name": 0, + "bits": [ 6453 ], + "attributes": { + "hdlname": "jtagBridge_1_ io_remote_cmd_payload_fragment", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5517.23-5517.53" + } + }, + "jtagBridge_1_.io_remote_cmd_payload_last": { + "hide_name": 0, + "bits": [ 6454 ], + "attributes": { + "hdlname": "jtagBridge_1_ io_remote_cmd_payload_last", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5516.23-5516.49" + } + }, + "jtagBridge_1_.io_remote_cmd_valid": { + "hide_name": 0, + "bits": [ 6456 ], + "attributes": { + "hdlname": "jtagBridge_1_ io_remote_cmd_valid", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5514.23-5514.42" + } + }, + "jtagBridge_1_.io_remote_rsp_payload_data": { + "hide_name": 0, + "bits": [ 6461, 6460, 6459, 6462, 6458, 1634, 1630, 1626, 1622, 1618, 1614, 1610, 1606, 1602, 1596, 1592, 1588, 1584, 1580, 1576, 1572, 1568, 1564, 1560, 1676, 1672, 1668, 1664, 1660, 1656, 1644, 1600 ], + "attributes": { + "hdlname": "jtagBridge_1_ io_remote_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5521.23-5521.49" + } + }, + "jtagBridge_1_.io_remote_rsp_payload_error": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "jtagBridge_1_ io_remote_rsp_payload_error", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5520.23-5520.50" + } + }, + "jtagBridge_1_.io_remote_rsp_ready": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "jtagBridge_1_ io_remote_rsp_ready", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5519.23-5519.42" + } + }, + "jtagBridge_1_.io_remote_rsp_valid": { + "hide_name": 0, + "bits": [ 526 ], + "attributes": { + "hdlname": "jtagBridge_1_ io_remote_rsp_valid", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5518.23-5518.42" + } + }, + "jtagBridge_1_.jtag_idcodeArea_shifter": { + "hide_name": 0, + "bits": [ 6491, 6490, 6488, 6487, 6486, 6485, 6484, 6483, 6482, 6481, 6480, 6479, 6477, 6476, 6475, 6474, 6473, 6472, 6471, 6470, 6469, 6468, 6467, 6496, 6495, 6494, 6493, 6492, 6489, 6478, 6466, 6465 ], + "attributes": { + "hdlname": "jtagBridge_1_ jtag_idcodeArea_shifter", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5552.23-5552.46" + } + }, + "jtagBridge_1_.jtag_readArea_shifter": { + "hide_name": 0, + "bits": [ 6579, 6500, 6498, 6574, 6571, 6565, 6562, 6559, 6556, 6553, 6550, 6547, 6544, 6541, 6538, 6532, 6529, 6526, 6523, 6520, 6517, 6514, 6511, 6508, 6504, 6505, 6594, 6591, 6588, 6585, 6582, 6569, 6536, 6502 ], + "attributes": { + "hdlname": "jtagBridge_1_ jtag_readArea_shifter", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5558.23-5558.44" + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_10_DI": { + "hide_name": 0, + "bits": [ 6503 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_11_DI": { + "hide_name": 0, + "bits": [ 6507 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_12_DI": { + "hide_name": 0, + "bits": [ 6510 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_13_DI": { + "hide_name": 0, + "bits": [ 6513 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_14_DI": { + "hide_name": 0, + "bits": [ 6516 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_15_DI": { + "hide_name": 0, + "bits": [ 6519 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_16_DI": { + "hide_name": 0, + "bits": [ 6522 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_17_DI": { + "hide_name": 0, + "bits": [ 6525 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_18_DI": { + "hide_name": 0, + "bits": [ 6528 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_19_DI": { + "hide_name": 0, + "bits": [ 6531 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 6501 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_20_DI": { + "hide_name": 0, + "bits": [ 6537 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_21_DI": { + "hide_name": 0, + "bits": [ 6540 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_22_DI": { + "hide_name": 0, + "bits": [ 6543 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_23_DI": { + "hide_name": 0, + "bits": [ 6546 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_24_DI": { + "hide_name": 0, + "bits": [ 6549 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_25_DI": { + "hide_name": 0, + "bits": [ 6552 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_26_DI": { + "hide_name": 0, + "bits": [ 6555 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_27_DI": { + "hide_name": 0, + "bits": [ 6558 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_28_DI": { + "hide_name": 0, + "bits": [ 6561 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_29_DI": { + "hide_name": 0, + "bits": [ 6564 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 6535 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_30_DI": { + "hide_name": 0, + "bits": [ 6570 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_31_DI": { + "hide_name": 0, + "bits": [ 6573 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_32_DI": { + "hide_name": 0, + "bits": [ 6576 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_33_DI": { + "hide_name": 0, + "bits": [ 6578 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_3_DI": { + "hide_name": 0, + "bits": [ 6568 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_4_DI": { + "hide_name": 0, + "bits": [ 6581 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_5_DI": { + "hide_name": 0, + "bits": [ 6584 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_6_DI": { + "hide_name": 0, + "bits": [ 6587 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_7_DI": { + "hide_name": 0, + "bits": [ 6590 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_8_DI": { + "hide_name": 0, + "bits": [ 6593 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_9_DI": { + "hide_name": 0, + "bits": [ 6596 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 6428, 6497 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "jtagBridge_1_.jtag_readArea_shifter_TRELLIS_FF_Q_LSR": { + "hide_name": 0, + "bits": [ 6499 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_tap_bypass": { + "hide_name": 0, + "bits": [ 6599 ], + "attributes": { + "hdlname": "jtagBridge_1_ jtag_tap_bypass", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5548.23-5548.38" + } + }, + "jtagBridge_1_.jtag_tap_bypass_LUT4_C_D": { + "hide_name": 0, + "bits": [ 6598, 6599, 6600 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "jtagBridge_1_.jtag_tap_bypass_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 6491, 6601, 6603, 6602, 6463 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "jtagBridge_1_.jtag_tap_fsm_state": { + "hide_name": 0, + "bits": [ 6428, 6434, 6432, 6435 ], + "attributes": { + "hdlname": "jtagBridge_1_ jtag_tap_fsm_state", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5544.20-5544.38" + } + }, + "jtagBridge_1_.jtag_tap_fsm_stateNext": { + "hide_name": 0, + "bits": [ 6441, 6438, 6433, 6429 ], + "attributes": { + "hdlname": "jtagBridge_1_ jtag_tap_fsm_stateNext", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5543.20-5543.42" + } + }, + "jtagBridge_1_.jtag_tap_instruction": { + "hide_name": 0, + "bits": [ 6449, 6452, 6609, 6613 ], + "attributes": { + "hdlname": "jtagBridge_1_ jtag_tap_instruction", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5546.23-5546.43" + } + }, + "jtagBridge_1_.jtag_tap_instructionShift": { + "hide_name": 0, + "bits": [ 6598, 6611, 6608, 6606 ], + "attributes": { + "hdlname": "jtagBridge_1_ jtag_tap_instructionShift", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5547.23-5547.48" + } + }, + "jtagBridge_1_.jtag_tap_instructionShift_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 6607 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6" + } + }, + "jtagBridge_1_.jtag_tap_instructionShift_TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 6610 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6" + } + }, + "jtagBridge_1_.jtag_tap_instructionShift_TRELLIS_FF_Q_3_DI": { + "hide_name": 0, + "bits": [ 6612 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6" + } + }, + "jtagBridge_1_.jtag_tap_instructionShift_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 7905, "0", "0", 7906, 7907, 7907, 7908, 7907, 7909, 7910, 7911, 7911, 7912, 7913, 7912, 7912, 7914, 7914, 7915, 7916, "0", 7917, "0", 7918, "0", "0", 7919, 7920, 7921, 7922, 7922, "0", "0", 7923, 7923, 7924, 7925, "0", 6604, "0", 7926, 7926, "0", "0" ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5657.5-5706.12|PQVexRiscvUlx3s.v:0.0-0.0|/usr/local/bin/../share/yosys/techmap.v:583.28-583.35", + "unused_bits": "0 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 21 23 26 27 28 29 30 33 34 35 36 40 41" + } + }, + "jtagBridge_1_.jtag_tap_instructionShift_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 6605 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5764.3-5797.6" + } + }, + "jtagBridge_1_.jtag_tap_instruction_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 6452, 6450, 6449, 6451 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "jtagBridge_1_.jtag_tap_instruction_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 6614 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_tap_instruction_TRELLIS_FF_Q_LSR": { + "hide_name": 0, + "bits": [ 6464 ], + "attributes": { + } + }, + "jtagBridge_1_.jtag_tap_tdoUnbufferd": { + "hide_name": 0, + "bits": [ 6617 ], + "attributes": { + "hdlname": "jtagBridge_1_ jtag_tap_tdoUnbufferd", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5549.23-5549.44" + } + }, + "jtagBridge_1_.jtag_tap_tdoUnbufferd_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6615 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "jtagBridge_1_.jtag_tap_tdoUnbufferd_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6616 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "jtagBridge_1_.jtag_tap_tdoUnbufferd_regNext": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "hdlname": "jtagBridge_1_ jtag_tap_tdoUnbufferd_regNext", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5550.23-5550.52" + } + }, + "jtagBridge_1_.jtag_writeArea_source_payload_fragment": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "hdlname": "jtagBridge_1_ jtag_writeArea_source_payload_fragment", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5556.23-5556.61" + } + }, + "jtagBridge_1_.jtag_writeArea_source_payload_last": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "hdlname": "jtagBridge_1_ jtag_writeArea_source_payload_last", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5555.23-5555.57" + } + }, + "jtagBridge_1_.jtag_writeArea_source_valid": { + "hide_name": 0, + "bits": [ 6442 ], + "attributes": { + "hdlname": "jtagBridge_1_ jtag_writeArea_source_valid", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5554.23-5554.50" + } + }, + "jtagBridge_1_.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "jtagBridge_1_ mainClock", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5522.23-5522.32" + } + }, + "jtagBridge_1_.resetCtrl_mainClockReset": { + "hide_name": 0, + "bits": [ 525 ], + "attributes": { + "hdlname": "jtagBridge_1_ resetCtrl_mainClockReset", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5523.23-5523.47" + } + }, + "jtagBridge_1_.system_cmd_payload_fragment": { + "hide_name": 0, + "bits": [ 6453 ], + "attributes": { + "hdlname": "jtagBridge_1_ system_cmd_payload_fragment", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5539.23-5539.50" + } + }, + "jtagBridge_1_.system_cmd_payload_last": { + "hide_name": 0, + "bits": [ 6454 ], + "attributes": { + "hdlname": "jtagBridge_1_ system_cmd_payload_last", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5538.23-5538.46" + } + }, + "jtagBridge_1_.system_cmd_valid": { + "hide_name": 0, + "bits": [ 6456 ], + "attributes": { + "hdlname": "jtagBridge_1_ system_cmd_valid", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5537.23-5537.39" + } + }, + "jtagBridge_1_.system_rsp_payload_data": { + "hide_name": 0, + "bits": [ 6577, 6575, 6572, 6566, 6563, 6560, 6557, 6554, 6551, 6548, 6545, 6542, 6539, 6533, 6530, 6527, 6524, 6521, 6518, 6515, 6512, 6509, 6506, 6597, 6595, 6592, 6589, 6586, 6583, 6580, 6567, 6534 ], + "attributes": { + "hdlname": "jtagBridge_1_ system_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5542.23-5542.46" + } + }, + "jtagBridge_1_.system_rsp_payload_error": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "jtagBridge_1_ system_rsp_payload_error", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5541.23-5541.47" + } + }, + "jtagBridge_1_.system_rsp_valid": { + "hide_name": 0, + "bits": [ 6618 ], + "attributes": { + "hdlname": "jtagBridge_1_ system_rsp_valid", + "src": "PQVexRiscvUlx3s.v:7765.14-7780.4|PQVexRiscvUlx3s.v:5540.23-5540.39" + } + }, + "jtagBridge_1__io_jtag_tdo": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7540.23-7540.48" + } + }, + "jtagBridge_1__io_remote_cmd_payload_fragment": { + "hide_name": 0, + "bits": [ 6453 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7543.23-7543.67" + } + }, + "jtagBridge_1__io_remote_cmd_payload_last": { + "hide_name": 0, + "bits": [ 6454 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7542.23-7542.63" + } + }, + "jtagBridge_1__io_remote_cmd_valid": { + "hide_name": 0, + "bits": [ 6456 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7541.23-7541.56" + } + }, + "jtagBridge_1__io_remote_rsp_ready": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7544.23-7544.56" + } + }, + "mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7669.23-7669.32" + } + }, + "memory_ramBlocks_0._zz_1_": { + "hide_name": 0, + "bits": [ 6619 ], + "attributes": { + "hdlname": "memory_ramBlocks_0 _zz_1_", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:6998.23-6998.29" + } + }, + "memory_ramBlocks_0._zz_1__LUT4_A_Z": { + "hide_name": 0, + "bits": [ 6623 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "memory_ramBlocks_0._zz_1__LUT4_A_Z_PFUMX_BLUT_ALUT": { + "hide_name": 0, + "bits": [ 6627 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "memory_ramBlocks_0._zz_1__LUT4_A_Z_PFUMX_BLUT_C0": { + "hide_name": 0, + "bits": [ 6619, 6620, 6621, 6622, 6628 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_0._zz_1__LUT4_A_Z_PFUMX_BLUT_Z": { + "hide_name": 0, + "bits": [ 2112, 2113 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_0._zz_1__LUT4_A_Z_PFUMX_BLUT_Z_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 5051, 1884, 5052, 2238, 2251 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_0._zz_1__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 6629 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:7042.3-7048.6" + } + }, + "memory_ramBlocks_0._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 6630, 6631, 519 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_0._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_B_1_Z": { + "hide_name": 0, + "bits": [ 6633 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:7022.3-7035.6" + } + }, + "memory_ramBlocks_0._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_B_2_Z": { + "hide_name": 0, + "bits": [ 6634 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:7022.3-7035.6" + } + }, + "memory_ramBlocks_0._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_B_3_Z": { + "hide_name": 0, + "bits": [ 6635 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:7022.3-7035.6" + } + }, + "memory_ramBlocks_0._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_C_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 6632 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:7022.3-7035.6" + } + }, + "memory_ramBlocks_0._zz_2_": { + "hide_name": 0, + "bits": [ 6641, 6640, 6639, 6638, 6649, 6648, 6647, 6646, 6645, 6644, 6643, 6642, 6637, 6636 ], + "attributes": { + "hdlname": "memory_ramBlocks_0 _zz_2_", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:6999.23-6999.29" + } + }, + "memory_ramBlocks_0._zz_4_": { + "hide_name": 0, + "bits": [ 5330, 5324, 2220, 2218, 2216, 2214, 2212, 2210, 2208, 2206, 2204, 2202, 2198, 2196, 2194, 2192, 2190, 2188, 2186, 2184, 2182, 2180, 2234, 2232, 2230, 2228, 2226, 2224, 2222, 2200, 2178, 2176 ], + "attributes": { + "hdlname": "memory_ramBlocks_0 _zz_4_", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:6997.23-6997.29" + } + }, + "memory_ramBlocks_0._zz_5_": { + "hide_name": 0, + "bits": [ 5330, 5324, 2220, 2218, 2216, 2214, 2212, 2210 ], + "attributes": { + "hdlname": "memory_ramBlocks_0 _zz_5_", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:7005.13-7005.19" + } + }, + "memory_ramBlocks_0._zz_6_": { + "hide_name": 0, + "bits": [ 2208, 2206, 2204, 2202, 2198, 2196, 2194, 2192 ], + "attributes": { + "hdlname": "memory_ramBlocks_0 _zz_6_", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:7006.13-7006.19" + } + }, + "memory_ramBlocks_0._zz_7_": { + "hide_name": 0, + "bits": [ 2190, 2188, 2186, 2184, 2182, 2180, 2234, 2232 ], + "attributes": { + "hdlname": "memory_ramBlocks_0 _zz_7_", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:7007.13-7007.19" + } + }, + "memory_ramBlocks_0._zz_8_": { + "hide_name": 0, + "bits": [ 2230, 2228, 2226, 2224, 2222, 2200, 2178, 2176 ], + "attributes": { + "hdlname": "memory_ramBlocks_0 _zz_8_", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:7008.13-7008.19" + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6641, 6640, 6639, 6638, 6649, 6648, 6647, 6646, 6645, 6644, 6643, 6642, 6637, 6636 ], + "attributes": { + "hdlname": "memory_ramBlocks_0 io_bus_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:6989.23-6989.49" + } + }, + "memory_ramBlocks_0.io_bus_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_0 io_bus_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:6991.23-6991.46" + } + }, + "memory_ramBlocks_0.io_bus_cmd_ready": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "memory_ramBlocks_0 io_bus_cmd_ready", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:6987.23-6987.39" + } + }, + "memory_ramBlocks_0.io_bus_cmd_valid": { + "hide_name": 0, + "bits": [ 6631 ], + "attributes": { + "hdlname": "memory_ramBlocks_0 io_bus_cmd_valid", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:6986.23-6986.39" + } + }, + "memory_ramBlocks_0.io_bus_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5330, 5324, 2220, 2218, 2216, 2214, 2212, 2210, 2208, 2206, 2204, 2202, 2198, 2196, 2194, 2192, 2190, 2188, 2186, 2184, 2182, 2180, 2234, 2232, 2230, 2228, 2226, 2224, 2222, 2200, 2178, 2176 ], + "attributes": { + "hdlname": "memory_ramBlocks_0 io_bus_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:6993.23-6993.46" + } + }, + "memory_ramBlocks_0.io_bus_rsp_valid": { + "hide_name": 0, + "bits": [ 6619 ], + "attributes": { + "hdlname": "memory_ramBlocks_0 io_bus_rsp_valid", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:6992.23-6992.39" + } + }, + "memory_ramBlocks_0.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "memory_ramBlocks_0 mainClock", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:6994.23-6994.32" + } + }, + "memory_ramBlocks_0.ram_symbol0.0.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6652 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol0.1.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6653 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol0.2.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6654 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol0.3.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6655 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol0.4.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6656 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol0.5.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6657 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol0.6.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6658 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol0.7.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6659 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol1.0.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6660 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol1.1.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6661 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol1.2.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6662 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol1.3.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6663 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol1.4.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6664 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol1.5.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6665 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol1.6.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6666 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol1.7.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6667 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol2.0.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6668 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol2.1.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6669 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol2.2.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6670 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol2.3.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6671 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol2.4.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6672 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol2.5.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6673 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol2.6.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6674 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol2.7.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6675 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol3.0.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6676 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol3.1.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6677 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol3.2.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6678 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol3.3.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6679 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol3.4.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6680 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol3.5.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6681 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol3.6.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6682 ], + "attributes": { + } + }, + "memory_ramBlocks_0.ram_symbol3.7.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6683 ], + "attributes": { + } + }, + "memory_ramBlocks_0.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "memory_ramBlocks_0 resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7914.30-7925.4|PQVexRiscvUlx3s.v:6995.23-6995.49" + } + }, + "memory_ramBlocks_0_io_bus_arbiter._zz_1_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter _zz_1_", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7382.23-7382.29" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_inputs_0_cmd_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_inputs_0_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7358.23-7358.54" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_inputs_0_cmd_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_inputs_0_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7359.23-7359.51" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_inputs_0_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_inputs_0_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7360.23-7360.51" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_inputs_0_cmd_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_inputs_0_cmd_payload_write", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7357.23-7357.52" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_inputs_0_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5330, 5324, 2220, 2218, 2216, 2214, 2212, 2210, 2208, 2206, 2204, 2202, 2198, 2196, 2194, 2192, 2190, 2188, 2186, 2184, 2182, 2180, 2234, 2232, 2230, 2228, 2226, 2224, 2222, 2200, 2178, 2176 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_inputs_0_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7362.23-7362.51" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_inputs_1_cmd_payload_address": { + "hide_name": 0, + "bits": [ "0", "0", 150, 146, 142, 138, 134, 130, 126, 122, 118, 114, 107, 103, 99, 95 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_inputs_1_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7366.23-7366.54" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_inputs_1_cmd_payload_data": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_inputs_1_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7367.23-7367.51" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_inputs_1_cmd_payload_mask": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x" ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_inputs_1_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7368.23-7368.51" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_inputs_1_cmd_payload_write": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_inputs_1_cmd_payload_write", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7365.23-7365.52" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_inputs_1_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5330, 5324, 2220, 2218, 2216, 2214, 2212, 2210, 2208, 2206, 2204, 2202, 2198, 2196, 2194, 2192, 2190, 2188, 2186, 2184, 2182, 2180, 2234, 2232, 2230, 2228, 2226, 2224, 2222, 2200, 2178, 2176 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_inputs_1_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7370.23-7370.51" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_output_cmd_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6641, 6640, 6639, 6638, 6649, 6648, 6647, 6646, 6645, 6644, 6643, 6642, 6637, 6636 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_output_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7374.23-7374.52" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_output_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_output_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7376.23-7376.49" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_output_cmd_ready": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_output_cmd_ready", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7372.23-7372.42" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_output_cmd_valid": { + "hide_name": 0, + "bits": [ 6631 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_output_cmd_valid", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7371.23-7371.42" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_output_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5330, 5324, 2220, 2218, 2216, 2214, 2212, 2210, 2208, 2206, 2204, 2202, 2198, 2196, 2194, 2192, 2190, 2188, 2186, 2184, 2182, 2180, 2234, 2232, 2230, 2228, 2226, 2224, 2222, 2200, 2178, 2176 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_output_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7378.23-7378.49" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.io_output_rsp_valid": { + "hide_name": 0, + "bits": [ 6619 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter io_output_rsp_valid", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7377.23-7377.42" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter._zz_2_": { + "hide_name": 0, + "bits": [ 6719 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter _zz_2_", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1183.23-1183.29|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter._zz_4_": { + "hide_name": 0, + "bits": [ "x", 7927 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter _zz_4_", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1174.23-1174.29|PQVexRiscvUlx3s.v:7415.17-7438.4", + "unused_bits": "1 " + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_chosen": { + "hide_name": 0, + "bits": [ 6719 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter io_chosen", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1168.23-1168.32|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_chosenOH": { + "hide_name": 0, + "bits": [ 6367, 6719 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter io_chosenOH", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1169.23-1169.34|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_inputs_0_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter io_inputs_0_payload_address", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1153.23-1153.50|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_inputs_0_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter io_inputs_0_payload_data", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1154.23-1154.47|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_inputs_0_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter io_inputs_0_payload_mask", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1155.23-1155.47|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_inputs_0_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter io_inputs_0_payload_write", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1152.23-1152.48|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_inputs_1_payload_address": { + "hide_name": 0, + "bits": [ "0", "0", 150, 146, 142, 138, 134, 130, 126, 122, 118, 114, 107, 103, 99, 95 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter io_inputs_1_payload_address", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1159.23-1159.50|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_inputs_1_payload_data": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter io_inputs_1_payload_data", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1160.23-1160.47|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_inputs_1_payload_mask": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x" ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter io_inputs_1_payload_mask", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1161.23-1161.47|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_inputs_1_payload_write": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter io_inputs_1_payload_write", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1158.23-1158.48|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6641, 6640, 6639, 6638, 6649, 6648, 6647, 6646, 6645, 6644, 6643, 6642, 6637, 6636 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter io_output_payload_address", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1165.23-1165.48|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter io_output_payload_mask", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1167.23-1167.45|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter io_output_ready", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1163.23-1163.38|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 6684 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 6686 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6689 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6690 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 6687 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6693 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6694 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 6685 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 6695 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6697 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6698 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 6696 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6699 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_ready_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6700 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid": { + "hide_name": 0, + "bits": [ 6650 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter io_output_valid", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1162.23-1162.38|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 6702 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 6704 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6706 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6707 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 6705 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6708 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6709 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 6703 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 6710 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6712 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6713 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 6711 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6714 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.io_output_valid_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6715 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.locked": { + "hide_name": 0, + "bits": [ 6688 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter locked", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1175.23-1175.29|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.locked_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 6716 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1202.3-1213.6|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter mainClock", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1170.23-1170.32|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.maskLocked_0": { + "hide_name": 0, + "bits": [ 6701 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter maskLocked_0", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1178.23-1178.35|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.maskLocked_1": { + "hide_name": 0, + "bits": [ 6717 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter maskLocked_1", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1179.23-1179.35|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.maskRouted_0": { + "hide_name": 0, + "bits": [ 6367 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter maskRouted_0", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1180.23-1180.35|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.maskRouted_1": { + "hide_name": 0, + "bits": [ 6719 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter maskRouted_1", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1181.23-1181.35|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1171.23-1171.49|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter_io_chosen": { + "hide_name": 0, + "bits": [ 6719 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter_io_chosen", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7390.23-7390.46" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter_io_chosenOH": { + "hide_name": 0, + "bits": [ 6367, 6719 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter_io_chosenOH", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7391.23-7391.48" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter_io_output_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6641, 6640, 6639, 6638, 6649, 6648, 6647, 6646, 6645, 6644, 6643, 6642, 6637, 6636 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter_io_output_payload_address", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7387.23-7387.62" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter_io_output_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter_io_output_payload_mask", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7389.23-7389.59" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_arbiter_io_output_valid": { + "hide_name": 0, + "bits": [ 6650 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_arbiter_io_output_valid", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7385.23-7385.52" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.logic_rspRouteOh": { + "hide_name": 0, + "bits": [ 6624, 6620 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter logic_rspRouteOh", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7407.23-7407.39" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter mainClock", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7379.23-7379.32" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7380.23-7380.49" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_._zz_1_": { + "hide_name": 0, + "bits": [ 6651 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2_ _zz_1_", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1247.23-1247.29|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_._zz_1__TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 6720 ], + "attributes": { + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_._zz_2_": { + "hide_name": 0, + "bits": [ 6691 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2_ _zz_2_", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1248.23-1248.29|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_._zz_2__LUT4_D_Z": { + "hide_name": 0, + "bits": [ 6736, 6732, 6721, 6650, 6738 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_.io_input_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6641, 6640, 6639, 6638, 6649, 6648, 6647, 6646, 6645, 6644, 6643, 6642, 6637, 6636 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2_ io_input_payload_address", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1229.23-1229.47|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_.io_input_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2_ io_input_payload_mask", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1231.23-1231.44|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_.io_input_ready": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2_ io_input_ready", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1227.23-1227.37|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_.io_input_valid": { + "hide_name": 0, + "bits": [ 6650 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2_ io_input_valid", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1226.23-1226.37|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_.io_outputs_0_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6641, 6640, 6639, 6638, 6649, 6648, 6647, 6646, 6645, 6644, 6643, 6642, 6637, 6636 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2_ io_outputs_0_payload_address", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1235.23-1235.51|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_.io_outputs_0_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2_ io_outputs_0_payload_mask", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1237.23-1237.48|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_.io_outputs_0_ready": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2_ io_outputs_0_ready", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1233.23-1233.41|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_.io_outputs_0_valid": { + "hide_name": 0, + "bits": [ 6631 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2_ io_outputs_0_valid", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1232.23-1232.41|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_.io_outputs_1_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6641, 6640, 6639, 6638, 6649, 6648, 6647, 6646, 6645, 6644, 6643, 6642, 6637, 6636 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2_ io_outputs_1_payload_address", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1241.23-1241.51|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_.io_outputs_1_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2_ io_outputs_1_payload_mask", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1243.23-1243.48|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2_ mainClock", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1244.23-1244.32|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2_.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2_ resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1245.23-1245.49|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_input_ready": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_input_ready", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7392.23-7392.51" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_0_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6641, 6640, 6639, 6638, 6649, 6648, 6647, 6646, 6645, 6644, 6643, 6642, 6637, 6636 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_0_payload_address", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7395.23-7395.65" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_0_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_0_payload_mask", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7397.23-7397.62" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_0_valid": { + "hide_name": 0, + "bits": [ 6631 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_0_valid", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7393.23-7393.55" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6641, 6640, 6639, 6638, 6649, 6648, 6647, 6646, 6645, 6644, 6643, 6642, 6637, 6636 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_payload_address", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7400.23-7400.65" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_payload_mask", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7402.23-7402.62" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_payload": { + "hide_name": 0, + "bits": [ 6367, 6719 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_payload", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7410.23-7410.68" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo._zz_1_": { + "hide_name": 0, + "bits": [ 6722 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo _zz_1_", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1309.23-1309.29|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo._zz_2_": { + "hide_name": 0, + "bits": [ 6624, 6620 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo _zz_2_", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1303.23-1303.29|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo._zz_3_": { + "hide_name": 0, + "bits": [ 6722 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo _zz_3_", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1304.23-1304.29|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo._zz_4_": { + "hide_name": 0, + "bits": [ 6722, "0", "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo _zz_4_", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1305.23-1305.29|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo._zz_6_": { + "hide_name": 0, + "bits": [ 7928, "0", "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo _zz_6_", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1307.23-1307.29|PQVexRiscvUlx3s.v:7461.27-7472.4", + "unused_bits": "0 " + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.io_flush": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo io_flush", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1298.23-1298.31|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.io_pop_payload": { + "hide_name": 0, + "bits": [ 6624, 6620 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo io_pop_payload", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1297.23-1297.37|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.io_pop_ready": { + "hide_name": 0, + "bits": [ 6619 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo io_pop_ready", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1296.23-1296.35|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.io_push_payload": { + "hide_name": 0, + "bits": [ 6367, 6719 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo io_push_payload", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1294.23-1294.38|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo mainClock", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1300.23-1300.32|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_value": { + "hide_name": 0, + "bits": [ 6723, 6725, 6726 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo popPtr_value", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1319.23-1319.35|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_valueNext": { + "hide_name": 0, + "bits": [ 6729, 6728, 6727 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo popPtr_valueNext", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1318.23-1318.39|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_willClear": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo popPtr_willClear", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1317.23-1317.39|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_value": { + "hide_name": 0, + "bits": [ 6738, 6736, 6732 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo pushPtr_value", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1313.23-1313.36|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext": { + "hide_name": 0, + "bits": [ 6741, 6737, 6733 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo pushPtr_valueNext", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1312.23-1312.40|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 6734 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 6735 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_2_ALUT": { + "hide_name": 0, + "bits": [ 6739 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_2_BLUT": { + "hide_name": 0, + "bits": [ 6740 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6730 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6731 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_willClear": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo pushPtr_willClear", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1311.23-1311.40|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_willIncrement": { + "hide_name": 0, + "bits": [ 6722 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo pushPtr_willIncrement", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1310.23-1310.44|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushing": { + "hide_name": 0, + "bits": [ 6722 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo pushing", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1326.23-1326.30|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.ram.0.0.0_DO": { + "hide_name": 0, + "bits": [ 6624, 6620, 6742, 6743 ], + "attributes": { + "unused_bits": "2 3" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1301.23-1301.49|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy": { + "hide_name": 0, + "bits": [ 6745 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo risingOccupancy", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:1323.23-1323.38|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 6726, 6724, 6723, 6725 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_C": { + "hide_name": 0, + "bits": [ 6744, 6745 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_C_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 6726, 6732, 6746 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 6388, 6360, 6701, 6692, 6691, 6688, 397 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6747 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6748 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 6749 ], + "attributes": { + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo_io_pop_payload": { + "hide_name": 0, + "bits": [ 6624, 6620 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo_io_pop_payload", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7405.23-7405.87" + } + }, + "memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_payload": { + "hide_name": 0, + "bits": [ 6367, 6719 ], + "attributes": { + "hdlname": "memory_ramBlocks_0_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_payload", + "src": "PQVexRiscvUlx3s.v:8020.32-8047.4|PQVexRiscvUlx3s.v:7413.23-7413.75" + } + }, + "memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5330, 5324, 2220, 2218, 2216, 2214, 2212, 2210, 2208, 2206, 2204, 2202, 2198, 2196, 2194, 2192, 2190, 2188, 2186, 2184, 2182, 2180, 2234, 2232, 2230, 2228, 2226, 2224, 2222, 2200, 2178, 2176 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7646.23-7646.85" + } + }, + "memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5330, 5324, 2220, 2218, 2216, 2214, 2212, 2210, 2208, 2206, 2204, 2202, 2198, 2196, 2194, 2192, 2190, 2188, 2186, 2184, 2182, 2180, 2234, 2232, 2230, 2228, 2226, 2224, 2222, 2200, 2178, 2176 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7649.23-7649.85" + } + }, + "memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6641, 6640, 6639, 6638, 6649, 6648, 6647, 6646, 6645, 6644, 6643, 6642, 6637, 6636 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7652.23-7652.86" + } + }, + "memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7654.23-7654.83" + } + }, + "memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_valid": { + "hide_name": 0, + "bits": [ 6631 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7650.23-7650.76" + } + }, + "memory_ramBlocks_0_io_bus_cmd_ready": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7599.23-7599.58" + } + }, + "memory_ramBlocks_0_io_bus_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5330, 5324, 2220, 2218, 2216, 2214, 2212, 2210, 2208, 2206, 2204, 2202, 2198, 2196, 2194, 2192, 2190, 2188, 2186, 2184, 2182, 2180, 2234, 2232, 2230, 2228, 2226, 2224, 2222, 2200, 2178, 2176 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7601.23-7601.65" + } + }, + "memory_ramBlocks_0_io_bus_rsp_valid": { + "hide_name": 0, + "bits": [ 6619 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7600.23-7600.58" + } + }, + "memory_ramBlocks_1._zz_1_": { + "hide_name": 0, + "bits": [ 6621 ], + "attributes": { + "hdlname": "memory_ramBlocks_1 _zz_1_", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:6998.23-6998.29" + } + }, + "memory_ramBlocks_1._zz_1__TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 6750 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:7042.3-7048.6" + } + }, + "memory_ramBlocks_1._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 6751, 6752, 523, 6753 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_1._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_A_1_Z": { + "hide_name": 0, + "bits": [ 6755 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:7022.3-7035.6" + } + }, + "memory_ramBlocks_1._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_A_2_Z": { + "hide_name": 0, + "bits": [ 6756 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:7022.3-7035.6" + } + }, + "memory_ramBlocks_1._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_A_3_Z": { + "hide_name": 0, + "bits": [ 6757 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:7022.3-7035.6" + } + }, + "memory_ramBlocks_1._zz_1__TRELLIS_FF_Q_DI_LUT4_Z_B_LUT4_A_Z": { + "hide_name": 0, + "bits": [ 6754 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:7022.3-7035.6" + } + }, + "memory_ramBlocks_1._zz_2_": { + "hide_name": 0, + "bits": [ 6763, 6762, 6761, 6760, 6771, 6770, 6769, 6768, 6767, 6766, 6765, 6764, 6759, 6758 ], + "attributes": { + "hdlname": "memory_ramBlocks_1 _zz_2_", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:6999.23-6999.29" + } + }, + "memory_ramBlocks_1._zz_4_": { + "hide_name": 0, + "bits": [ 5329, 5323, 2219, 2217, 2215, 2213, 2211, 2209, 2207, 2205, 2203, 2201, 2197, 2195, 2193, 2191, 2189, 2187, 2185, 2183, 2181, 2179, 2233, 2231, 2229, 2227, 2225, 2223, 2221, 2199, 2177, 2175 ], + "attributes": { + "hdlname": "memory_ramBlocks_1 _zz_4_", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:6997.23-6997.29" + } + }, + "memory_ramBlocks_1._zz_5_": { + "hide_name": 0, + "bits": [ 5329, 5323, 2219, 2217, 2215, 2213, 2211, 2209 ], + "attributes": { + "hdlname": "memory_ramBlocks_1 _zz_5_", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:7005.13-7005.19" + } + }, + "memory_ramBlocks_1._zz_6_": { + "hide_name": 0, + "bits": [ 2207, 2205, 2203, 2201, 2197, 2195, 2193, 2191 ], + "attributes": { + "hdlname": "memory_ramBlocks_1 _zz_6_", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:7006.13-7006.19" + } + }, + "memory_ramBlocks_1._zz_7_": { + "hide_name": 0, + "bits": [ 2189, 2187, 2185, 2183, 2181, 2179, 2233, 2231 ], + "attributes": { + "hdlname": "memory_ramBlocks_1 _zz_7_", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:7007.13-7007.19" + } + }, + "memory_ramBlocks_1._zz_8_": { + "hide_name": 0, + "bits": [ 2229, 2227, 2225, 2223, 2221, 2199, 2177, 2175 ], + "attributes": { + "hdlname": "memory_ramBlocks_1 _zz_8_", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:7008.13-7008.19" + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6763, 6762, 6761, 6760, 6771, 6770, 6769, 6768, 6767, 6766, 6765, 6764, 6759, 6758 ], + "attributes": { + "hdlname": "memory_ramBlocks_1 io_bus_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:6989.23-6989.49" + } + }, + "memory_ramBlocks_1.io_bus_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_1 io_bus_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:6991.23-6991.46" + } + }, + "memory_ramBlocks_1.io_bus_cmd_ready": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "memory_ramBlocks_1 io_bus_cmd_ready", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:6987.23-6987.39" + } + }, + "memory_ramBlocks_1.io_bus_cmd_valid": { + "hide_name": 0, + "bits": [ 6772 ], + "attributes": { + "hdlname": "memory_ramBlocks_1 io_bus_cmd_valid", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:6986.23-6986.39" + } + }, + "memory_ramBlocks_1.io_bus_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5329, 5323, 2219, 2217, 2215, 2213, 2211, 2209, 2207, 2205, 2203, 2201, 2197, 2195, 2193, 2191, 2189, 2187, 2185, 2183, 2181, 2179, 2233, 2231, 2229, 2227, 2225, 2223, 2221, 2199, 2177, 2175 ], + "attributes": { + "hdlname": "memory_ramBlocks_1 io_bus_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:6993.23-6993.46" + } + }, + "memory_ramBlocks_1.io_bus_rsp_valid": { + "hide_name": 0, + "bits": [ 6621 ], + "attributes": { + "hdlname": "memory_ramBlocks_1 io_bus_rsp_valid", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:6992.23-6992.39" + } + }, + "memory_ramBlocks_1.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "memory_ramBlocks_1 mainClock", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:6994.23-6994.32" + } + }, + "memory_ramBlocks_1.ram_symbol0.0.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6773 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol0.1.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6774 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol0.2.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6775 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol0.3.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6776 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol0.4.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6777 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol0.5.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6778 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol0.6.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6779 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol0.7.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6780 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol1.0.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6781 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol1.1.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6782 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol1.2.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6783 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol1.3.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6784 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol1.4.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6785 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol1.5.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6786 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol1.6.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6787 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol1.7.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6788 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol2.0.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6789 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol2.1.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6790 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol2.2.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6791 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol2.3.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6792 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol2.4.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6793 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol2.5.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6794 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol2.6.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6795 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol2.7.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6796 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol3.0.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6797 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol3.1.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6798 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol3.2.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6799 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol3.3.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6800 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol3.4.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6801 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol3.5.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6802 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol3.6.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6803 ], + "attributes": { + } + }, + "memory_ramBlocks_1.ram_symbol3.7.0.0_DIA0": { + "hide_name": 0, + "bits": [ 6804 ], + "attributes": { + } + }, + "memory_ramBlocks_1.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "memory_ramBlocks_1 resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7926.30-7937.4|PQVexRiscvUlx3s.v:6995.23-6995.49" + } + }, + "memory_ramBlocks_1_io_bus_arbiter._zz_1_": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter _zz_1_", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7382.23-7382.29" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_inputs_0_cmd_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_inputs_0_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7358.23-7358.54" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_inputs_0_cmd_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_inputs_0_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7359.23-7359.51" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_inputs_0_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_inputs_0_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7360.23-7360.51" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_inputs_0_cmd_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_inputs_0_cmd_payload_write", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7357.23-7357.52" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_inputs_0_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5329, 5323, 2219, 2217, 2215, 2213, 2211, 2209, 2207, 2205, 2203, 2201, 2197, 2195, 2193, 2191, 2189, 2187, 2185, 2183, 2181, 2179, 2233, 2231, 2229, 2227, 2225, 2223, 2221, 2199, 2177, 2175 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_inputs_0_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7362.23-7362.51" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_inputs_1_cmd_payload_address": { + "hide_name": 0, + "bits": [ "0", "0", 150, 146, 142, 138, 134, 130, 126, 122, 118, 114, 107, 103, 99, 95 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_inputs_1_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7366.23-7366.54" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_inputs_1_cmd_payload_data": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_inputs_1_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7367.23-7367.51" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_inputs_1_cmd_payload_mask": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x" ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_inputs_1_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7368.23-7368.51" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_inputs_1_cmd_payload_write": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_inputs_1_cmd_payload_write", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7365.23-7365.52" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_inputs_1_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5329, 5323, 2219, 2217, 2215, 2213, 2211, 2209, 2207, 2205, 2203, 2201, 2197, 2195, 2193, 2191, 2189, 2187, 2185, 2183, 2181, 2179, 2233, 2231, 2229, 2227, 2225, 2223, 2221, 2199, 2177, 2175 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_inputs_1_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7370.23-7370.51" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_output_cmd_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6763, 6762, 6761, 6760, 6771, 6770, 6769, 6768, 6767, 6766, 6765, 6764, 6759, 6758 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_output_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7374.23-7374.52" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_output_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_output_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7376.23-7376.49" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_output_cmd_ready": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_output_cmd_ready", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7372.23-7372.42" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_output_cmd_valid": { + "hide_name": 0, + "bits": [ 6772 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_output_cmd_valid", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7371.23-7371.42" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_output_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5329, 5323, 2219, 2217, 2215, 2213, 2211, 2209, 2207, 2205, 2203, 2201, 2197, 2195, 2193, 2191, 2189, 2187, 2185, 2183, 2181, 2179, 2233, 2231, 2229, 2227, 2225, 2223, 2221, 2199, 2177, 2175 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_output_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7378.23-7378.49" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.io_output_rsp_valid": { + "hide_name": 0, + "bits": [ 6621 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter io_output_rsp_valid", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7377.23-7377.42" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter._zz_2_": { + "hide_name": 0, + "bits": [ 6818 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter _zz_2_", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1183.23-1183.29|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter._zz_4_": { + "hide_name": 0, + "bits": [ "x", 7929 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter _zz_4_", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1174.23-1174.29|PQVexRiscvUlx3s.v:7415.17-7438.4", + "unused_bits": "1 " + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_chosen": { + "hide_name": 0, + "bits": [ 6818 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter io_chosen", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1168.23-1168.32|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_chosenOH": { + "hide_name": 0, + "bits": [ 6366, 6818 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter io_chosenOH", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1169.23-1169.34|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_inputs_0_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter io_inputs_0_payload_address", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1153.23-1153.50|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_inputs_0_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter io_inputs_0_payload_data", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1154.23-1154.47|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_inputs_0_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter io_inputs_0_payload_mask", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1155.23-1155.47|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_inputs_0_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter io_inputs_0_payload_write", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1152.23-1152.48|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_inputs_1_payload_address": { + "hide_name": 0, + "bits": [ "0", "0", 150, 146, 142, 138, 134, 130, 126, 122, 118, 114, 107, 103, 99, 95 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter io_inputs_1_payload_address", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1159.23-1159.50|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_inputs_1_payload_data": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter io_inputs_1_payload_data", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1160.23-1160.47|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_inputs_1_payload_mask": { + "hide_name": 0, + "bits": [ "x", "x", "x", "x" ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter io_inputs_1_payload_mask", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1161.23-1161.47|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_inputs_1_payload_write": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter io_inputs_1_payload_write", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1158.23-1158.48|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_output_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6763, 6762, 6761, 6760, 6771, 6770, 6769, 6768, 6767, 6766, 6765, 6764, 6759, 6758 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter io_output_payload_address", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1165.23-1165.48|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_output_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter io_output_payload_mask", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1167.23-1167.45|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_output_ready": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter io_output_ready", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1163.23-1163.38|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_output_ready_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6805 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_output_ready_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6806 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_output_valid": { + "hide_name": 0, + "bits": [ 6752 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter io_output_valid", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1162.23-1162.38|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_output_valid_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6811 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.io_output_valid_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6812 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.locked": { + "hide_name": 0, + "bits": [ 6814 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter locked", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1175.23-1175.29|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.locked_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 6815 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1202.3-1213.6|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter mainClock", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1170.23-1170.32|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskLocked_0": { + "hide_name": 0, + "bits": [ 6813 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter maskLocked_0", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1178.23-1178.35|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskLocked_1": { + "hide_name": 0, + "bits": [ 6816 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter maskLocked_1", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1179.23-1179.35|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskRouted_0": { + "hide_name": 0, + "bits": [ 6366 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter maskRouted_0", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1180.23-1180.35|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskRouted_1": { + "hide_name": 0, + "bits": [ 6818 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter maskRouted_1", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1181.23-1181.35|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskRouted_1_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 6817, 6809, 41 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskRouted_1_LUT4_Z_D_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 65, 66, 67, 68, 19, 12, 24 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskRouted_1_LUT4_Z_D_LUT4_B_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6718 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskRouted_1_LUT4_Z_D_LUT4_B_Z_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6819 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1171.23-1171.49|PQVexRiscvUlx3s.v:7415.17-7438.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter_io_chosen": { + "hide_name": 0, + "bits": [ 6818 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter_io_chosen", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7390.23-7390.46" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter_io_chosenOH": { + "hide_name": 0, + "bits": [ 6366, 6818 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter_io_chosenOH", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7391.23-7391.48" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter_io_output_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6763, 6762, 6761, 6760, 6771, 6770, 6769, 6768, 6767, 6766, 6765, 6764, 6759, 6758 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter_io_output_payload_address", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7387.23-7387.62" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter_io_output_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter_io_output_payload_mask", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7389.23-7389.59" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_arbiter_io_output_valid": { + "hide_name": 0, + "bits": [ 6752 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_arbiter_io_output_valid", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7385.23-7385.52" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.logic_rspRouteOh": { + "hide_name": 0, + "bits": [ 6625, 6622 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter logic_rspRouteOh", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7407.23-7407.39" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter mainClock", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7379.23-7379.32" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7380.23-7380.49" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_._zz_1_": { + "hide_name": 0, + "bits": [ 6753 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2_ _zz_1_", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1247.23-1247.29|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_._zz_1__TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 6820 ], + "attributes": { + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_._zz_2_": { + "hide_name": 0, + "bits": [ 6807 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2_ _zz_2_", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1248.23-1248.29|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_._zz_2__LUT4_D_Z": { + "hide_name": 0, + "bits": [ 6825, 6752, 6821, 6822, 6823 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_.io_input_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6763, 6762, 6761, 6760, 6771, 6770, 6769, 6768, 6767, 6766, 6765, 6764, 6759, 6758 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2_ io_input_payload_address", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1229.23-1229.47|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_.io_input_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2_ io_input_payload_mask", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1231.23-1231.44|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_.io_input_ready": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2_ io_input_ready", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1227.23-1227.37|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_.io_input_valid": { + "hide_name": 0, + "bits": [ 6752 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2_ io_input_valid", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1226.23-1226.37|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_.io_outputs_0_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6763, 6762, 6761, 6760, 6771, 6770, 6769, 6768, 6767, 6766, 6765, 6764, 6759, 6758 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2_ io_outputs_0_payload_address", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1235.23-1235.51|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_.io_outputs_0_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2_ io_outputs_0_payload_mask", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1237.23-1237.48|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_.io_outputs_0_ready": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2_ io_outputs_0_ready", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1233.23-1233.41|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_.io_outputs_0_valid": { + "hide_name": 0, + "bits": [ 6772 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2_ io_outputs_0_valid", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1232.23-1232.41|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_.io_outputs_1_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6763, 6762, 6761, 6760, 6771, 6770, 6769, 6768, 6767, 6766, 6765, 6764, 6759, 6758 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2_ io_outputs_1_payload_address", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1241.23-1241.51|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_.io_outputs_1_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2_ io_outputs_1_payload_mask", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1243.23-1243.48|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2_ mainClock", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1244.23-1244.32|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2_.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2_ resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1245.23-1245.49|PQVexRiscvUlx3s.v:7439.14-7460.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_input_ready": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_input_ready", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7392.23-7392.51" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_0_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6763, 6762, 6761, 6760, 6771, 6770, 6769, 6768, 6767, 6766, 6765, 6764, 6759, 6758 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_0_payload_address", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7395.23-7395.65" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_0_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_0_payload_mask", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7397.23-7397.62" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_0_valid": { + "hide_name": 0, + "bits": [ 6772 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_0_valid", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7393.23-7393.55" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6763, 6762, 6761, 6760, 6771, 6770, 6769, 6768, 6767, 6766, 6765, 6764, 6759, 6758 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_payload_address", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7400.23-7400.65" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_payload_mask", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7402.23-7402.62" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_payload": { + "hide_name": 0, + "bits": [ 6366, 6818 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_payload", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7410.23-7410.68" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo._zz_1_": { + "hide_name": 0, + "bits": [ 6826 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo _zz_1_", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1309.23-1309.29|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo._zz_2_": { + "hide_name": 0, + "bits": [ 6625, 6622 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo _zz_2_", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1303.23-1303.29|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo._zz_3_": { + "hide_name": 0, + "bits": [ 6826 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo _zz_3_", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1304.23-1304.29|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo._zz_4_": { + "hide_name": 0, + "bits": [ 6826, "0", "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo _zz_4_", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1305.23-1305.29|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo._zz_6_": { + "hide_name": 0, + "bits": [ 7930, "0", "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo _zz_6_", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1307.23-1307.29|PQVexRiscvUlx3s.v:7461.27-7472.4", + "unused_bits": "0 " + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.io_flush": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo io_flush", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1298.23-1298.31|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.io_pop_payload": { + "hide_name": 0, + "bits": [ 6625, 6622 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo io_pop_payload", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1297.23-1297.37|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.io_pop_ready": { + "hide_name": 0, + "bits": [ 6621 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo io_pop_ready", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1296.23-1296.35|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.io_push_payload": { + "hide_name": 0, + "bits": [ 6366, 6818 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo io_push_payload", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1294.23-1294.38|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo mainClock", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1300.23-1300.32|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_value": { + "hide_name": 0, + "bits": [ 6827, 6829, 6830 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo popPtr_value", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1319.23-1319.35|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_valueNext": { + "hide_name": 0, + "bits": [ 6833, 6832, 6831 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo popPtr_valueNext", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1318.23-1318.39|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.popPtr_willClear": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo popPtr_willClear", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1317.23-1317.39|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_value": { + "hide_name": 0, + "bits": [ 6822, 6823, 6824 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo pushPtr_value", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1313.23-1313.36|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext": { + "hide_name": 0, + "bits": [ 6834, 6840, 6837 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo pushPtr_valueNext", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1312.23-1312.40|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 6838 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 6839 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6835 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_valueNext_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6836 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_willClear": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo pushPtr_willClear", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1311.23-1311.40|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushPtr_willIncrement": { + "hide_name": 0, + "bits": [ 6826 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo pushPtr_willIncrement", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1310.23-1310.44|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushing": { + "hide_name": 0, + "bits": [ 6826 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo pushing", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1326.23-1326.30|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.ram.0.0.0_DO": { + "hide_name": 0, + "bits": [ 6625, 6622, 6841, 6842 ], + "attributes": { + "unused_bits": "2 3" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1301.23-1301.49|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy": { + "hide_name": 0, + "bits": [ 6844 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo risingOccupancy", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:1323.23-1323.38|PQVexRiscvUlx3s.v:7461.27-7472.4" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 6830, 6828, 6827, 6829 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_C": { + "hide_name": 0, + "bits": [ 6843, 6844 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_C_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 6822, 6827, 6845 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 6808, 6809, 397, 6810, 6807 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 6846 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.66-158.68" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D0_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 6848 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.50-158.52" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6850 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.22-158.24" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D0_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6851 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.18-158.20" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D0_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 6849 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.54-158.56" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6852 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.30-158.32" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D0_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6853 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.26-158.28" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 6847 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.70-158.72" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D1_L6MUX21_Z_D0": { + "hide_name": 0, + "bits": [ 6854 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.58-158.60" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6856 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.38-158.40" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D1_L6MUX21_Z_D0_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6857 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.34-158.36" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D1_L6MUX21_Z_D1": { + "hide_name": 0, + "bits": [ 6855 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.62-158.64" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6858 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.46-158.48" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_LUT4_D_Z_L6MUX21_Z_D1_L6MUX21_Z_D1_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6859 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158.42-158.44" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 6860 ], + "attributes": { + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo_io_pop_payload": { + "hide_name": 0, + "bits": [ 6625, 6622 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_fifo_io_pop_payload", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7405.23-7405.87" + } + }, + "memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_payload": { + "hide_name": 0, + "bits": [ 6366, 6818 ], + "attributes": { + "hdlname": "memory_ramBlocks_1_io_bus_arbiter streamFork_2__io_outputs_1_translated_thrown_payload", + "src": "PQVexRiscvUlx3s.v:8048.32-8075.4|PQVexRiscvUlx3s.v:7413.23-7413.75" + } + }, + "memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5329, 5323, 2219, 2217, 2215, 2213, 2211, 2209, 2207, 2205, 2203, 2201, 2197, 2195, 2193, 2191, 2189, 2187, 2185, 2183, 2181, 2179, 2233, 2231, 2229, 2227, 2225, 2223, 2221, 2199, 2177, 2175 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7657.23-7657.85" + } + }, + "memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5329, 5323, 2219, 2217, 2215, 2213, 2211, 2209, 2207, 2205, 2203, 2201, 2197, 2195, 2193, 2191, 2189, 2187, 2185, 2183, 2181, 2179, 2233, 2231, 2229, 2227, 2225, 2223, 2221, 2199, 2177, 2175 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7660.23-7660.85" + } + }, + "memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 6763, 6762, 6761, 6760, 6771, 6770, 6769, 6768, 6767, 6766, 6765, 6764, 6759, 6758 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7663.23-7663.86" + } + }, + "memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7665.23-7665.83" + } + }, + "memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_valid": { + "hide_name": 0, + "bits": [ 6772 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7661.23-7661.76" + } + }, + "memory_ramBlocks_1_io_bus_cmd_ready": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7602.23-7602.58" + } + }, + "memory_ramBlocks_1_io_bus_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5329, 5323, 2219, 2217, 2215, 2213, 2211, 2209, 2207, 2205, 2203, 2201, 2197, 2195, 2193, 2191, 2189, 2187, 2185, 2183, 2181, 2179, 2233, 2231, 2229, 2227, 2225, 2223, 2221, 2199, 2177, 2175 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7604.23-7604.65" + } + }, + "memory_ramBlocks_1_io_bus_rsp_valid": { + "hide_name": 0, + "bits": [ 6621 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7603.23-7603.58" + } + }, + "muraxApb3Timer_1_._zz_10_": { + "hide_name": 0, + "bits": [ 6865 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ _zz_10_", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6406.23-6406.30" + } + }, + "muraxApb3Timer_1_._zz_1_": { + "hide_name": 0, + "bits": [ 6873, 638, 6872, 6871, 6870, 6869, 6880, 6879, 6878, 578, 6877, 6876, 6875, 6874, 6868, 6867 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ _zz_1_", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6419.23-6419.29" + } + }, + "muraxApb3Timer_1_._zz_1__TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 6866 ], + "attributes": { + } + }, + "muraxApb3Timer_1_._zz_7_": { + "hide_name": 0, + "bits": [ 7020 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ _zz_7_", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6403.23-6403.29" + } + }, + "muraxApb3Timer_1_._zz_8_": { + "hide_name": 0, + "bits": [ 6883 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ _zz_8_", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6404.23-6404.29" + } + }, + "muraxApb3Timer_1_._zz_9_": { + "hide_name": 0, + "bits": [ 7111 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ _zz_9_", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6405.23-6405.29" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.io_masks": { + "hide_name": 0, + "bits": [ 6354, 6356 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ interruptCtrl_1_ io_masks", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1130.23-1130.31|PQVexRiscvUlx3s.v:6460.17-6467.4" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ interruptCtrl_1_ mainClock", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1132.23-1132.32|PQVexRiscvUlx3s.v:6460.17-6467.4" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.pendings": { + "hide_name": 0, + "bits": [ 6353, 6355 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ interruptCtrl_1_ pendings", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1135.23-1135.31|PQVexRiscvUlx3s.v:6460.17-6467.4" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.pendings_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 6885 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1138.3-1144.6|PQVexRiscvUlx3s.v:6460.17-6467.4" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.pendings_TRELLIS_FF_Q_1_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6886 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.pendings_TRELLIS_FF_Q_1_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6887 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.pendings_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 6884 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1138.3-1144.6|PQVexRiscvUlx3s.v:6460.17-6467.4" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.pendings_TRELLIS_FF_Q_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6890 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.pendings_TRELLIS_FF_Q_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6891 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1_.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ interruptCtrl_1_ resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1133.23-1133.49|PQVexRiscvUlx3s.v:6460.17-6467.4" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver": { + "hide_name": 0, + "bits": [ 6354, 6356 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ interruptCtrl_1__io_masks_driver", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6433.23-6433.55" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 6892 ], + "attributes": { + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 6862, 6893 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C_1_Z": { + "hide_name": 0, + "bits": [ 621, 622, 623, 624, 619 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C_1_Z_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6896 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C_1_Z_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6897 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver_TRELLIS_FF_Q_CE_LUT4_Z_D_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 640, 641, 642 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.io_apb_PADDR": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ io_apb_PADDR", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6391.23-6391.35" + } + }, + "muraxApb3Timer_1_.io_apb_PENABLE": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ io_apb_PENABLE", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6393.23-6393.37" + } + }, + "muraxApb3Timer_1_.io_apb_PRDATA": { + "hide_name": 0, + "bits": [ 7326, 7327, 7328, 7329, 7330, 7331, 7332, 7333, 7334, 7335, 7336, 7337, 7338, 7339, 7340, 7341, 7342, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ io_apb_PRDATA", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6397.23-6397.36", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16" + } + }, + "muraxApb3Timer_1_.io_apb_PREADY": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ io_apb_PREADY", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6394.23-6394.36" + } + }, + "muraxApb3Timer_1_.io_apb_PSEL": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ io_apb_PSEL", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6392.23-6392.34" + } + }, + "muraxApb3Timer_1_.io_apb_PSEL_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 6953, 6954, 6955, 6862, 577 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.io_apb_PSEL_LUT4_B_Z_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 437, 6906, 646 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.io_apb_PSEL_LUT4_B_Z_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 397, 646 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.io_apb_PSEL_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6908 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "muraxApb3Timer_1_.io_apb_PSEL_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6909 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "muraxApb3Timer_1_.io_apb_PSLVERROR": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ io_apb_PSLVERROR", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6398.23-6398.39" + } + }, + "muraxApb3Timer_1_.io_apb_PWDATA": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ io_apb_PWDATA", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6396.23-6396.36" + } + }, + "muraxApb3Timer_1_.io_apb_PWRITE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ io_apb_PWRITE", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6395.23-6395.36" + } + }, + "muraxApb3Timer_1_.io_interrupt": { + "hide_name": 0, + "bits": [ 1448 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ io_interrupt", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6399.23-6399.35" + } + }, + "muraxApb3Timer_1_.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ mainClock", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6400.23-6400.32" + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter": { + "hide_name": 0, + "bits": [ 6946, 6947, 6926, 6927, 6921, 6922, 6916, 6917, 6910, 6911, 6942, 6943, 6937, 6938, 6931, 6932 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ prescaler_1_ counter", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1068.23-1068.30|PQVexRiscvUlx3s.v:6435.13-6441.4" + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_CCU2C_B0_4_COUT": { + "hide_name": 0, + "bits": [ 6946, 6928, 7931, 6923, 7932, 6918, 7933, 6912, 7934, 6913, 7935, 6939, 7936, 6933, 7937, 6934 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1072.17-1072.35|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:38.23-38.25", + "unused_bits": "2 4 6 8 10 12 14 15" + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_CCU2C_B0_COUT": { + "hide_name": 0, + "bits": [ "0", 6946, 6928, 7931, 6923, 7932, 6918, 7933, 6912, 7934, 6913, 7935, 6939, 7936, 6933, 7937 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1072.17-1072.35|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "3 5 7 9 11 13 15" + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 6948, 6949, 6929, 6930, 6924, 6925, 6919, 6920, 6914, 6915, 6944, 6945, 6940, 6941, 6935, 6936 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1072.17-1072.35|PQVexRiscvUlx3s.v:6435.13-6441.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:34.26-34.27" + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_LSR": { + "hide_name": 0, + "bits": [ 6950 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1073.9-1073.32|PQVexRiscvUlx3s.v:6435.13-6441.4" + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_LSR_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 6951 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "muraxApb3Timer_1_.prescaler_1_.counter_TRELLIS_FF_Q_LSR_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 6952 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "muraxApb3Timer_1_.prescaler_1_.io_limit": { + "hide_name": 0, + "bits": [ 6873, 638, 6872, 6871, 6870, 6869, 6880, 6879, 6878, 578, 6877, 6876, 6875, 6874, 6868, 6867 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ prescaler_1_ io_limit", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1063.23-1063.31|PQVexRiscvUlx3s.v:6435.13-6441.4" + } + }, + "muraxApb3Timer_1_.prescaler_1_.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ prescaler_1_ mainClock", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1065.23-1065.32|PQVexRiscvUlx3s.v:6435.13-6441.4" + } + }, + "muraxApb3Timer_1_.prescaler_1_.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ prescaler_1_ resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1066.23-1066.49|PQVexRiscvUlx3s.v:6435.13-6441.4" + } + }, + "muraxApb3Timer_1_.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6401.23-6401.49" + } + }, + "muraxApb3Timer_1_.timerA._zz_1_": { + "hide_name": 0, + "bits": [ 6956 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerA _zz_1_", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1090.23-1090.29|PQVexRiscvUlx3s.v:6442.9-6450.4" + } + }, + "muraxApb3Timer_1_.timerA._zz_1__CCU2C_A0_COUT": { + "hide_name": 0, + "bits": [ "0", 7938, 6958, 7939, 6973, 7940, 6968, 7941, 6962, 7942, 6963, 7943, 6988, 7944, 6982, 7945 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "1 3 5 7 9 11 13 15" + } + }, + "muraxApb3Timer_1_.timerA._zz_1__CCU2C_A0_S0": { + "hide_name": 0, + "bits": [ 6959, 6960, 6978, 6979, 6974, 6975, 6969, 6970, 6964, 6965, 6993, 6994, 6989, 6990, 6984, 6985 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:34.26-34.27" + } + }, + "muraxApb3Timer_1_.timerA._zz_1__CCU2C_A0_S0_CCU2C_S0_4_COUT": { + "hide_name": 0, + "bits": [ 7938, 6958, 7939, 6973, 7940, 6968, 7941, 6962, 7942, 6963, 7943, 6988, 7944, 6982, 7945, 6983 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6442.9-6450.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:38.23-38.25", + "unused_bits": "0 2 4 6 8 10 12 14 15" + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C": { + "hide_name": 0, + "bits": [ 6992, 6995, 6996, 6997 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 7000, 7001, 7002, 7003 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_1_A_LUT4_Z_3_D": { + "hide_name": 0, + "bits": [ 6980, 7009, 7010 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 6902, 634, 6998, 6999 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 7015 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 7016 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "muraxApb3Timer_1_.timerA._zz_1__LUT4_Z_C_LUT4_Z_C_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 6972, 7013, 7018, 6976, 7017 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerA._zz_2_": { + "hide_name": 0, + "bits": [ 6956, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerA _zz_2_", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1091.23-1091.29|PQVexRiscvUlx3s.v:6442.9-6450.4" + } + }, + "muraxApb3Timer_1_.timerA.counter": { + "hide_name": 0, + "bits": [ 6957, 6902, 6976, 6977, 6971, 6972, 6966, 6967, 6961, 583, 6991, 6992, 6986, 6987, 6980, 6981 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerA counter", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1092.23-1092.30|PQVexRiscvUlx3s.v:6442.9-6450.4" + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull": { + "hide_name": 0, + "bits": [ 7021 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerA inhibitFull", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1094.23-1094.34|PQVexRiscvUlx3s.v:6442.9-6450.4" + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 6881, 633, 6862, 6882, 6956 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 439, 7024, 7025 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_Z_C_LUT4_C_1_Z": { + "hide_name": 0, + "bits": [ 586, 7096, 7072, 589 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_Z_C_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 633, 7018, 6976, 582, 7029 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_B_Z_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 7025, 616, 439 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 6889, 545, 6862, 6353, 6888 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 7030 ], + "attributes": { + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 7031 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1101.3-1112.6|PQVexRiscvUlx3s.v:6442.9-6450.4" + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_TRELLIS_FF_Q_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 7032 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "muraxApb3Timer_1_.timerA.inhibitFull_TRELLIS_FF_Q_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 7033 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "muraxApb3Timer_1_.timerA.io_clear": { + "hide_name": 0, + "bits": [ 6883 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerA io_clear", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1083.23-1083.31|PQVexRiscvUlx3s.v:6442.9-6450.4" + } + }, + "muraxApb3Timer_1_.timerA.io_limit": { + "hide_name": 0, + "bits": [ 6894, 634, 7018, 7007, 7005, 7013, 7008, 7011, 7019, 585, 7004, 6995, 7006, 7012, 7009, 7014 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerA io_limit", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1084.23-1084.31|PQVexRiscvUlx3s.v:6442.9-6450.4" + } + }, + "muraxApb3Timer_1_.timerA.io_tick": { + "hide_name": 0, + "bits": [ 7020 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerA io_tick", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1082.23-1082.30|PQVexRiscvUlx3s.v:6442.9-6450.4" + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 7034 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 7035 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 6953, 6954, 635, 6955, 6895 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 7036, 7037, 7038, 7039 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_2_C": { + "hide_name": 0, + "bits": [ 6947, 638, 7040, 7041 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_2_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 7042 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_2_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 7043 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "muraxApb3Timer_1_.timerA.io_tick_PFUMX_Z_BLUT_LUT4_Z_A_LUT4_Z_2_C_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 6922, 6869, 6872, 6926, 7044 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerA.io_value": { + "hide_name": 0, + "bits": [ 6957, 6902, 6976, 6977, 6971, 6972, 6966, 6967, 6961, 583, 6991, 6992, 6986, 6987, 6980, 6981 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerA io_value", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1086.23-1086.31|PQVexRiscvUlx3s.v:6442.9-6450.4" + } + }, + "muraxApb3Timer_1_.timerA.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerA mainClock", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1087.23-1087.32|PQVexRiscvUlx3s.v:6442.9-6450.4" + } + }, + "muraxApb3Timer_1_.timerA.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerA resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1088.23-1088.49|PQVexRiscvUlx3s.v:6442.9-6450.4" + } + }, + "muraxApb3Timer_1_.timerABridge_clearsEnable": { + "hide_name": 0, + "bits": [ 7022 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerABridge_clearsEnable", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6422.23-6422.48" + } + }, + "muraxApb3Timer_1_.timerABridge_clearsEnable_LUT4_B_A": { + "hide_name": 0, + "bits": [ 636, 7022, 6864, 6899, 619 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerABridge_clearsEnable_LUT4_B_D": { + "hide_name": 0, + "bits": [ 6899, 6900, 586, 6901, 6898 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerABridge_clearsEnable_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 7045 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "muraxApb3Timer_1_.timerABridge_clearsEnable_LUT4_B_Z_PFUMX_ALUT_BLUT": { + "hide_name": 0, + "bits": [ 7046 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "muraxApb3Timer_1_.timerABridge_clearsEnable_LUT4_B_Z_PFUMX_ALUT_Z": { + "hide_name": 0, + "bits": [ 605, 7136, 7047, 7048 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerABridge_ticksEnable": { + "hide_name": 0, + "bits": [ 6895, 635 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerABridge_ticksEnable", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6421.23-6421.47" + } + }, + "muraxApb3Timer_1_.timerABridge_ticksEnable_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 7049 ], + "attributes": { + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver": { + "hide_name": 0, + "bits": [ 6894, 634, 7018, 7007, 7005, 7013, 7008, 7011, 7019, 585, 7004, 6995, 7006, 7012, 7009, 7014 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerA_io_limit_driver", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6424.23-6424.45" + } + }, + "muraxApb3Timer_1_.timerA_io_limit_driver_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 7023 ], + "attributes": { + } + }, + "muraxApb3Timer_1_.timerA_io_value": { + "hide_name": 0, + "bits": [ 6957, 6902, 6976, 6977, 6971, 6972, 6966, 6967, 6961, 583, 6991, 6992, 6986, 6987, 6980, 6981 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerA_io_value", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6411.23-6411.38" + } + }, + "muraxApb3Timer_1_.timerB._zz_1_": { + "hide_name": 0, + "bits": [ 7050 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerB _zz_1_", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1090.23-1090.29|PQVexRiscvUlx3s.v:6451.9-6459.4" + } + }, + "muraxApb3Timer_1_.timerB._zz_1__CCU2C_A0_COUT": { + "hide_name": 0, + "bits": [ "0", 7946, 7051, 7947, 7066, 7948, 7061, 7949, 7055, 7950, 7056, 7951, 7080, 7952, 7074, 7953 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:63.22-63.23", + "unused_bits": "1 3 5 7 9 11 13 15" + } + }, + "muraxApb3Timer_1_.timerB._zz_1__CCU2C_A0_S0": { + "hide_name": 0, + "bits": [ 7052, 7053, 7070, 7071, 7067, 7068, 7062, 7063, 7057, 7058, 7085, 7086, 7081, 7082, 7076, 7077 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:34.26-34.27" + } + }, + "muraxApb3Timer_1_.timerB._zz_1__CCU2C_A0_S0_CCU2C_S0_4_COUT": { + "hide_name": 0, + "bits": [ 7946, 7051, 7947, 7066, 7948, 7061, 7949, 7055, 7950, 7056, 7951, 7080, 7952, 7074, 7953, 7075 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1116.19-1116.35|PQVexRiscvUlx3s.v:6451.9-6459.4|/usr/local/bin/../share/yosys/ecp5/arith_map.v:38.23-38.25", + "unused_bits": "0 2 4 6 8 10 12 14 15" + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B": { + "hide_name": 0, + "bits": [ 7087, 7088, 7089 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_1_A": { + "hide_name": 0, + "bits": [ 7091, 7092, 7093, 7094 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_2_C": { + "hide_name": 0, + "bits": [ 6903, 6905, 7103, 7104 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_2_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 7107 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_2_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 7108 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "muraxApb3Timer_1_.timerB._zz_1__LUT4_Z_B_LUT4_Z_2_C_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 7065, 7105, 7027, 7028, 7109 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerB._zz_2_": { + "hide_name": 0, + "bits": [ 7050, "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerB _zz_2_", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1091.23-1091.29|PQVexRiscvUlx3s.v:6451.9-6459.4" + } + }, + "muraxApb3Timer_1_.timerB.counter": { + "hide_name": 0, + "bits": [ 7026, 6903, 7028, 7069, 7064, 7065, 7059, 7060, 7054, 588, 7083, 7084, 7078, 7079, 7072, 7073 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerB counter", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1092.23-1092.30|PQVexRiscvUlx3s.v:6451.9-6459.4" + } + }, + "muraxApb3Timer_1_.timerB.inhibitFull": { + "hide_name": 0, + "bits": [ 7112 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerB inhibitFull", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1094.23-1094.34|PQVexRiscvUlx3s.v:6451.9-6459.4" + } + }, + "muraxApb3Timer_1_.timerB.inhibitFull_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 6861, 6862, 6863, 6864, 7050 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "muraxApb3Timer_1_.timerB.inhibitFull_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 7113 ], + "attributes": { + } + }, + "muraxApb3Timer_1_.timerB.inhibitFull_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 7114 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1101.3-1112.6|PQVexRiscvUlx3s.v:6451.9-6459.4" + } + }, + "muraxApb3Timer_1_.timerB.inhibitFull_TRELLIS_FF_Q_DI_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 7115 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "muraxApb3Timer_1_.timerB.inhibitFull_TRELLIS_FF_Q_DI_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 7116 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "muraxApb3Timer_1_.timerB.io_clear": { + "hide_name": 0, + "bits": [ 6865 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerB io_clear", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1083.23-1083.31|PQVexRiscvUlx3s.v:6451.9-6459.4" + } + }, + "muraxApb3Timer_1_.timerB.io_limit": { + "hide_name": 0, + "bits": [ 6901, 6905, 7027, 7101, 7099, 7105, 7102, 7097, 7110, 587, 7090, 7095, 7100, 7098, 7096, 7106 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerB io_limit", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1084.23-1084.31|PQVexRiscvUlx3s.v:6451.9-6459.4" + } + }, + "muraxApb3Timer_1_.timerB.io_tick": { + "hide_name": 0, + "bits": [ 7111 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerB io_tick", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1082.23-1082.30|PQVexRiscvUlx3s.v:6451.9-6459.4" + } + }, + "muraxApb3Timer_1_.timerB.io_tick_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 7117 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "muraxApb3Timer_1_.timerB.io_tick_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 7118 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "muraxApb3Timer_1_.timerB.io_value": { + "hide_name": 0, + "bits": [ 7026, 6903, 7028, 7069, 7064, 7065, 7059, 7060, 7054, 588, 7083, 7084, 7078, 7079, 7072, 7073 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerB io_value", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1086.23-1086.31|PQVexRiscvUlx3s.v:6451.9-6459.4" + } + }, + "muraxApb3Timer_1_.timerB.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerB mainClock", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1087.23-1087.32|PQVexRiscvUlx3s.v:6451.9-6459.4" + } + }, + "muraxApb3Timer_1_.timerB.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerB resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:1088.23-1088.49|PQVexRiscvUlx3s.v:6451.9-6459.4" + } + }, + "muraxApb3Timer_1_.timerBBridge_clearsEnable": { + "hide_name": 0, + "bits": [ 6864 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerBBridge_clearsEnable", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6428.23-6428.48" + } + }, + "muraxApb3Timer_1_.timerBBridge_ticksEnable": { + "hide_name": 0, + "bits": [ 6900, 6904 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerBBridge_ticksEnable", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6427.23-6427.47" + } + }, + "muraxApb3Timer_1_.timerBBridge_ticksEnable_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 7119 ], + "attributes": { + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver": { + "hide_name": 0, + "bits": [ 6901, 6905, 7027, 7101, 7099, 7105, 7102, 7097, 7110, 587, 7090, 7095, 7100, 7098, 7096, 7106 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerB_io_limit_driver", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6430.23-6430.45" + } + }, + "muraxApb3Timer_1_.timerB_io_limit_driver_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 7120 ], + "attributes": { + } + }, + "muraxApb3Timer_1_.timerB_io_value": { + "hide_name": 0, + "bits": [ 7026, 6903, 7028, 7069, 7064, 7065, 7059, 7060, 7054, 588, 7083, 7084, 7078, 7079, 7072, 7073 ], + "attributes": { + "hdlname": "muraxApb3Timer_1_ timerB_io_value", + "src": "PQVexRiscvUlx3s.v:7835.18-7847.4|PQVexRiscvUlx3s.v:6413.23-6413.38" + } + }, + "muraxApb3Timer_1__io_apb_PRDATA": { + "hide_name": 0, + "bits": [ 7326, 7327, 7328, 7329, 7330, 7331, 7332, 7333, 7334, 7335, 7336, 7337, 7338, 7339, 7340, 7341, 7342 ], + "attributes": { + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16" + } + }, + "muraxApb3Timer_1__io_apb_PREADY": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7566.23-7566.54" + } + }, + "muraxApb3Timer_1__io_apb_PSLVERROR": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7568.23-7568.57" + } + }, + "muraxApb3Timer_1__io_interrupt": { + "hide_name": 0, + "bits": [ 1448 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7569.23-7569.53" + } + }, + "myMem_1_.io_bus_PADDR": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407 ], + "attributes": { + "hdlname": "myMem_1_ io_bus_PADDR", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6797.23-6797.35" + } + }, + "myMem_1_.io_bus_PENABLE": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "myMem_1_ io_bus_PENABLE", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6799.23-6799.37" + } + }, + "myMem_1_.io_bus_PREADY": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "myMem_1_ io_bus_PREADY", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6800.23-6800.36" + } + }, + "myMem_1_.io_bus_PSEL": { + "hide_name": 0, + "bits": [ 540 ], + "attributes": { + "hdlname": "myMem_1_ io_bus_PSEL", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6798.23-6798.34" + } + }, + "myMem_1_.io_bus_PSEL_LUT4_A_B": { + "hide_name": 0, + "bits": [ 540, 7121, 397, 944 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "myMem_1_.io_bus_PSEL_LUT4_A_Z": { + "hide_name": 0, + "bits": [ 7122 ], + "attributes": { + } + }, + "myMem_1_.io_bus_PSEL_LUT4_Z_D": { + "hide_name": 0, + "bits": [ 577, 7124, 7123, 541 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "myMem_1_.io_bus_PSEL_LUT4_Z_D_LUT4_B_Z": { + "hide_name": 0, + "bits": [ 605, 7150, 977, 6907, 7126 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "myMem_1_.io_bus_PSEL_LUT4_Z_D_LUT4_B_Z_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 6872, 577, 7125, 619 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "myMem_1_.io_bus_PSEL_LUT4_Z_D_LUT4_B_Z_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 7127 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "myMem_1_.io_bus_PSEL_LUT4_Z_D_LUT4_B_Z_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 7128 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "myMem_1_.io_bus_PSLVERROR": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "myMem_1_ io_bus_PSLVERROR", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6804.23-6804.39" + } + }, + "myMem_1_.io_bus_PWDATA": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "myMem_1_ io_bus_PWDATA", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6802.23-6802.36" + } + }, + "myMem_1_.io_bus_PWRITE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "myMem_1_ io_bus_PWRITE", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6801.23-6801.36" + } + }, + "myMem_1_.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "myMem_1_ mainClock", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6805.23-6805.32" + } + }, + "myMem_1_.myReg": { + "hide_name": 0, + "bits": [ 7152, 631, 7150, 7149, 7148, 7147, 7146, 7145, 7144, 592, 7143, 7142, 7140, 7139, 7138, 7137, 7136, 7135, 7134, 7133, 7132, 7131, 7158, 7157, 7156, 7155, 7154, 7153, 7151, 7141, 7130, 7129 ], + "attributes": { + "hdlname": "myMem_1_ myReg", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6808.23-6808.28" + } + }, + "myMem_1_.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "myMem_1_ resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7848.9-7859.4|PQVexRiscvUlx3s.v:6806.23-6806.49" + } + }, + "myMem_1__io_bus_PREADY": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7570.23-7570.45" + } + }, + "myMem_1__io_bus_PSLVERROR": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7572.23-7572.48" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PADDR": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ io_apb_PADDR", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5897.23-5897.35" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PENABLE": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ io_apb_PENABLE", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5899.23-5899.37" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA": { + "hide_name": 0, + "bits": [ 7218, 7248, 7245, 7216, 7238, 7211, 7205, 7201, 7193, 7191, 7187, 7183, 7179, 7175, 7171, 7167, 7164, 7163, 7162, 7161, 7228, 7227, 7226, 7225, 7234, 7224, 7221, 7231, 7219, 7190, 7160, 7159 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ io_apb_PRDATA", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5903.23-5903.36" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_14_B": { + "hide_name": 0, + "bits": [ 7165, 619, 7166 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_14_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 577, 6867, 7168, 7169 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_15_C": { + "hide_name": 0, + "bits": [ 605, 7138, 7170, 619 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_15_C_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 577, 6868, 7172, 7173 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_16_C": { + "hide_name": 0, + "bits": [ 605, 7139, 7174, 619 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_16_C_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 577, 6874, 7176, 7177 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_17_A": { + "hide_name": 0, + "bits": [ 7178, 619, 605, 7140 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_17_A_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 586, 7100, 7180, 7181 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_18_A": { + "hide_name": 0, + "bits": [ 7182, 619, 605, 7142 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_18_A_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 6881, 6992, 7184, 7185 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_19_C": { + "hide_name": 0, + "bits": [ 605, 7143, 7186, 619 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_19_C_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 577, 6877, 7188, 7189 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_21_C": { + "hide_name": 0, + "bits": [ 605, 7144, 7192, 602 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_21_C_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 6878, 577, 7194, 619 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_21_C_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 7195 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_21_C_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 7196 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_22_A": { + "hide_name": 0, + "bits": [ 7198, 7199, 619, 7200 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_22_A_LUT4_Z_1_D": { + "hide_name": 0, + "bits": [ 577, 6879, 7202 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_23_B": { + "hide_name": 0, + "bits": [ 7203, 619, 7204 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_23_B_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 577, 6880, 7206, 7207 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_24_A": { + "hide_name": 0, + "bits": [ 7208, 7209, 619, 7210 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_24_A_LUT4_Z_1_D": { + "hide_name": 0, + "bits": [ 577, 6869, 7212 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_25_A": { + "hide_name": 0, + "bits": [ 7213, 7214, 619, 7215 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_25_A_LUT4_Z_1_D": { + "hide_name": 0, + "bits": [ 577, 6871, 7217 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_25_A_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 584, 7019, 7110, 586, 7197 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_4_D": { + "hide_name": 0, + "bits": [ 7154, 605, 7220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_4_D_LUT4_Z_A": { + "hide_name": 0, + "bits": [ 7222, 934, 935, 575 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_LUT4_Z_5_C": { + "hide_name": 0, + "bits": [ 605, 7155, 7223, 575 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_1_ALUT": { + "hide_name": 0, + "bits": [ 7232 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_1_BLUT": { + "hide_name": 0, + "bits": [ 7233 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_2_ALUT": { + "hide_name": 0, + "bits": [ 7235 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_2_BLUT": { + "hide_name": 0, + "bits": [ 7236 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_2_C0": { + "hide_name": 0, + "bits": [ 605, 7148, 973, 6907, 7237 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_2_C0_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 6870, 577, 7239, 619 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_2_C0_LUT4_Z_C_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 7240 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_2_C0_LUT4_Z_C_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 7241 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_2_C0_LUT4_Z_C_PFUMX_Z_C0": { + "hide_name": 0, + "bits": [ 633, 7005, 6971, 582, 7242 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_3_ALUT": { + "hide_name": 0, + "bits": [ 7243 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_3_BLUT": { + "hide_name": 0, + "bits": [ 7244 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_4_ALUT": { + "hide_name": 0, + "bits": [ 7246 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_4_BLUT": { + "hide_name": 0, + "bits": [ 7247 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_ALUT": { + "hide_name": 0, + "bits": [ 7229 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_ALUT_LUT4_Z_C": { + "hide_name": 0, + "bits": [ 605, 7153, 7249, 933, 575 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PRDATA_PFUMX_Z_BLUT": { + "hide_name": 0, + "bits": [ 7230 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PREADY": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ io_apb_PREADY", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5900.23-5900.36" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PWDATA": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ io_apb_PWDATA", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5902.23-5902.36" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_apb_PWRITE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ io_apb_PWRITE", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5901.23-5901.36" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_pipelinedMemoryBus_cmd_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407, 405, 403, 461, 459, 457, 455, 453, 451, 445, 423, 401, 399 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ io_pipelinedMemoryBus_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5892.23-5892.64" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_pipelinedMemoryBus_cmd_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ io_pipelinedMemoryBus_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5893.23-5893.61" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_pipelinedMemoryBus_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ io_pipelinedMemoryBus_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5894.23-5894.61" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_pipelinedMemoryBus_cmd_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ io_pipelinedMemoryBus_cmd_payload_write", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5891.23-5891.62" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_pipelinedMemoryBus_cmd_ready": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ io_pipelinedMemoryBus_cmd_ready", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5890.23-5890.54" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_pipelinedMemoryBus_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5331, 5325, 5315, 5311, 5307, 5303, 5299, 5295, 5291, 5287, 5283, 5279, 5271, 5267, 5263, 5259, 5255, 5251, 5247, 5243, 5239, 5234, 5356, 5352, 5348, 5344, 5340, 5336, 5332, 5316, 5272, 5357 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ io_pipelinedMemoryBus_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5896.23-5896.61" + } + }, + "pipelinedMemoryBusToApbBridge_1_.io_pipelinedMemoryBus_rsp_valid": { + "hide_name": 0, + "bits": [ 7251 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ io_pipelinedMemoryBus_rsp_valid", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5895.23-5895.54" + } + }, + "pipelinedMemoryBusToApbBridge_1_.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ mainClock", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5905.23-5905.32" + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_cmd_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407, 405, 403, 461, 459, 457, 455, 453, 451, 445, 423, 401, 399 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ pipelinedMemoryBusStage_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5912.23-5912.66" + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_cmd_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ pipelinedMemoryBusStage_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5913.23-5913.63" + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ pipelinedMemoryBusStage_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5914.23-5914.63" + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_cmd_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ pipelinedMemoryBusStage_cmd_payload_write", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5911.23-5911.64" + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_cmd_ready": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ pipelinedMemoryBusStage_cmd_ready", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5910.23-5910.56" + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_payload_data": { + "hide_name": 0, + "bits": [ 7218, 7248, 7245, 7216, 7238, 7211, 7205, 7201, 7193, 7191, 7187, 7183, 7179, 7175, 7171, 7167, 7164, 7163, 7162, 7161, 7228, 7227, 7226, 7225, 7234, 7224, 7221, 7231, 7219, 7190, 7160, 7159 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ pipelinedMemoryBusStage_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5916.23-5916.63" + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_payload_data": { + "hide_name": 0, + "bits": [ 5331, 5325, 5315, 5311, 5307, 5303, 5299, 5295, 5291, 5287, 5283, 5279, 5271, 5267, 5263, 5259, 5255, 5251, 5247, 5243, 5239, 5234, 5356, 5352, 5348, 5344, 5340, 5336, 5332, 5316, 5272, 5357 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ pipelinedMemoryBusStage_rsp_regNext_payload_data", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5918.23-5918.71" + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_valid": { + "hide_name": 0, + "bits": [ 7251 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ pipelinedMemoryBusStage_rsp_regNext_valid", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5917.23-5917.64" + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_valid_PFUMX_C0_ALUT": { + "hide_name": 0, + "bits": [ 7250 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.22-134.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_valid_PFUMX_C0_BLUT": { + "hide_name": 0, + "bits": [ 6626 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:134.18-134.20" + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_regNext_valid_PFUMX_C0_Z": { + "hide_name": 0, + "bits": [ 5226, 5235, 5602, 5603 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "pipelinedMemoryBusToApbBridge_1_.pipelinedMemoryBusStage_rsp_valid": { + "hide_name": 0, + "bits": [ 7252 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ pipelinedMemoryBusStage_rsp_valid", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5915.23-5915.56" + } + }, + "pipelinedMemoryBusToApbBridge_1_.resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ resetCtrl_systemClockReset", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5906.23-5906.49" + } + }, + "pipelinedMemoryBusToApbBridge_1_.state": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1_ state", + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5919.23-5919.28" + } + }, + "pipelinedMemoryBusToApbBridge_1_.state_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 7253 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7801.33-7820.4|PQVexRiscvUlx3s.v:5954.3-5968.6" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_apb_PADDR": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7557.23-7557.68" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_apb_PENABLE": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7559.23-7559.70" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_apb_PWDATA": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7561.23-7561.69" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_apb_PWRITE": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7560.23-7560.69" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter.io_inputs_0_cmd_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407, 405, 403, 461, 459, 457, 455, 453, 451, 445, 423, 401, 399 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter io_inputs_0_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:8002.29-8019.4|PQVexRiscvUlx3s.v:7328.23-7328.54" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter.io_inputs_0_cmd_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter io_inputs_0_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:8002.29-8019.4|PQVexRiscvUlx3s.v:7329.23-7329.51" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter.io_inputs_0_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter io_inputs_0_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:8002.29-8019.4|PQVexRiscvUlx3s.v:7330.23-7330.51" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter.io_inputs_0_cmd_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter io_inputs_0_cmd_payload_write", + "src": "PQVexRiscvUlx3s.v:8002.29-8019.4|PQVexRiscvUlx3s.v:7327.23-7327.52" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter.io_inputs_0_cmd_ready": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter io_inputs_0_cmd_ready", + "src": "PQVexRiscvUlx3s.v:8002.29-8019.4|PQVexRiscvUlx3s.v:7326.23-7326.44" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter.io_inputs_0_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5331, 5325, 5315, 5311, 5307, 5303, 5299, 5295, 5291, 5287, 5283, 5279, 5271, 5267, 5263, 5259, 5255, 5251, 5247, 5243, 5239, 5234, 5356, 5352, 5348, 5344, 5340, 5336, 5332, 5316, 5272, 5357 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter io_inputs_0_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:8002.29-8019.4|PQVexRiscvUlx3s.v:7332.23-7332.51" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter.io_inputs_0_rsp_valid": { + "hide_name": 0, + "bits": [ 7251 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter io_inputs_0_rsp_valid", + "src": "PQVexRiscvUlx3s.v:8002.29-8019.4|PQVexRiscvUlx3s.v:7331.23-7331.44" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter.io_output_cmd_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407, 405, 403, 461, 459, 457, 455, 453, 451, 445, 423, 401, 399 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter io_output_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:8002.29-8019.4|PQVexRiscvUlx3s.v:7336.23-7336.52" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter.io_output_cmd_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter io_output_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:8002.29-8019.4|PQVexRiscvUlx3s.v:7337.23-7337.49" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter.io_output_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter io_output_cmd_payload_mask", + "src": "PQVexRiscvUlx3s.v:8002.29-8019.4|PQVexRiscvUlx3s.v:7338.23-7338.49" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter.io_output_cmd_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter io_output_cmd_payload_write", + "src": "PQVexRiscvUlx3s.v:8002.29-8019.4|PQVexRiscvUlx3s.v:7335.23-7335.50" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter.io_output_cmd_ready": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter io_output_cmd_ready", + "src": "PQVexRiscvUlx3s.v:8002.29-8019.4|PQVexRiscvUlx3s.v:7334.23-7334.42" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter.io_output_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5331, 5325, 5315, 5311, 5307, 5303, 5299, 5295, 5291, 5287, 5283, 5279, 5271, 5267, 5263, 5259, 5255, 5251, 5247, 5243, 5239, 5234, 5356, 5352, 5348, 5344, 5340, 5336, 5332, 5316, 5272, 5357 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter io_output_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:8002.29-8019.4|PQVexRiscvUlx3s.v:7340.23-7340.49" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter.io_output_rsp_valid": { + "hide_name": 0, + "bits": [ 7251 ], + "attributes": { + "hdlname": "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter io_output_rsp_valid", + "src": "PQVexRiscvUlx3s.v:8002.29-8019.4|PQVexRiscvUlx3s.v:7339.23-7339.42" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_cmd_ready": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7636.23-7636.107" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5331, 5325, 5315, 5311, 5307, 5303, 5299, 5295, 5291, 5287, 5283, 5279, 5271, 5267, 5263, 5259, 5255, 5251, 5247, 5243, 5239, 5234, 5356, 5352, 5348, 5344, 5340, 5336, 5332, 5316, 5272, 5357 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7638.23-7638.114" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_rsp_valid": { + "hide_name": 0, + "bits": [ 7251 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7637.23-7637.107" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_address": { + "hide_name": 0, + "bits": [ 449, 447, 443, 441, 439, 437, 435, 433, 431, 429, 427, 425, 421, 419, 417, 415, 413, 411, 409, 407, 405, 403, 461, 459, 457, 455, 453, 451, 445, 423, 401, 399 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7641.23-7641.115" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_data": { + "hide_name": 0, + "bits": [ 545, 547, 501, 499, 497, 495, 493, 491, 489, 549, 551, 553, 485, 483, 481, 479, 477, 475, 473, 471, 469, 467, 515, 513, 511, 509, 507, 505, 503, 487, 465, 463 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7642.23-7642.112" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_mask": { + "hide_name": 0, + "bits": [ 523, 521, 519, 517 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7643.23-7643.112" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_write": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7640.23-7640.113" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_cmd_ready": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7554.23-7554.87" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_rsp_payload_data": { + "hide_name": 0, + "bits": [ 5331, 5325, 5315, 5311, 5307, 5303, 5299, 5295, 5291, 5287, 5283, 5279, 5271, 5267, 5263, 5259, 5255, 5251, 5247, 5243, 5239, 5234, 5356, 5352, 5348, 5344, 5340, 5336, 5332, 5316, 5272, 5357 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7556.23-7556.94" + } + }, + "pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_rsp_valid": { + "hide_name": 0, + "bits": [ 7251 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7555.23-7555.87" + } + }, + "resetCtrl_bufferedReset": { + "hide_name": 0, + "bits": [ 999 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7670.23-7670.46" + } + }, + "resetCtrl_mainClockReset": { + "hide_name": 0, + "bits": [ 525 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7671.23-7671.47" + } + }, + "resetCtrl_systemClockReset": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7672.23-7672.49" + } + }, + "systemDebugger_1_._zz_1_": { + "hide_name": 0, + "bits": [ "x", "x", 2719, 5362, 5366, 5367, 5359, 5358, 7299, 7298, 7297, 7296, 7295, 7294, 7293, 7292, 7291, 7290, 7289, 7288, 7287, 7286, 7285, 7284, 7283, 7282, 7281, 7280, 7279, 7278, 7277, 7276, 1774, 1736, 1732, 1730, 1728, 1726, 1724, 1722, 1720, 1718, 1716, 1714, 1710, 1708, 1706, 1704, 1702, 1683, 1699, 1697, 1695, 1693, 1747, 1745, 1743, 1684, 1740, 1738, 1734, 1712, 1691, 1689, 5360, 5368, 7275 ], + "attributes": { + "hdlname": "systemDebugger_1_ _zz_1_", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5834.23-5834.29" + } + }, + "systemDebugger_1_._zz_3_": { + "hide_name": 0, + "bits": [ 5360 ], + "attributes": { + "hdlname": "systemDebugger_1_ _zz_3_", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5827.23-5827.29" + } + }, + "systemDebugger_1_.dispatcher_counter": { + "hide_name": 0, + "bits": [ 7259, 7258, 7256 ], + "attributes": { + "hdlname": "systemDebugger_1_ dispatcher_counter", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5833.23-5833.41" + } + }, + "systemDebugger_1_.dispatcher_counter_TRELLIS_FF_Q_1_DI": { + "hide_name": 0, + "bits": [ 7257 ], + "attributes": { + } + }, + "systemDebugger_1_.dispatcher_counter_TRELLIS_FF_Q_2_DI": { + "hide_name": 0, + "bits": [ 7260 ], + "attributes": { + } + }, + "systemDebugger_1_.dispatcher_counter_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 7254 ], + "attributes": { + } + }, + "systemDebugger_1_.dispatcher_counter_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 7255 ], + "attributes": { + } + }, + "systemDebugger_1_.dispatcher_dataLoaded": { + "hide_name": 0, + "bits": [ 7264 ], + "attributes": { + "hdlname": "systemDebugger_1_ dispatcher_dataLoaded", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5829.23-5829.44" + } + }, + "systemDebugger_1_.dispatcher_dataLoaded_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 7266, 7267, 7265, 7268 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "systemDebugger_1_.dispatcher_dataLoaded_LUT4_D_Z_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 527, 528, 529, 530 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "systemDebugger_1_.dispatcher_dataLoaded_LUT4_D_Z_LUT4_C_Z_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 2159, 2160, 1732, 2113, 2738 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "systemDebugger_1_.dispatcher_dataLoaded_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 7273 ], + "attributes": { + } + }, + "systemDebugger_1_.dispatcher_dataLoaded_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 7274 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5849.3-5873.6" + } + }, + "systemDebugger_1_.dispatcher_dataShifter": { + "hide_name": 0, + "bits": [ "x", "x", 2719, 5362, 5366, 5367, 5359, 5358, 7299, 7298, 7297, 7296, 7295, 7294, 7293, 7292, 7291, 7290, 7289, 7288, 7287, 7286, 7285, 7284, 7283, 7282, 7281, 7280, 7279, 7278, 7277, 7276, 1774, 1736, 1732, 1730, 1728, 1726, 1724, 1722, 1720, 1718, 1716, 1714, 1710, 1708, 1706, 1704, 1702, 1683, 1699, 1697, 1695, 1693, 1747, 1745, 1743, 1684, 1740, 1738, 1734, 1712, 1691, 1689, 5360, 5368, 7275 ], + "attributes": { + "hdlname": "systemDebugger_1_ dispatcher_dataShifter", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5828.23-5828.45" + } + }, + "systemDebugger_1_.dispatcher_header": { + "hide_name": 0, + "bits": [ 7266, 7267, 7269, 7270, 7271, 7272, 7262, 7263 ], + "attributes": { + "hdlname": "systemDebugger_1_ dispatcher_header", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5831.23-5831.40" + } + }, + "systemDebugger_1_.dispatcher_headerLoaded": { + "hide_name": 0, + "bits": [ 7261 ], + "attributes": { + "hdlname": "systemDebugger_1_ dispatcher_headerLoaded", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5832.23-5832.46" + } + }, + "systemDebugger_1_.dispatcher_headerLoaded_LUT4_C_Z": { + "hide_name": 0, + "bits": [ 7300 ], + "attributes": { + } + }, + "systemDebugger_1_.dispatcher_headerLoaded_LUT4_D_Z": { + "hide_name": 0, + "bits": [ 3726 ], + "attributes": { + } + }, + "systemDebugger_1_.dispatcher_headerLoaded_TRELLIS_FF_Q_CE": { + "hide_name": 0, + "bits": [ 7301 ], + "attributes": { + } + }, + "systemDebugger_1_.dispatcher_headerLoaded_TRELLIS_FF_Q_DI": { + "hide_name": 0, + "bits": [ 7302 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5849.3-5873.6" + } + }, + "systemDebugger_1_.dispatcher_headerLoaded_TRELLIS_FF_Q_DI_LUT4_Z_B": { + "hide_name": 0, + "bits": [ 7303, 7261, 524 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:109.23-109.24" + } + }, + "systemDebugger_1_.dispatcher_headerShifter": { + "hide_name": 0, + "bits": [ 7266, 7267, 7269, 7270, 7271, 7272, 7262, 7263 ], + "attributes": { + "hdlname": "systemDebugger_1_ dispatcher_headerShifter", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5830.23-5830.47" + } + }, + "systemDebugger_1_.io_mem_cmd_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 2719, 5362, 5366, 5367, 5359, 5358, 7299, 7298, 7297, 7296, 7295, 7294, 7293, 7292, 7291, 7290, 7289, 7288, 7287, 7286, 7285, 7284, 7283, 7282, 7281, 7280, 7279, 7278, 7277, 7276 ], + "attributes": { + "hdlname": "systemDebugger_1_ io_mem_cmd_payload_address", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5817.23-5817.49" + } + }, + "systemDebugger_1_.io_mem_cmd_payload_data": { + "hide_name": 0, + "bits": [ 1774, 1736, 1732, 1730, 1728, 1726, 1724, 1722, 1720, 1718, 1716, 1714, 1710, 1708, 1706, 1704, 1702, 1683, 1699, 1697, 1695, 1693, 1747, 1745, 1743, 1684, 1740, 1738, 1734, 1712, 1691, 1689 ], + "attributes": { + "hdlname": "systemDebugger_1_ io_mem_cmd_payload_data", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5818.23-5818.46" + } + }, + "systemDebugger_1_.io_mem_cmd_payload_size": { + "hide_name": 0, + "bits": [ 5368, 7275 ], + "attributes": { + "hdlname": "systemDebugger_1_ io_mem_cmd_payload_size", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5820.23-5820.46" + } + }, + "systemDebugger_1_.io_mem_cmd_payload_wr": { + "hide_name": 0, + "bits": [ 5360 ], + "attributes": { + "hdlname": "systemDebugger_1_ io_mem_cmd_payload_wr", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5819.23-5819.44" + } + }, + "systemDebugger_1_.io_mem_rsp_payload": { + "hide_name": 0, + "bits": [ 6461, 6460, 6459, 6462, 6458, 1634, 1630, 1626, 1622, 1618, 1614, 1610, 1606, 1602, 1596, 1592, 1588, 1584, 1580, 1576, 1572, 1568, 1564, 1560, 1676, 1672, 1668, 1664, 1660, 1656, 1644, 1600 ], + "attributes": { + "hdlname": "systemDebugger_1_ io_mem_rsp_payload", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5822.23-5822.41" + } + }, + "systemDebugger_1_.io_mem_rsp_valid": { + "hide_name": 0, + "bits": [ 526 ], + "attributes": { + "hdlname": "systemDebugger_1_ io_mem_rsp_valid", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5821.23-5821.39" + } + }, + "systemDebugger_1_.io_remote_cmd_payload_fragment": { + "hide_name": 0, + "bits": [ 6453 ], + "attributes": { + "hdlname": "systemDebugger_1_ io_remote_cmd_payload_fragment", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5810.23-5810.53" + } + }, + "systemDebugger_1_.io_remote_cmd_payload_last": { + "hide_name": 0, + "bits": [ 6454 ], + "attributes": { + "hdlname": "systemDebugger_1_ io_remote_cmd_payload_last", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5809.23-5809.49" + } + }, + "systemDebugger_1_.io_remote_cmd_valid": { + "hide_name": 0, + "bits": [ 6456 ], + "attributes": { + "hdlname": "systemDebugger_1_ io_remote_cmd_valid", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5807.23-5807.42" + } + }, + "systemDebugger_1_.io_remote_rsp_payload_data": { + "hide_name": 0, + "bits": [ 6461, 6460, 6459, 6462, 6458, 1634, 1630, 1626, 1622, 1618, 1614, 1610, 1606, 1602, 1596, 1592, 1588, 1584, 1580, 1576, 1572, 1568, 1564, 1560, 1676, 1672, 1668, 1664, 1660, 1656, 1644, 1600 ], + "attributes": { + "hdlname": "systemDebugger_1_ io_remote_rsp_payload_data", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5814.23-5814.49" + } + }, + "systemDebugger_1_.io_remote_rsp_payload_error": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "hdlname": "systemDebugger_1_ io_remote_rsp_payload_error", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5813.23-5813.50" + } + }, + "systemDebugger_1_.io_remote_rsp_ready": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "hdlname": "systemDebugger_1_ io_remote_rsp_ready", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5812.23-5812.42" + } + }, + "systemDebugger_1_.io_remote_rsp_valid": { + "hide_name": 0, + "bits": [ 526 ], + "attributes": { + "hdlname": "systemDebugger_1_ io_remote_rsp_valid", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5811.23-5811.42" + } + }, + "systemDebugger_1_.mainClock": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "hdlname": "systemDebugger_1_ mainClock", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5823.23-5823.32" + } + }, + "systemDebugger_1_.resetCtrl_mainClockReset": { + "hide_name": 0, + "bits": [ 525 ], + "attributes": { + "hdlname": "systemDebugger_1_ resetCtrl_mainClockReset", + "src": "PQVexRiscvUlx3s.v:7781.18-7800.4|PQVexRiscvUlx3s.v:5824.23-5824.47" + } + }, + "systemDebugger_1__io_mem_cmd_payload_address": { + "hide_name": 0, + "bits": [ "x", "x", 2719, 5362, 5366, 5367, 5359, 5358, 7299, 7298, 7297, 7296, 7295, 7294, 7293, 7292, 7291, 7290, 7289, 7288, 7287, 7286, 7285, 7284, 7283, 7282, 7281, 7280, 7279, 7278, 7277, 7276 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7550.23-7550.67" + } + }, + "systemDebugger_1__io_mem_cmd_payload_data": { + "hide_name": 0, + "bits": [ 1774, 1736, 1732, 1730, 1728, 1726, 1724, 1722, 1720, 1718, 1716, 1714, 1710, 1708, 1706, 1704, 1702, 1683, 1699, 1697, 1695, 1693, 1747, 1745, 1743, 1684, 1740, 1738, 1734, 1712, 1691, 1689 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7551.23-7551.64" + } + }, + "systemDebugger_1__io_mem_cmd_payload_size": { + "hide_name": 0, + "bits": [ 5368, 7275 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7553.23-7553.64" + } + }, + "systemDebugger_1__io_mem_cmd_payload_wr": { + "hide_name": 0, + "bits": [ 5360 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7552.23-7552.62" + } + }, + "systemDebugger_1__io_remote_rsp_payload_data": { + "hide_name": 0, + "bits": [ 6461, 6460, 6459, 6462, 6458, 1634, 1630, 1626, 1622, 1618, 1614, 1610, 1606, 1602, 1596, 1592, 1588, 1584, 1580, 1576, 1572, 1568, 1564, 1560, 1676, 1672, 1668, 1664, 1660, 1656, 1644, 1600 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7548.23-7548.67" + } + }, + "systemDebugger_1__io_remote_rsp_payload_error": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7547.23-7547.68" + } + }, + "systemDebugger_1__io_remote_rsp_valid": { + "hide_name": 0, + "bits": [ 526 ], + "attributes": { + "src": "PQVexRiscvUlx3s.v:7546.23-7546.60" + } + } + } + }, + "PUR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:681.1-685.10" + }, + "parameter_default_values": { + "RST_PULSE": "00000000000000000000000000000001" + }, + "ports": { + "PUR": { + "direction": "input", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "PUR": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:682.8-682.11" + } + } + } + }, + "SGSR": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:694.1-697.10" + }, + "ports": { + "GSR": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:695.13-695.16" + } + }, + "GSR": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:695.8-695.11" + } + } + } + }, + "TRELLIS_DPR16X4": { + "attributes": { + "abc9_box": "00000000000000000000000000000001", + "whitebox": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:190.1-231.10" + }, + "parameter_default_values": { + "INITVAL": "0000000000000000000000000000000000000000000000000000000000000000", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "ports": { + "DI": { + "direction": "input", + "bits": [ 2, 3, 4, 5 ] + }, + "WAD": { + "direction": "input", + "bits": [ 6, 7, 8, 9 ] + }, + "WRE": { + "direction": "input", + "bits": [ 10 ] + }, + "WCK": { + "direction": "input", + "bits": [ 11 ] + }, + "RAD": { + "direction": "input", + "bits": [ 12, 13, 14, 15 ] + }, + "DO": { + "direction": "output", + "bits": [ 16, 17, 18, 19 ] + } + }, + "cells": { + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1419": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110001011", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1420": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110001100", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1421": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110001101", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1422": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110001110", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1423": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110001111", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1424": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110010000", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "1", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1425": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110010001", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1426": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110010010", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "1", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1427": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110010011", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1428": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110010100", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "1", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1429": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110010101", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "1", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1430": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110010110", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "1", "1", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1431": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110010111", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "0", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1432": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110011000", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "1", "0", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1433": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110011001", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "0", "1", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$meminit$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1434": { + "hide_name": 1, + "type": "$meminit", + "parameters": { + "ABITS": "00000000000000000000000000100000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110011010", + "WIDTH": "00000000000000000000000000000100", + "WORDS": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "DATA": "input" + }, + "connections": { + "ADDR": [ "1", "1", "1", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "DATA": [ "0", "0", "0", "0" ] + } + }, + "$memrd$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:225$1418": { + "hide_name": 1, + "type": "$memrd", + "parameters": { + "ABITS": "00000000000000000000000000000100", + "CLK_ENABLE": "00000000000000000000000000000000", + "CLK_POLARITY": "00000000000000000000000000000000", + "MEMID": "\\mem", + "TRANSPARENT": "00000000000000000000000000000000", + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:225.14-225.17" + }, + "port_directions": { + "ADDR": "input", + "CLK": "input", + "DATA": "output", + "EN": "input" + }, + "connections": { + "ADDR": [ 12, 13, 14, 15 ], + "CLK": [ "x" ], + "DATA": [ 16, 17, 18, 19 ], + "EN": [ "x" ] + } + }, + "$memwr$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1435": { + "hide_name": 1, + "type": "$memwr", + "parameters": { + "ABITS": "00000000000000000000000000000100", + "CLK_ENABLE": "00000000000000000000000000000000", + "CLK_POLARITY": "00000000000000000000000000000000", + "MEMID": "\\mem", + "PRIORITY": "00000000000000000000010110011011", + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + }, + "port_directions": { + "ADDR": "input", + "CLK": "input", + "DATA": "input", + "EN": "input" + }, + "connections": { + "ADDR": [ 20, 21, 22, 23 ], + "CLK": [ "x" ], + "DATA": [ 24, 25, 26, 27 ], + "EN": [ 28, 29, 30, 31 ] + } + }, + "$procdff$3816": { + "hide_name": 1, + "type": "$dff", + "parameters": { + "CLK_POLARITY": "1", + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:221.2-223.19" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 11 ], + "D": [ 32, 33, 34, 35 ], + "Q": [ 20, 21, 22, 23 ] + } + }, + "$procdff$3817": { + "hide_name": 1, + "type": "$dff", + "parameters": { + "CLK_POLARITY": "1", + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:221.2-223.19" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 11 ], + "D": [ 36, 37, 38, 39 ], + "Q": [ 24, 25, 26, 27 ] + } + }, + "$procdff$3818": { + "hide_name": 1, + "type": "$dff", + "parameters": { + "CLK_POLARITY": "1", + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:221.2-223.19" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 11 ], + "D": [ 40, 41, 42, 43 ], + "Q": [ 28, 29, 30, 31 ] + } + }, + "$procmux$1510": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:222.7-222.13|/usr/local/bin/../share/yosys/ecp5/cells_sim.v:222.3-223.19" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ "0", "0", "0", "0" ], + "B": [ "1", "1", "1", "1" ], + "S": [ 10 ], + "Y": [ 40, 41, 42, 43 ] + } + }, + "$procmux$1512": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:222.7-222.13|/usr/local/bin/../share/yosys/ecp5/cells_sim.v:222.3-223.19" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ "x", "x", "x", "x" ], + "B": [ 2, 3, 4, 5 ], + "S": [ 10 ], + "Y": [ 36, 37, 38, 39 ] + } + }, + "$procmux$1514": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000000100" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:222.7-222.13|/usr/local/bin/../share/yosys/ecp5/cells_sim.v:222.3-223.19" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ "x", "x", "x", "x" ], + "B": [ 6, 7, 8, 9 ], + "S": [ 10 ], + "Y": [ 32, 33, 34, 35 ] + } + }, + "$specify$1319": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000100", + "FULL": "1", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "T_FALL_MAX": "00000000000000000000000000000000", + "T_FALL_MIN": "00000000000000000000000000000000", + "T_FALL_TYP": "00000000000000000000000000000000", + "T_RISE_MAX": "00000000000000000000000000000000", + "T_RISE_MIN": "00000000000000000000000000000000", + "T_RISE_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:229.3-229.19" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 16, 17, 18, 19 ], + "EN": [ "1" ], + "SRC": [ 12, 13, 14, 15 ] + } + } + }, + "netnames": { + "$0$memwr$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:223$1412_ADDR[3:0]$1415": { + "hide_name": 1, + "bits": [ 32, 33, 34, 35 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:221.2-223.19" + } + }, + "$0$memwr$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:223$1412_DATA[3:0]$1416": { + "hide_name": 1, + "bits": [ 36, 37, 38, 39 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:221.2-223.19" + } + }, + "$0$memwr$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:223$1412_EN[3:0]$1417": { + "hide_name": 1, + "bits": [ 40, 41, 42, 43 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:221.2-223.19" + } + }, + "$0\\i[31:0]": { + "hide_name": 1, + "bits": [ "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + } + }, + "$0\\muxwre[0:0]": { + "hide_name": 1, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:213.2-219.10" + } + }, + "$1\\muxwre[0:0]": { + "hide_name": 1, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:213.2-219.10" + } + }, + "$memrd$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:225$1418_DATA": { + "hide_name": 1, + "bits": [ 16, 17, 18, 19 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:225.14-225.17" + } + }, + "$memwr$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:223$1412_ADDR": { + "hide_name": 1, + "bits": [ 20, 21, 22, 23 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + } + }, + "$memwr$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:223$1412_DATA": { + "hide_name": 1, + "bits": [ 24, 25, 26, 27 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + } + }, + "$memwr$\\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:223$1412_EN": { + "hide_name": 1, + "bits": [ 28, 29, 30, 31 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + } + }, + "$procmux$1510_Y": { + "hide_name": 1, + "bits": [ 40, 41, 42, 43 ], + "attributes": { + } + }, + "$procmux$1511_CMP": { + "hide_name": 1, + "bits": [ 10 ], + "attributes": { + } + }, + "$procmux$1512_Y": { + "hide_name": 1, + "bits": [ 36, 37, 38, 39 ], + "attributes": { + } + }, + "$procmux$1513_CMP": { + "hide_name": 1, + "bits": [ 10 ], + "attributes": { + } + }, + "$procmux$1514_Y": { + "hide_name": 1, + "bits": [ 32, 33, 34, 35 ], + "attributes": { + } + }, + "$procmux$1515_CMP": { + "hide_name": 1, + "bits": [ 10 ], + "attributes": { + } + }, + "DI": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:191.15-191.17" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:196.15-196.17" + } + }, + "RAD": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:195.15-195.18" + } + }, + "WAD": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:192.15-192.18" + } + }, + "WCK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:194.15-194.18" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:193.15-193.18" + } + }, + "i": { + "hide_name": 0, + "bits": [ "0", "0", "0", "0", "1", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0", "0" ], + "signed": 1, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:204.10-204.11" + } + }, + "muxwck": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:210.7-210.13" + } + }, + "muxwre": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:212.6-212.12" + } + } + } + }, + "TRELLIS_FF": { + "attributes": { + "abc9_flop": "1", + "abc9_box": "0", + "whitebox": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:311.1-389.10" + }, + "parameter_default_values": { + "CEMUX": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001", + "CLKMUX": "CLK", + "GSR": "ENABLED", + "LSRMODE": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000101001101010010", + "LSRMUX": "LSR", + "REGSET": "RESET", + "SRMODE": "LSR_OVER_CE" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "LSR": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "DI": { + "direction": "input", + "bits": [ 5 ] + }, + "M": { + "direction": "input", + "bits": [ 6 ] + }, + "Q": { + "direction": "output", + "bits": [ 7 ] + } + }, + "cells": { + "$logic_and$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:382$1495": { + "hide_name": 1, + "type": "$logic_and", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "B_SIGNED": "00000000000000000000000000000000", + "B_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:382.9-382.36" + }, + "port_directions": { + "A": "input", + "B": "input", + "Y": "output" + }, + "connections": { + "A": [ "0" ], + "B": [ 3 ], + "Y": [ 8 ] + } + }, + "$logic_and$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:386$1497": { + "hide_name": 1, + "type": "$logic_and", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "B_SIGNED": "00000000000000000000000000000000", + "B_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:386.9-386.25" + }, + "port_directions": { + "A": "input", + "B": "input", + "Y": "output" + }, + "connections": { + "A": [ 9 ], + "B": [ "1" ], + "Y": [ 10 ] + } + }, + "$logic_not$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:386$1496": { + "hide_name": 1, + "type": "$logic_not", + "parameters": { + "A_SIGNED": "00000000000000000000000000000000", + "A_WIDTH": "00000000000000000000000000000001", + "Y_WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:386.9-386.16" + }, + "port_directions": { + "A": "input", + "Y": "output" + }, + "connections": { + "A": [ 3 ], + "Y": [ 9 ] + } + }, + "$procdff$3812": { + "hide_name": 1, + "type": "$dff", + "parameters": { + "CLK_POLARITY": "1", + "WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:350.4-354.14" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 2 ], + "D": [ 11 ], + "Q": [ 7 ] + } + }, + "$procmux$1499": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:353.14-353.19|/usr/local/bin/../share/yosys/ecp5/cells_sim.v:353.10-354.14" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ 7 ], + "B": [ 5 ], + "S": [ "1" ], + "Y": [ 12 ] + } + }, + "$procmux$1502": { + "hide_name": 1, + "type": "$mux", + "parameters": { + "WIDTH": "00000000000000000000000000000001" + }, + "attributes": { + "full_case": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:351.9-351.15|/usr/local/bin/../share/yosys/ecp5/cells_sim.v:351.5-354.14" + }, + "port_directions": { + "A": "input", + "B": "input", + "S": "input", + "Y": "output" + }, + "connections": { + "A": [ 12 ], + "B": [ "0" ], + "S": [ 3 ], + "Y": [ 11 ] + } + }, + "$specify$1326": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000000000", + "T_LIMIT_MIN": "00000000000000000000000000000000", + "T_LIMIT_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:376.5-376.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 2 ], + "DST_EN": [ "1" ], + "SRC": [ 5 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$1327": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000000000", + "T_LIMIT_MIN": "00000000000000000000000000000000", + "T_LIMIT_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:377.5-377.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 2 ], + "DST_EN": [ "1" ], + "SRC": [ 4 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$1328": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000000000", + "T_LIMIT_MIN": "00000000000000000000000000000000", + "T_LIMIT_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:378.5-378.33" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 2 ], + "DST_EN": [ "1" ], + "SRC": [ 3 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$1329": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000000000000", + "T_FALL_MIN": "00000000000000000000000000000000", + "T_FALL_TYP": "00000000000000000000000000000000", + "T_RISE_MAX": "00000000000000000000000000000000", + "T_RISE_MIN": "00000000000000000000000000000000", + "T_RISE_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:382.5-382.53" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 7 ], + "EN": [ 8 ], + "SRC": [ 3 ] + } + }, + "$specify$1330": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000000000000", + "T_FALL_MIN": "00000000000000000000000000000000", + "T_FALL_TYP": "00000000000000000000000000000000", + "T_RISE_MAX": "00000000000000000000000000000000", + "T_RISE_MIN": "00000000000000000000000000000000", + "T_RISE_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:386.5-386.57" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ 5 ], + "DST": [ 7 ], + "EN": [ 10 ], + "SRC": [ 2 ] + } + } + }, + "netnames": { + "$0\\Q[0:0]": { + "hide_name": 1, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:350.4-354.14" + } + }, + "$1\\Q[0:0]": { + "hide_name": 1, + "bits": [ "0" ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0.0-0.0" + } + }, + "$logic_and$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:382$1495_Y": { + "hide_name": 1, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:382.9-382.36" + } + }, + "$logic_and$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:386$1497_Y": { + "hide_name": 1, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:386.9-386.25" + } + }, + "$logic_not$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:386$1496_Y": { + "hide_name": 1, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:386.9-386.16" + } + }, + "$procmux$1499_Y": { + "hide_name": 1, + "bits": [ 12 ], + "attributes": { + } + }, + "$procmux$1500_CMP": { + "hide_name": 1, + "bits": [ "1" ], + "attributes": { + } + }, + "$procmux$1502_Y": { + "hide_name": 1, + "bits": [ 11 ], + "attributes": { + } + }, + "$procmux$1503_CMP": { + "hide_name": 1, + "bits": [ 3 ], + "attributes": { + } + }, + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:311.35-311.37" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:311.25-311.28" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:311.39-311.41" + } + }, + "LSR": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:311.30-311.33" + } + }, + "M": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:311.43-311.44" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "init": "0", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:311.57-311.58" + } + }, + "muxce": { + "hide_name": 0, + "bits": [ "1" ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:320.7-320.12" + } + }, + "muxclk": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:331.7-331.13" + } + }, + "muxlsr": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:330.7-330.13" + } + }, + "srval": { + "hide_name": 0, + "bits": [ "0" ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:332.7-332.12" + } + } + } + }, + "TRELLIS_IO": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:393.1-418.10" + }, + "parameter_default_values": { + "DIR": "INPUT" + }, + "ports": { + "B": { + "direction": "inout", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "T": { + "direction": "input", + "bits": [ 4 ] + }, + "O": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "B": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:394.8-394.9" + } + }, + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:395.8-395.9" + } + }, + "O": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:397.9-397.10" + } + }, + "T": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:396.8-396.9" + } + } + } + }, + "TRELLIS_RAM16X2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:138.1-175.10" + }, + "parameter_default_values": { + "INITVAL_0": "0000000000000000", + "INITVAL_1": "0000000000000000", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "ports": { + "DI0": { + "direction": "input", + "bits": [ 2 ] + }, + "DI1": { + "direction": "input", + "bits": [ 3 ] + }, + "WAD0": { + "direction": "input", + "bits": [ 4 ] + }, + "WAD1": { + "direction": "input", + "bits": [ 5 ] + }, + "WAD2": { + "direction": "input", + "bits": [ 6 ] + }, + "WAD3": { + "direction": "input", + "bits": [ 7 ] + }, + "WRE": { + "direction": "input", + "bits": [ 8 ] + }, + "WCK": { + "direction": "input", + "bits": [ 9 ] + }, + "RAD0": { + "direction": "input", + "bits": [ 10 ] + }, + "RAD1": { + "direction": "input", + "bits": [ 11 ] + }, + "RAD2": { + "direction": "input", + "bits": [ 12 ] + }, + "RAD3": { + "direction": "input", + "bits": [ 13 ] + }, + "DO0": { + "direction": "output", + "bits": [ 14 ] + }, + "DO1": { + "direction": "output", + "bits": [ 15 ] + } + }, + "cells": { + }, + "netnames": { + "DI0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:139.8-139.11" + } + }, + "DI1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:139.13-139.16" + } + }, + "DO0": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:143.9-143.12" + } + }, + "DO1": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:143.14-143.17" + } + }, + "RAD0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:142.8-142.12" + } + }, + "RAD1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:142.14-142.18" + } + }, + "RAD2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:142.20-142.24" + } + }, + "RAD3": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:142.26-142.30" + } + }, + "WAD0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:140.8-140.12" + } + }, + "WAD1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:140.14-140.18" + } + }, + "WAD2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:140.20-140.24" + } + }, + "WAD3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:140.26-140.30" + } + }, + "WCK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:141.13-141.16" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:141.8-141.11" + } + } + } + }, + "TRELLIS_SLICE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:428.1-599.10" + }, + "parameter_default_values": { + "A0MUX": "A0", + "A1MUX": "A1", + "B0MUX": "B0", + "B1MUX": "B1", + "C0MUX": "C0", + "C1MUX": "C1", + "CCU2_INJECT1_0": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111001001111", + "CCU2_INJECT1_1": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111001001111", + "CEMUX": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001", + "CLKMUX": "CLK", + "D0MUX": "D0", + "D1MUX": "D1", + "GSR": "ENABLED", + "LSRMUX": "LSR", + "LUT0_INITVAL": "0000000000000000", + "LUT1_INITVAL": "0000000000000000", + "MODE": "LOGIC", + "REG0_LSRMODE": "LSR", + "REG0_REGSET": "RESET", + "REG0_SD": "0 ", + "REG1_LSRMODE": "LSR", + "REG1_REGSET": "RESET", + "REG1_SD": "0 ", + "SRMODE": "LSR_OVER_CE", + "WCKMUX": "WCK", + "WREMUX": "WRE" + }, + "ports": { + "A0": { + "direction": "input", + "bits": [ 2 ] + }, + "B0": { + "direction": "input", + "bits": [ 3 ] + }, + "C0": { + "direction": "input", + "bits": [ 4 ] + }, + "D0": { + "direction": "input", + "bits": [ 5 ] + }, + "A1": { + "direction": "input", + "bits": [ 6 ] + }, + "B1": { + "direction": "input", + "bits": [ 7 ] + }, + "C1": { + "direction": "input", + "bits": [ 8 ] + }, + "D1": { + "direction": "input", + "bits": [ 9 ] + }, + "M0": { + "direction": "input", + "bits": [ 10 ] + }, + "M1": { + "direction": "input", + "bits": [ 11 ] + }, + "FCI": { + "direction": "input", + "bits": [ 12 ] + }, + "FXA": { + "direction": "input", + "bits": [ 13 ] + }, + "FXB": { + "direction": "input", + "bits": [ 14 ] + }, + "CLK": { + "direction": "input", + "bits": [ 15 ] + }, + "LSR": { + "direction": "input", + "bits": [ 16 ] + }, + "CE": { + "direction": "input", + "bits": [ 17 ] + }, + "DI0": { + "direction": "input", + "bits": [ 18 ] + }, + "DI1": { + "direction": "input", + "bits": [ 19 ] + }, + "WD0": { + "direction": "input", + "bits": [ 20 ] + }, + "WD1": { + "direction": "input", + "bits": [ 21 ] + }, + "WAD0": { + "direction": "input", + "bits": [ 22 ] + }, + "WAD1": { + "direction": "input", + "bits": [ 23 ] + }, + "WAD2": { + "direction": "input", + "bits": [ 24 ] + }, + "WAD3": { + "direction": "input", + "bits": [ 25 ] + }, + "WRE": { + "direction": "input", + "bits": [ 26 ] + }, + "WCK": { + "direction": "input", + "bits": [ 27 ] + }, + "F0": { + "direction": "output", + "bits": [ 28 ] + }, + "Q0": { + "direction": "output", + "bits": [ 29 ] + }, + "F1": { + "direction": "output", + "bits": [ 30 ] + }, + "Q1": { + "direction": "output", + "bits": [ 31 ] + }, + "FCO": { + "direction": "output", + "bits": [ 32 ] + }, + "OFX0": { + "direction": "output", + "bits": [ 33 ] + }, + "OFX1": { + "direction": "output", + "bits": [ 34 ] + }, + "WDO0": { + "direction": "output", + "bits": [ 35 ] + }, + "WDO1": { + "direction": "output", + "bits": [ 36 ] + }, + "WDO2": { + "direction": "output", + "bits": [ 37 ] + }, + "WDO3": { + "direction": "output", + "bits": [ 38 ] + }, + "WADO0": { + "direction": "output", + "bits": [ 39 ] + }, + "WADO1": { + "direction": "output", + "bits": [ 40 ] + }, + "WADO2": { + "direction": "output", + "bits": [ 41 ] + }, + "WADO3": { + "direction": "output", + "bits": [ 42 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:429.8-429.10" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:430.8-430.10" + } + }, + "B0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:429.12-429.14" + } + }, + "B1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:430.12-430.14" + } + }, + "C0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:429.16-429.18" + } + }, + "C1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:430.16-430.18" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:434.18-434.20" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:434.8-434.11" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:429.20-429.22" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:430.20-430.22" + } + }, + "DI0": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:435.8-435.11" + } + }, + "DI1": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:435.13-435.16" + } + }, + "F0": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:441.9-441.11" + } + }, + "F1": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442.9-442.11" + } + }, + "FCI": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:432.8-432.11" + } + }, + "FCO": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:443.9-443.12" + } + }, + "FXA": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:432.13-432.16" + } + }, + "FXB": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:432.18-432.21" + } + }, + "LSR": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:434.13-434.16" + } + }, + "M0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:431.8-431.10" + } + }, + "M1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:431.12-431.14" + } + }, + "OFX0": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:443.14-443.18" + } + }, + "OFX1": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:443.20-443.24" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:441.13-441.15" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442.13-442.15" + } + }, + "WAD0": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438.8-438.12" + } + }, + "WAD1": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438.14-438.18" + } + }, + "WAD2": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438.20-438.24" + } + }, + "WAD3": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438.26-438.30" + } + }, + "WADO0": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:446.9-446.14" + } + }, + "WADO1": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:446.16-446.21" + } + }, + "WADO2": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:446.23-446.28" + } + }, + "WADO3": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:446.30-446.35" + } + }, + "WCK": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439.13-439.16" + } + }, + "WD0": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:437.8-437.11" + } + }, + "WD1": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:437.13-437.16" + } + }, + "WDO0": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445.9-445.13" + } + }, + "WDO1": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445.15-445.19" + } + }, + "WDO2": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445.21-445.25" + } + }, + "WDO3": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445.27-445.31" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439.8-439.11" + } + } + } + }, + "TSHX2DQA": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:276.1-282.10" + }, + "parameter_default_values": { + "GSR": "ENABLED", + "REGSET": "SET" + }, + "ports": { + "T0": { + "direction": "input", + "bits": [ 2 ] + }, + "T1": { + "direction": "input", + "bits": [ 3 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 4 ] + }, + "ECLK": { + "direction": "input", + "bits": [ 5 ] + }, + "DQSW270": { + "direction": "input", + "bits": [ 6 ] + }, + "RST": { + "direction": "input", + "bits": [ 7 ] + }, + "Q": { + "direction": "output", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "DQSW270": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:277.28-277.35" + } + }, + "ECLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:277.22-277.26" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:278.9-278.10" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:277.37-277.40" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:277.16-277.20" + } + }, + "T0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:277.8-277.10" + } + }, + "T1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:277.12-277.14" + } + } + } + }, + "TSHX2DQSA": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:285.1-291.10" + }, + "parameter_default_values": { + "GSR": "ENABLED", + "REGSET": "SET" + }, + "ports": { + "T0": { + "direction": "input", + "bits": [ 2 ] + }, + "T1": { + "direction": "input", + "bits": [ 3 ] + }, + "SCLK": { + "direction": "input", + "bits": [ 4 ] + }, + "ECLK": { + "direction": "input", + "bits": [ 5 ] + }, + "DQSW": { + "direction": "input", + "bits": [ 6 ] + }, + "RST": { + "direction": "input", + "bits": [ 7 ] + }, + "Q": { + "direction": "output", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "DQSW": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:286.28-286.32" + } + }, + "ECLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:286.22-286.26" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:287.9-287.10" + } + }, + "RST": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:286.34-286.37" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:286.16-286.20" + } + }, + "T0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:286.8-286.10" + } + }, + "T1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:286.12-286.14" + } + } + } + }, + "USRMCLK": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:160.1-164.10" + }, + "ports": { + "USRMCLKI": { + "direction": "input", + "bits": [ 2 ] + }, + "USRMCLKTS": { + "direction": "input", + "bits": [ 3 ] + }, + "USRMCLKO": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "USRMCLKI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:161.8-161.16" + } + }, + "USRMCLKO": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:162.9-162.17" + } + }, + "USRMCLKTS": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:161.18-161.27" + } + } + } + } + } +} diff --git a/ulx3s/PQVexRiscvUlx3s.v b/ulx3s/PQVexRiscvUlx3s.v new file mode 100644 index 0000000..a4af251 --- /dev/null +++ b/ulx3s/PQVexRiscvUlx3s.v @@ -0,0 +1,8225 @@ +// Generator : SpinalHDL v1.4.0 git head : ecb5a80b713566f417ea3ea061f9969e73770a7f +// Date : 27/01/2021, 14:47:17 +// Component : PQVexRiscvUlx3s + + +`define AluCtrlEnum_defaultEncoding_type [1:0] +`define AluCtrlEnum_defaultEncoding_ADD_SUB 2'b00 +`define AluCtrlEnum_defaultEncoding_SLT_SLTU 2'b01 +`define AluCtrlEnum_defaultEncoding_BITWISE 2'b10 + +`define AluBitwiseCtrlEnum_defaultEncoding_type [1:0] +`define AluBitwiseCtrlEnum_defaultEncoding_XOR_1 2'b00 +`define AluBitwiseCtrlEnum_defaultEncoding_OR_1 2'b01 +`define AluBitwiseCtrlEnum_defaultEncoding_AND_1 2'b10 + +`define BranchCtrlEnum_defaultEncoding_type [1:0] +`define BranchCtrlEnum_defaultEncoding_INC 2'b00 +`define BranchCtrlEnum_defaultEncoding_B 2'b01 +`define BranchCtrlEnum_defaultEncoding_JAL 2'b10 +`define BranchCtrlEnum_defaultEncoding_JALR 2'b11 + +`define ShiftCtrlEnum_defaultEncoding_type [1:0] +`define ShiftCtrlEnum_defaultEncoding_DISABLE_1 2'b00 +`define ShiftCtrlEnum_defaultEncoding_SLL_1 2'b01 +`define ShiftCtrlEnum_defaultEncoding_SRL_1 2'b10 +`define ShiftCtrlEnum_defaultEncoding_SRA_1 2'b11 + +`define EnvCtrlEnum_defaultEncoding_type [0:0] +`define EnvCtrlEnum_defaultEncoding_NONE 1'b0 +`define EnvCtrlEnum_defaultEncoding_XRET 1'b1 + +`define Src2CtrlEnum_defaultEncoding_type [1:0] +`define Src2CtrlEnum_defaultEncoding_RS 2'b00 +`define Src2CtrlEnum_defaultEncoding_IMI 2'b01 +`define Src2CtrlEnum_defaultEncoding_IMS 2'b10 +`define Src2CtrlEnum_defaultEncoding_PC 2'b11 + +`define Src1CtrlEnum_defaultEncoding_type [1:0] +`define Src1CtrlEnum_defaultEncoding_RS 2'b00 +`define Src1CtrlEnum_defaultEncoding_IMU 2'b01 +`define Src1CtrlEnum_defaultEncoding_PC_INCREMENT 2'b10 +`define Src1CtrlEnum_defaultEncoding_URS1 2'b11 + +`define JtagState_defaultEncoding_type [3:0] +`define JtagState_defaultEncoding_RESET 4'b0000 +`define JtagState_defaultEncoding_IDLE 4'b0001 +`define JtagState_defaultEncoding_IR_SELECT 4'b0010 +`define JtagState_defaultEncoding_IR_CAPTURE 4'b0011 +`define JtagState_defaultEncoding_IR_SHIFT 4'b0100 +`define JtagState_defaultEncoding_IR_EXIT1 4'b0101 +`define JtagState_defaultEncoding_IR_PAUSE 4'b0110 +`define JtagState_defaultEncoding_IR_EXIT2 4'b0111 +`define JtagState_defaultEncoding_IR_UPDATE 4'b1000 +`define JtagState_defaultEncoding_DR_SELECT 4'b1001 +`define JtagState_defaultEncoding_DR_CAPTURE 4'b1010 +`define JtagState_defaultEncoding_DR_SHIFT 4'b1011 +`define JtagState_defaultEncoding_DR_EXIT1 4'b1100 +`define JtagState_defaultEncoding_DR_PAUSE 4'b1101 +`define JtagState_defaultEncoding_DR_EXIT2 4'b1110 +`define JtagState_defaultEncoding_DR_UPDATE 4'b1111 + +`define UartStopType_defaultEncoding_type [0:0] +`define UartStopType_defaultEncoding_ONE 1'b0 +`define UartStopType_defaultEncoding_TWO 1'b1 + +`define UartParityType_defaultEncoding_type [1:0] +`define UartParityType_defaultEncoding_NONE 2'b00 +`define UartParityType_defaultEncoding_EVEN 2'b01 +`define UartParityType_defaultEncoding_ODD 2'b10 + +`define UartCtrlTxState_defaultEncoding_type [2:0] +`define UartCtrlTxState_defaultEncoding_IDLE 3'b000 +`define UartCtrlTxState_defaultEncoding_START 3'b001 +`define UartCtrlTxState_defaultEncoding_DATA 3'b010 +`define UartCtrlTxState_defaultEncoding_PARITY 3'b011 +`define UartCtrlTxState_defaultEncoding_STOP 3'b100 + +`define UartCtrlRxState_defaultEncoding_type [2:0] +`define UartCtrlRxState_defaultEncoding_IDLE 3'b000 +`define UartCtrlRxState_defaultEncoding_START 3'b001 +`define UartCtrlRxState_defaultEncoding_DATA 3'b010 +`define UartCtrlRxState_defaultEncoding_PARITY 3'b011 +`define UartCtrlRxState_defaultEncoding_STOP 3'b100 + + +module BufferCC ( + input io_initial, + input io_dataIn, + output io_dataOut, + input mainClock, + input resetCtrl_systemClockReset +); + reg buffers_0; + reg buffers_1; + + assign io_dataOut = buffers_1; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + buffers_0 <= io_initial; + buffers_1 <= io_initial; + end else begin + buffers_0 <= io_dataIn; + buffers_1 <= buffers_0; + end + end + + +endmodule + +module BufferCC_1_ ( + input io_dataIn, + output io_dataOut, + input mainClock, + input resetCtrl_mainClockReset +); + reg buffers_0; + reg buffers_1; + + assign io_dataOut = buffers_1; + always @ (posedge mainClock) begin + buffers_0 <= io_dataIn; + buffers_1 <= buffers_0; + end + + +endmodule + +module UartCtrlTx ( + input [2:0] io_configFrame_dataLength, + input `UartStopType_defaultEncoding_type io_configFrame_stop, + input `UartParityType_defaultEncoding_type io_configFrame_parity, + input io_samplingTick, + input io_write_valid, + output reg io_write_ready, + input [7:0] io_write_payload, + input io_cts, + output io_txd, + input io_break, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_2_; + wire [0:0] _zz_3_; + wire [2:0] _zz_4_; + wire [0:0] _zz_5_; + wire [2:0] _zz_6_; + reg clockDivider_counter_willIncrement; + wire clockDivider_counter_willClear; + reg [2:0] clockDivider_counter_valueNext; + reg [2:0] clockDivider_counter_value; + wire clockDivider_counter_willOverflowIfInc; + wire clockDivider_counter_willOverflow; + reg [2:0] tickCounter_value; + reg `UartCtrlTxState_defaultEncoding_type stateMachine_state; + reg stateMachine_parity; + reg stateMachine_txd; + reg _zz_1_; + `ifndef SYNTHESIS + reg [23:0] io_configFrame_stop_string; + reg [31:0] io_configFrame_parity_string; + reg [47:0] stateMachine_state_string; + `endif + + + assign _zz_2_ = (tickCounter_value == io_configFrame_dataLength); + assign _zz_3_ = clockDivider_counter_willIncrement; + assign _zz_4_ = {2'd0, _zz_3_}; + assign _zz_5_ = ((io_configFrame_stop == `UartStopType_defaultEncoding_ONE) ? (1'b0) : (1'b1)); + assign _zz_6_ = {2'd0, _zz_5_}; + `ifndef SYNTHESIS + always @(*) begin + case(io_configFrame_stop) + `UartStopType_defaultEncoding_ONE : io_configFrame_stop_string = "ONE"; + `UartStopType_defaultEncoding_TWO : io_configFrame_stop_string = "TWO"; + default : io_configFrame_stop_string = "???"; + endcase + end + always @(*) begin + case(io_configFrame_parity) + `UartParityType_defaultEncoding_NONE : io_configFrame_parity_string = "NONE"; + `UartParityType_defaultEncoding_EVEN : io_configFrame_parity_string = "EVEN"; + `UartParityType_defaultEncoding_ODD : io_configFrame_parity_string = "ODD "; + default : io_configFrame_parity_string = "????"; + endcase + end + always @(*) begin + case(stateMachine_state) + `UartCtrlTxState_defaultEncoding_IDLE : stateMachine_state_string = "IDLE "; + `UartCtrlTxState_defaultEncoding_START : stateMachine_state_string = "START "; + `UartCtrlTxState_defaultEncoding_DATA : stateMachine_state_string = "DATA "; + `UartCtrlTxState_defaultEncoding_PARITY : stateMachine_state_string = "PARITY"; + `UartCtrlTxState_defaultEncoding_STOP : stateMachine_state_string = "STOP "; + default : stateMachine_state_string = "??????"; + endcase + end + `endif + + always @ (*) begin + clockDivider_counter_willIncrement = 1'b0; + if(io_samplingTick)begin + clockDivider_counter_willIncrement = 1'b1; + end + end + + assign clockDivider_counter_willClear = 1'b0; + assign clockDivider_counter_willOverflowIfInc = (clockDivider_counter_value == (3'b100)); + assign clockDivider_counter_willOverflow = (clockDivider_counter_willOverflowIfInc && clockDivider_counter_willIncrement); + always @ (*) begin + if(clockDivider_counter_willOverflow)begin + clockDivider_counter_valueNext = (3'b000); + end else begin + clockDivider_counter_valueNext = (clockDivider_counter_value + _zz_4_); + end + if(clockDivider_counter_willClear)begin + clockDivider_counter_valueNext = (3'b000); + end + end + + always @ (*) begin + stateMachine_txd = 1'b1; + case(stateMachine_state) + `UartCtrlTxState_defaultEncoding_IDLE : begin + end + `UartCtrlTxState_defaultEncoding_START : begin + stateMachine_txd = 1'b0; + end + `UartCtrlTxState_defaultEncoding_DATA : begin + stateMachine_txd = io_write_payload[tickCounter_value]; + end + `UartCtrlTxState_defaultEncoding_PARITY : begin + stateMachine_txd = stateMachine_parity; + end + default : begin + end + endcase + end + + always @ (*) begin + io_write_ready = io_break; + case(stateMachine_state) + `UartCtrlTxState_defaultEncoding_IDLE : begin + end + `UartCtrlTxState_defaultEncoding_START : begin + end + `UartCtrlTxState_defaultEncoding_DATA : begin + if(clockDivider_counter_willOverflow)begin + if(_zz_2_)begin + io_write_ready = 1'b1; + end + end + end + `UartCtrlTxState_defaultEncoding_PARITY : begin + end + default : begin + end + endcase + end + + assign io_txd = _zz_1_; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + clockDivider_counter_value <= (3'b000); + stateMachine_state <= `UartCtrlTxState_defaultEncoding_IDLE; + _zz_1_ <= 1'b1; + end else begin + clockDivider_counter_value <= clockDivider_counter_valueNext; + case(stateMachine_state) + `UartCtrlTxState_defaultEncoding_IDLE : begin + if(((io_write_valid && (! io_cts)) && clockDivider_counter_willOverflow))begin + stateMachine_state <= `UartCtrlTxState_defaultEncoding_START; + end + end + `UartCtrlTxState_defaultEncoding_START : begin + if(clockDivider_counter_willOverflow)begin + stateMachine_state <= `UartCtrlTxState_defaultEncoding_DATA; + end + end + `UartCtrlTxState_defaultEncoding_DATA : begin + if(clockDivider_counter_willOverflow)begin + if(_zz_2_)begin + if((io_configFrame_parity == `UartParityType_defaultEncoding_NONE))begin + stateMachine_state <= `UartCtrlTxState_defaultEncoding_STOP; + end else begin + stateMachine_state <= `UartCtrlTxState_defaultEncoding_PARITY; + end + end + end + end + `UartCtrlTxState_defaultEncoding_PARITY : begin + if(clockDivider_counter_willOverflow)begin + stateMachine_state <= `UartCtrlTxState_defaultEncoding_STOP; + end + end + default : begin + if(clockDivider_counter_willOverflow)begin + if((tickCounter_value == _zz_6_))begin + stateMachine_state <= (io_write_valid ? `UartCtrlTxState_defaultEncoding_START : `UartCtrlTxState_defaultEncoding_IDLE); + end + end + end + endcase + _zz_1_ <= (stateMachine_txd && (! io_break)); + end + end + + always @ (posedge mainClock) begin + if(clockDivider_counter_willOverflow)begin + tickCounter_value <= (tickCounter_value + (3'b001)); + end + if(clockDivider_counter_willOverflow)begin + stateMachine_parity <= (stateMachine_parity ^ stateMachine_txd); + end + case(stateMachine_state) + `UartCtrlTxState_defaultEncoding_IDLE : begin + end + `UartCtrlTxState_defaultEncoding_START : begin + if(clockDivider_counter_willOverflow)begin + stateMachine_parity <= (io_configFrame_parity == `UartParityType_defaultEncoding_ODD); + tickCounter_value <= (3'b000); + end + end + `UartCtrlTxState_defaultEncoding_DATA : begin + if(clockDivider_counter_willOverflow)begin + if(_zz_2_)begin + tickCounter_value <= (3'b000); + end + end + end + `UartCtrlTxState_defaultEncoding_PARITY : begin + if(clockDivider_counter_willOverflow)begin + tickCounter_value <= (3'b000); + end + end + default : begin + end + endcase + end + + +endmodule + +module UartCtrlRx ( + input [2:0] io_configFrame_dataLength, + input `UartStopType_defaultEncoding_type io_configFrame_stop, + input `UartParityType_defaultEncoding_type io_configFrame_parity, + input io_samplingTick, + output io_read_valid, + input io_read_ready, + output [7:0] io_read_payload, + input io_rxd, + output io_rts, + output reg io_error, + output io_break, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_2_; + wire io_rxd_buffercc_io_dataOut; + wire _zz_3_; + wire _zz_4_; + wire _zz_5_; + wire _zz_6_; + wire _zz_7_; + wire [0:0] _zz_8_; + wire [2:0] _zz_9_; + reg _zz_1_; + wire sampler_synchroniser; + wire sampler_samples_0; + reg sampler_samples_1; + reg sampler_samples_2; + reg sampler_value; + reg sampler_tick; + reg [2:0] bitTimer_counter; + reg bitTimer_tick; + reg [2:0] bitCounter_value; + reg [6:0] break_counter; + wire break_valid; + reg `UartCtrlRxState_defaultEncoding_type stateMachine_state; + reg stateMachine_parity; + reg [7:0] stateMachine_shifter; + reg stateMachine_validReg; + `ifndef SYNTHESIS + reg [23:0] io_configFrame_stop_string; + reg [31:0] io_configFrame_parity_string; + reg [47:0] stateMachine_state_string; + `endif + + + assign _zz_3_ = (stateMachine_parity == sampler_value); + assign _zz_4_ = (! sampler_value); + assign _zz_5_ = (bitTimer_counter == (3'b000)); + assign _zz_6_ = ((sampler_tick && (! sampler_value)) && (! break_valid)); + assign _zz_7_ = (bitCounter_value == io_configFrame_dataLength); + assign _zz_8_ = ((io_configFrame_stop == `UartStopType_defaultEncoding_ONE) ? (1'b0) : (1'b1)); + assign _zz_9_ = {2'd0, _zz_8_}; + BufferCC io_rxd_buffercc ( + .io_initial (_zz_2_ ), //i + .io_dataIn (io_rxd ), //i + .io_dataOut (io_rxd_buffercc_io_dataOut ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + `ifndef SYNTHESIS + always @(*) begin + case(io_configFrame_stop) + `UartStopType_defaultEncoding_ONE : io_configFrame_stop_string = "ONE"; + `UartStopType_defaultEncoding_TWO : io_configFrame_stop_string = "TWO"; + default : io_configFrame_stop_string = "???"; + endcase + end + always @(*) begin + case(io_configFrame_parity) + `UartParityType_defaultEncoding_NONE : io_configFrame_parity_string = "NONE"; + `UartParityType_defaultEncoding_EVEN : io_configFrame_parity_string = "EVEN"; + `UartParityType_defaultEncoding_ODD : io_configFrame_parity_string = "ODD "; + default : io_configFrame_parity_string = "????"; + endcase + end + always @(*) begin + case(stateMachine_state) + `UartCtrlRxState_defaultEncoding_IDLE : stateMachine_state_string = "IDLE "; + `UartCtrlRxState_defaultEncoding_START : stateMachine_state_string = "START "; + `UartCtrlRxState_defaultEncoding_DATA : stateMachine_state_string = "DATA "; + `UartCtrlRxState_defaultEncoding_PARITY : stateMachine_state_string = "PARITY"; + `UartCtrlRxState_defaultEncoding_STOP : stateMachine_state_string = "STOP "; + default : stateMachine_state_string = "??????"; + endcase + end + `endif + + always @ (*) begin + io_error = 1'b0; + case(stateMachine_state) + `UartCtrlRxState_defaultEncoding_IDLE : begin + end + `UartCtrlRxState_defaultEncoding_START : begin + end + `UartCtrlRxState_defaultEncoding_DATA : begin + end + `UartCtrlRxState_defaultEncoding_PARITY : begin + if(bitTimer_tick)begin + if(! _zz_3_) begin + io_error = 1'b1; + end + end + end + default : begin + if(bitTimer_tick)begin + if(_zz_4_)begin + io_error = 1'b1; + end + end + end + endcase + end + + assign io_rts = _zz_1_; + assign _zz_2_ = 1'b0; + assign sampler_synchroniser = io_rxd_buffercc_io_dataOut; + assign sampler_samples_0 = sampler_synchroniser; + always @ (*) begin + bitTimer_tick = 1'b0; + if(sampler_tick)begin + if(_zz_5_)begin + bitTimer_tick = 1'b1; + end + end + end + + assign break_valid = (break_counter == 7'h41); + assign io_break = break_valid; + assign io_read_valid = stateMachine_validReg; + assign io_read_payload = stateMachine_shifter; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + _zz_1_ <= 1'b0; + sampler_samples_1 <= 1'b1; + sampler_samples_2 <= 1'b1; + sampler_value <= 1'b1; + sampler_tick <= 1'b0; + break_counter <= 7'h0; + stateMachine_state <= `UartCtrlRxState_defaultEncoding_IDLE; + stateMachine_validReg <= 1'b0; + end else begin + _zz_1_ <= (! io_read_ready); + if(io_samplingTick)begin + sampler_samples_1 <= sampler_samples_0; + end + if(io_samplingTick)begin + sampler_samples_2 <= sampler_samples_1; + end + sampler_value <= (((1'b0 || ((1'b1 && sampler_samples_0) && sampler_samples_1)) || ((1'b1 && sampler_samples_0) && sampler_samples_2)) || ((1'b1 && sampler_samples_1) && sampler_samples_2)); + sampler_tick <= io_samplingTick; + if(sampler_value)begin + break_counter <= 7'h0; + end else begin + if((io_samplingTick && (! break_valid)))begin + break_counter <= (break_counter + 7'h01); + end + end + stateMachine_validReg <= 1'b0; + case(stateMachine_state) + `UartCtrlRxState_defaultEncoding_IDLE : begin + if(_zz_6_)begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_START; + end + end + `UartCtrlRxState_defaultEncoding_START : begin + if(bitTimer_tick)begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_DATA; + if((sampler_value == 1'b1))begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_IDLE; + end + end + end + `UartCtrlRxState_defaultEncoding_DATA : begin + if(bitTimer_tick)begin + if(_zz_7_)begin + if((io_configFrame_parity == `UartParityType_defaultEncoding_NONE))begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_STOP; + stateMachine_validReg <= 1'b1; + end else begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_PARITY; + end + end + end + end + `UartCtrlRxState_defaultEncoding_PARITY : begin + if(bitTimer_tick)begin + if(_zz_3_)begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_STOP; + stateMachine_validReg <= 1'b1; + end else begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_IDLE; + end + end + end + default : begin + if(bitTimer_tick)begin + if(_zz_4_)begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_IDLE; + end else begin + if((bitCounter_value == _zz_9_))begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_IDLE; + end + end + end + end + endcase + end + end + + always @ (posedge mainClock) begin + if(sampler_tick)begin + bitTimer_counter <= (bitTimer_counter - (3'b001)); + if(_zz_5_)begin + bitTimer_counter <= (3'b100); + end + end + if(bitTimer_tick)begin + bitCounter_value <= (bitCounter_value + (3'b001)); + end + if(bitTimer_tick)begin + stateMachine_parity <= (stateMachine_parity ^ sampler_value); + end + case(stateMachine_state) + `UartCtrlRxState_defaultEncoding_IDLE : begin + if(_zz_6_)begin + bitTimer_counter <= (3'b001); + end + end + `UartCtrlRxState_defaultEncoding_START : begin + if(bitTimer_tick)begin + bitCounter_value <= (3'b000); + stateMachine_parity <= (io_configFrame_parity == `UartParityType_defaultEncoding_ODD); + end + end + `UartCtrlRxState_defaultEncoding_DATA : begin + if(bitTimer_tick)begin + stateMachine_shifter[bitCounter_value] <= sampler_value; + if(_zz_7_)begin + bitCounter_value <= (3'b000); + end + end + end + `UartCtrlRxState_defaultEncoding_PARITY : begin + if(bitTimer_tick)begin + bitCounter_value <= (3'b000); + end + end + default : begin + end + endcase + end + + +endmodule + +module StreamFifoLowLatency ( + input io_push_valid, + output io_push_ready, + input io_push_payload_error, + input [31:0] io_push_payload_inst, + output reg io_pop_valid, + input io_pop_ready, + output reg io_pop_payload_error, + output reg [31:0] io_pop_payload_inst, + input io_flush, + output [0:0] io_occupancy, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_4_; + wire [0:0] _zz_5_; + reg _zz_1_; + reg pushPtr_willIncrement; + reg pushPtr_willClear; + wire pushPtr_willOverflowIfInc; + wire pushPtr_willOverflow; + reg popPtr_willIncrement; + reg popPtr_willClear; + wire popPtr_willOverflowIfInc; + wire popPtr_willOverflow; + wire ptrMatch; + reg risingOccupancy; + wire empty; + wire full; + wire pushing; + wire popping; + wire [32:0] _zz_2_; + reg [32:0] _zz_3_; + + assign _zz_4_ = (! empty); + assign _zz_5_ = _zz_2_[0 : 0]; + always @ (*) begin + _zz_1_ = 1'b0; + if(pushing)begin + _zz_1_ = 1'b1; + end + end + + always @ (*) begin + pushPtr_willIncrement = 1'b0; + if(pushing)begin + pushPtr_willIncrement = 1'b1; + end + end + + always @ (*) begin + pushPtr_willClear = 1'b0; + if(io_flush)begin + pushPtr_willClear = 1'b1; + end + end + + assign pushPtr_willOverflowIfInc = 1'b1; + assign pushPtr_willOverflow = (pushPtr_willOverflowIfInc && pushPtr_willIncrement); + always @ (*) begin + popPtr_willIncrement = 1'b0; + if(popping)begin + popPtr_willIncrement = 1'b1; + end + end + + always @ (*) begin + popPtr_willClear = 1'b0; + if(io_flush)begin + popPtr_willClear = 1'b1; + end + end + + assign popPtr_willOverflowIfInc = 1'b1; + assign popPtr_willOverflow = (popPtr_willOverflowIfInc && popPtr_willIncrement); + assign ptrMatch = 1'b1; + assign empty = (ptrMatch && (! risingOccupancy)); + assign full = (ptrMatch && risingOccupancy); + assign pushing = (io_push_valid && io_push_ready); + assign popping = (io_pop_valid && io_pop_ready); + assign io_push_ready = (! full); + always @ (*) begin + if(_zz_4_)begin + io_pop_valid = 1'b1; + end else begin + io_pop_valid = io_push_valid; + end + end + + assign _zz_2_ = _zz_3_; + always @ (*) begin + if(_zz_4_)begin + io_pop_payload_error = _zz_5_[0]; + end else begin + io_pop_payload_error = io_push_payload_error; + end + end + + always @ (*) begin + if(_zz_4_)begin + io_pop_payload_inst = _zz_2_[32 : 1]; + end else begin + io_pop_payload_inst = io_push_payload_inst; + end + end + + assign io_occupancy = (risingOccupancy && ptrMatch); + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + risingOccupancy <= 1'b0; + end else begin + if((pushing != popping))begin + risingOccupancy <= pushing; + end + if(io_flush)begin + risingOccupancy <= 1'b0; + end + end + end + + always @ (posedge mainClock) begin + if(_zz_1_)begin + _zz_3_ <= {io_push_payload_inst,io_push_payload_error}; + end + end + + +endmodule + +module FlowCCByToggle ( + input io_input_valid, + input io_input_payload_last, + input [0:0] io_input_payload_fragment, + output io_output_valid, + output io_output_payload_last, + output [0:0] io_output_payload_fragment, + input io_jtag_tck, + input mainClock, + input resetCtrl_mainClockReset +); + wire inputArea_target_buffercc_io_dataOut; + wire outHitSignal; + reg inputArea_target = 0; + reg inputArea_data_last; + reg [0:0] inputArea_data_fragment; + wire outputArea_target; + reg outputArea_hit; + wire outputArea_flow_valid; + wire outputArea_flow_payload_last; + wire [0:0] outputArea_flow_payload_fragment; + reg outputArea_flow_regNext_valid; + reg outputArea_flow_regNext_payload_last; + reg [0:0] outputArea_flow_regNext_payload_fragment; + + BufferCC_1_ inputArea_target_buffercc ( + .io_dataIn (inputArea_target ), //i + .io_dataOut (inputArea_target_buffercc_io_dataOut ), //o + .mainClock (mainClock ), //i + .resetCtrl_mainClockReset (resetCtrl_mainClockReset ) //i + ); + assign outputArea_target = inputArea_target_buffercc_io_dataOut; + assign outputArea_flow_valid = (outputArea_target != outputArea_hit); + assign outputArea_flow_payload_last = inputArea_data_last; + assign outputArea_flow_payload_fragment = inputArea_data_fragment; + assign io_output_valid = outputArea_flow_regNext_valid; + assign io_output_payload_last = outputArea_flow_regNext_payload_last; + assign io_output_payload_fragment = outputArea_flow_regNext_payload_fragment; + always @ (posedge io_jtag_tck) begin + if(io_input_valid)begin + inputArea_target <= (! inputArea_target); + inputArea_data_last <= io_input_payload_last; + inputArea_data_fragment <= io_input_payload_fragment; + end + end + + always @ (posedge mainClock) begin + outputArea_hit <= outputArea_target; + outputArea_flow_regNext_payload_last <= outputArea_flow_payload_last; + outputArea_flow_regNext_payload_fragment <= outputArea_flow_payload_fragment; + end + + always @ (posedge mainClock or posedge resetCtrl_mainClockReset) begin + if (resetCtrl_mainClockReset) begin + outputArea_flow_regNext_valid <= 1'b0; + end else begin + outputArea_flow_regNext_valid <= outputArea_flow_valid; + end + end + + +endmodule + +module UartCtrl ( + input [2:0] io_config_frame_dataLength, + input `UartStopType_defaultEncoding_type io_config_frame_stop, + input `UartParityType_defaultEncoding_type io_config_frame_parity, + input [19:0] io_config_clockDivider, + input io_write_valid, + output reg io_write_ready, + input [7:0] io_write_payload, + output io_read_valid, + input io_read_ready, + output [7:0] io_read_payload, + output io_uart_txd, + input io_uart_rxd, + output io_readError, + input io_writeBreak, + output io_readBreak, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_1_; + wire tx_io_write_ready; + wire tx_io_txd; + wire rx_io_read_valid; + wire [7:0] rx_io_read_payload; + wire rx_io_rts; + wire rx_io_error; + wire rx_io_break; + reg [19:0] clockDivider_counter; + wire clockDivider_tick; + reg io_write_thrown_valid; + wire io_write_thrown_ready; + wire [7:0] io_write_thrown_payload; + `ifndef SYNTHESIS + reg [23:0] io_config_frame_stop_string; + reg [31:0] io_config_frame_parity_string; + `endif + + + UartCtrlTx tx ( + .io_configFrame_dataLength (io_config_frame_dataLength[2:0] ), //i + .io_configFrame_stop (io_config_frame_stop ), //i + .io_configFrame_parity (io_config_frame_parity[1:0] ), //i + .io_samplingTick (clockDivider_tick ), //i + .io_write_valid (io_write_thrown_valid ), //i + .io_write_ready (tx_io_write_ready ), //o + .io_write_payload (io_write_thrown_payload[7:0] ), //i + .io_cts (_zz_1_ ), //i + .io_txd (tx_io_txd ), //o + .io_break (io_writeBreak ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + UartCtrlRx rx ( + .io_configFrame_dataLength (io_config_frame_dataLength[2:0] ), //i + .io_configFrame_stop (io_config_frame_stop ), //i + .io_configFrame_parity (io_config_frame_parity[1:0] ), //i + .io_samplingTick (clockDivider_tick ), //i + .io_read_valid (rx_io_read_valid ), //o + .io_read_ready (io_read_ready ), //i + .io_read_payload (rx_io_read_payload[7:0] ), //o + .io_rxd (io_uart_rxd ), //i + .io_rts (rx_io_rts ), //o + .io_error (rx_io_error ), //o + .io_break (rx_io_break ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + `ifndef SYNTHESIS + always @(*) begin + case(io_config_frame_stop) + `UartStopType_defaultEncoding_ONE : io_config_frame_stop_string = "ONE"; + `UartStopType_defaultEncoding_TWO : io_config_frame_stop_string = "TWO"; + default : io_config_frame_stop_string = "???"; + endcase + end + always @(*) begin + case(io_config_frame_parity) + `UartParityType_defaultEncoding_NONE : io_config_frame_parity_string = "NONE"; + `UartParityType_defaultEncoding_EVEN : io_config_frame_parity_string = "EVEN"; + `UartParityType_defaultEncoding_ODD : io_config_frame_parity_string = "ODD "; + default : io_config_frame_parity_string = "????"; + endcase + end + `endif + + assign clockDivider_tick = (clockDivider_counter == 20'h0); + always @ (*) begin + io_write_thrown_valid = io_write_valid; + if(rx_io_break)begin + io_write_thrown_valid = 1'b0; + end + end + + always @ (*) begin + io_write_ready = io_write_thrown_ready; + if(rx_io_break)begin + io_write_ready = 1'b1; + end + end + + assign io_write_thrown_payload = io_write_payload; + assign io_write_thrown_ready = tx_io_write_ready; + assign io_read_valid = rx_io_read_valid; + assign io_read_payload = rx_io_read_payload; + assign io_uart_txd = tx_io_txd; + assign io_readError = rx_io_error; + assign _zz_1_ = 1'b0; + assign io_readBreak = rx_io_break; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + clockDivider_counter <= 20'h0; + end else begin + clockDivider_counter <= (clockDivider_counter - 20'h00001); + if(clockDivider_tick)begin + clockDivider_counter <= io_config_clockDivider; + end + end + end + + +endmodule + +module StreamFifo ( + input io_push_valid, + output io_push_ready, + input [7:0] io_push_payload, + output io_pop_valid, + input io_pop_ready, + output [7:0] io_pop_payload, + input io_flush, + output [4:0] io_occupancy, + output [4:0] io_availability, + input mainClock, + input resetCtrl_systemClockReset +); + reg [7:0] _zz_3_; + wire [0:0] _zz_4_; + wire [3:0] _zz_5_; + wire [0:0] _zz_6_; + wire [3:0] _zz_7_; + wire [3:0] _zz_8_; + wire _zz_9_; + reg _zz_1_; + reg logic_pushPtr_willIncrement; + reg logic_pushPtr_willClear; + reg [3:0] logic_pushPtr_valueNext; + reg [3:0] logic_pushPtr_value; + wire logic_pushPtr_willOverflowIfInc; + wire logic_pushPtr_willOverflow; + reg logic_popPtr_willIncrement; + reg logic_popPtr_willClear; + reg [3:0] logic_popPtr_valueNext; + reg [3:0] logic_popPtr_value; + wire logic_popPtr_willOverflowIfInc; + wire logic_popPtr_willOverflow; + wire logic_ptrMatch; + reg logic_risingOccupancy; + wire logic_pushing; + wire logic_popping; + wire logic_empty; + wire logic_full; + reg _zz_2_; + wire [3:0] logic_ptrDif; + reg [7:0] logic_ram [0:15]; + + assign _zz_4_ = logic_pushPtr_willIncrement; + assign _zz_5_ = {3'd0, _zz_4_}; + assign _zz_6_ = logic_popPtr_willIncrement; + assign _zz_7_ = {3'd0, _zz_6_}; + assign _zz_8_ = (logic_popPtr_value - logic_pushPtr_value); + assign _zz_9_ = 1'b1; + always @ (posedge mainClock) begin + if(_zz_9_) begin + _zz_3_ <= logic_ram[logic_popPtr_valueNext]; + end + end + + always @ (posedge mainClock) begin + if(_zz_1_) begin + logic_ram[logic_pushPtr_value] <= io_push_payload; + end + end + + always @ (*) begin + _zz_1_ = 1'b0; + if(logic_pushing)begin + _zz_1_ = 1'b1; + end + end + + always @ (*) begin + logic_pushPtr_willIncrement = 1'b0; + if(logic_pushing)begin + logic_pushPtr_willIncrement = 1'b1; + end + end + + always @ (*) begin + logic_pushPtr_willClear = 1'b0; + if(io_flush)begin + logic_pushPtr_willClear = 1'b1; + end + end + + assign logic_pushPtr_willOverflowIfInc = (logic_pushPtr_value == (4'b1111)); + assign logic_pushPtr_willOverflow = (logic_pushPtr_willOverflowIfInc && logic_pushPtr_willIncrement); + always @ (*) begin + logic_pushPtr_valueNext = (logic_pushPtr_value + _zz_5_); + if(logic_pushPtr_willClear)begin + logic_pushPtr_valueNext = (4'b0000); + end + end + + always @ (*) begin + logic_popPtr_willIncrement = 1'b0; + if(logic_popping)begin + logic_popPtr_willIncrement = 1'b1; + end + end + + always @ (*) begin + logic_popPtr_willClear = 1'b0; + if(io_flush)begin + logic_popPtr_willClear = 1'b1; + end + end + + assign logic_popPtr_willOverflowIfInc = (logic_popPtr_value == (4'b1111)); + assign logic_popPtr_willOverflow = (logic_popPtr_willOverflowIfInc && logic_popPtr_willIncrement); + always @ (*) begin + logic_popPtr_valueNext = (logic_popPtr_value + _zz_7_); + if(logic_popPtr_willClear)begin + logic_popPtr_valueNext = (4'b0000); + end + end + + assign logic_ptrMatch = (logic_pushPtr_value == logic_popPtr_value); + assign logic_pushing = (io_push_valid && io_push_ready); + assign logic_popping = (io_pop_valid && io_pop_ready); + assign logic_empty = (logic_ptrMatch && (! logic_risingOccupancy)); + assign logic_full = (logic_ptrMatch && logic_risingOccupancy); + assign io_push_ready = (! logic_full); + assign io_pop_valid = ((! logic_empty) && (! (_zz_2_ && (! logic_full)))); + assign io_pop_payload = _zz_3_; + assign logic_ptrDif = (logic_pushPtr_value - logic_popPtr_value); + assign io_occupancy = {(logic_risingOccupancy && logic_ptrMatch),logic_ptrDif}; + assign io_availability = {((! logic_risingOccupancy) && logic_ptrMatch),_zz_8_}; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + logic_pushPtr_value <= (4'b0000); + logic_popPtr_value <= (4'b0000); + logic_risingOccupancy <= 1'b0; + _zz_2_ <= 1'b0; + end else begin + logic_pushPtr_value <= logic_pushPtr_valueNext; + logic_popPtr_value <= logic_popPtr_valueNext; + _zz_2_ <= (logic_popPtr_valueNext == logic_pushPtr_value); + if((logic_pushing != logic_popping))begin + logic_risingOccupancy <= logic_pushing; + end + if(io_flush)begin + logic_risingOccupancy <= 1'b0; + end + end + end + + +endmodule +//StreamFifo_1_ replaced by StreamFifo + +module Prescaler ( + input io_clear, + input [15:0] io_limit, + output io_overflow, + input mainClock, + input resetCtrl_systemClockReset +); + reg [15:0] counter; + + assign io_overflow = (counter == io_limit); + always @ (posedge mainClock) begin + counter <= (counter + 16'h0001); + if((io_clear || io_overflow))begin + counter <= 16'h0; + end + end + + +endmodule + +module Timer ( + input io_tick, + input io_clear, + input [15:0] io_limit, + output io_full, + output [15:0] io_value, + input mainClock, + input resetCtrl_systemClockReset +); + wire [0:0] _zz_1_; + wire [15:0] _zz_2_; + reg [15:0] counter; + wire limitHit; + reg inhibitFull; + + assign _zz_1_ = (! limitHit); + assign _zz_2_ = {15'd0, _zz_1_}; + assign limitHit = (counter == io_limit); + assign io_full = ((limitHit && io_tick) && (! inhibitFull)); + assign io_value = counter; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + inhibitFull <= 1'b0; + end else begin + if(io_tick)begin + inhibitFull <= limitHit; + end + if(io_clear)begin + inhibitFull <= 1'b0; + end + end + end + + always @ (posedge mainClock) begin + if(io_tick)begin + counter <= (counter + _zz_2_); + end + if(io_clear)begin + counter <= 16'h0; + end + end + + +endmodule +//Timer_1_ replaced by Timer + +module InterruptCtrl ( + input [1:0] io_inputs, + input [1:0] io_clears, + input [1:0] io_masks, + output [1:0] io_pendings, + input mainClock, + input resetCtrl_systemClockReset +); + reg [1:0] pendings; + + assign io_pendings = (pendings & io_masks); + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + pendings <= (2'b00); + end else begin + pendings <= ((pendings & (~ io_clears)) | io_inputs); + end + end + + +endmodule + +module StreamArbiter ( + input io_inputs_0_valid, + output io_inputs_0_ready, + input io_inputs_0_payload_write, + input [15:0] io_inputs_0_payload_address, + input [31:0] io_inputs_0_payload_data, + input [3:0] io_inputs_0_payload_mask, + input io_inputs_1_valid, + output io_inputs_1_ready, + input io_inputs_1_payload_write, + input [15:0] io_inputs_1_payload_address, + input [31:0] io_inputs_1_payload_data, + input [3:0] io_inputs_1_payload_mask, + output io_output_valid, + input io_output_ready, + output io_output_payload_write, + output [15:0] io_output_payload_address, + output [31:0] io_output_payload_data, + output [3:0] io_output_payload_mask, + output [0:0] io_chosen, + output [1:0] io_chosenOH, + input mainClock, + input resetCtrl_systemClockReset +); + wire [1:0] _zz_3_; + wire [1:0] _zz_4_; + reg locked; + wire maskProposal_0; + wire maskProposal_1; + reg maskLocked_0; + reg maskLocked_1; + wire maskRouted_0; + wire maskRouted_1; + wire [1:0] _zz_1_; + wire _zz_2_; + + assign _zz_3_ = (_zz_1_ & (~ _zz_4_)); + assign _zz_4_ = (_zz_1_ - (2'b01)); + assign maskRouted_0 = (locked ? maskLocked_0 : maskProposal_0); + assign maskRouted_1 = (locked ? maskLocked_1 : maskProposal_1); + assign _zz_1_ = {io_inputs_1_valid,io_inputs_0_valid}; + assign maskProposal_0 = io_inputs_0_valid; + assign maskProposal_1 = _zz_3_[1]; + assign io_output_valid = ((io_inputs_0_valid && maskRouted_0) || (io_inputs_1_valid && maskRouted_1)); + assign io_output_payload_write = (maskRouted_0 ? io_inputs_0_payload_write : io_inputs_1_payload_write); + assign io_output_payload_address = (maskRouted_0 ? io_inputs_0_payload_address : io_inputs_1_payload_address); + assign io_output_payload_data = (maskRouted_0 ? io_inputs_0_payload_data : io_inputs_1_payload_data); + assign io_output_payload_mask = (maskRouted_0 ? io_inputs_0_payload_mask : io_inputs_1_payload_mask); + assign io_inputs_0_ready = (maskRouted_0 && io_output_ready); + assign io_inputs_1_ready = (maskRouted_1 && io_output_ready); + assign io_chosenOH = {maskRouted_1,maskRouted_0}; + assign _zz_2_ = io_chosenOH[1]; + assign io_chosen = _zz_2_; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + locked <= 1'b0; + end else begin + if(io_output_valid)begin + locked <= 1'b1; + end + if((io_output_valid && io_output_ready))begin + locked <= 1'b0; + end + end + end + + always @ (posedge mainClock) begin + if(io_output_valid)begin + maskLocked_0 <= maskRouted_0; + maskLocked_1 <= maskRouted_1; + end + end + + +endmodule + +module StreamFork ( + input io_input_valid, + output reg io_input_ready, + input io_input_payload_write, + input [15:0] io_input_payload_address, + input [31:0] io_input_payload_data, + input [3:0] io_input_payload_mask, + output io_outputs_0_valid, + input io_outputs_0_ready, + output io_outputs_0_payload_write, + output [15:0] io_outputs_0_payload_address, + output [31:0] io_outputs_0_payload_data, + output [3:0] io_outputs_0_payload_mask, + output io_outputs_1_valid, + input io_outputs_1_ready, + output io_outputs_1_payload_write, + output [15:0] io_outputs_1_payload_address, + output [31:0] io_outputs_1_payload_data, + output [3:0] io_outputs_1_payload_mask, + input mainClock, + input resetCtrl_systemClockReset +); + reg _zz_1_; + reg _zz_2_; + + always @ (*) begin + io_input_ready = 1'b1; + if(((! io_outputs_0_ready) && _zz_1_))begin + io_input_ready = 1'b0; + end + if(((! io_outputs_1_ready) && _zz_2_))begin + io_input_ready = 1'b0; + end + end + + assign io_outputs_0_valid = (io_input_valid && _zz_1_); + assign io_outputs_0_payload_write = io_input_payload_write; + assign io_outputs_0_payload_address = io_input_payload_address; + assign io_outputs_0_payload_data = io_input_payload_data; + assign io_outputs_0_payload_mask = io_input_payload_mask; + assign io_outputs_1_valid = (io_input_valid && _zz_2_); + assign io_outputs_1_payload_write = io_input_payload_write; + assign io_outputs_1_payload_address = io_input_payload_address; + assign io_outputs_1_payload_data = io_input_payload_data; + assign io_outputs_1_payload_mask = io_input_payload_mask; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + _zz_1_ <= 1'b1; + _zz_2_ <= 1'b1; + end else begin + if((io_outputs_0_valid && io_outputs_0_ready))begin + _zz_1_ <= 1'b0; + end + if((io_outputs_1_valid && io_outputs_1_ready))begin + _zz_2_ <= 1'b0; + end + if(io_input_ready)begin + _zz_1_ <= 1'b1; + _zz_2_ <= 1'b1; + end + end + end + + +endmodule + +module StreamFifoLowLatency_1_ ( + input io_push_valid, + output io_push_ready, + input [1:0] io_push_payload, + output io_pop_valid, + input io_pop_ready, + output [1:0] io_pop_payload, + input io_flush, + output reg [2:0] io_occupancy, + input mainClock, + input resetCtrl_systemClockReset +); + wire [1:0] _zz_2_; + wire [0:0] _zz_3_; + wire [2:0] _zz_4_; + wire [0:0] _zz_5_; + wire [2:0] _zz_6_; + wire [2:0] _zz_7_; + reg _zz_1_; + reg pushPtr_willIncrement; + reg pushPtr_willClear; + reg [2:0] pushPtr_valueNext; + reg [2:0] pushPtr_value; + wire pushPtr_willOverflowIfInc; + wire pushPtr_willOverflow; + reg popPtr_willIncrement; + reg popPtr_willClear; + reg [2:0] popPtr_valueNext; + reg [2:0] popPtr_value; + wire popPtr_willOverflowIfInc; + wire popPtr_willOverflow; + wire ptrMatch; + reg risingOccupancy; + wire empty; + wire full; + wire pushing; + wire popping; + wire [2:0] ptrDif; + reg [1:0] ram [0:6]; + + assign _zz_3_ = pushPtr_willIncrement; + assign _zz_4_ = {2'd0, _zz_3_}; + assign _zz_5_ = popPtr_willIncrement; + assign _zz_6_ = {2'd0, _zz_5_}; + assign _zz_7_ = ((3'b111) + ptrDif); + assign _zz_2_ = ram[popPtr_value]; + always @ (posedge mainClock) begin + if(_zz_1_) begin + ram[pushPtr_value] <= io_push_payload; + end + end + + always @ (*) begin + _zz_1_ = 1'b0; + if(pushing)begin + _zz_1_ = 1'b1; + end + end + + always @ (*) begin + pushPtr_willIncrement = 1'b0; + if(pushing)begin + pushPtr_willIncrement = 1'b1; + end + end + + always @ (*) begin + pushPtr_willClear = 1'b0; + if(io_flush)begin + pushPtr_willClear = 1'b1; + end + end + + assign pushPtr_willOverflowIfInc = (pushPtr_value == (3'b110)); + assign pushPtr_willOverflow = (pushPtr_willOverflowIfInc && pushPtr_willIncrement); + always @ (*) begin + if(pushPtr_willOverflow)begin + pushPtr_valueNext = (3'b000); + end else begin + pushPtr_valueNext = (pushPtr_value + _zz_4_); + end + if(pushPtr_willClear)begin + pushPtr_valueNext = (3'b000); + end + end + + always @ (*) begin + popPtr_willIncrement = 1'b0; + if(popping)begin + popPtr_willIncrement = 1'b1; + end + end + + always @ (*) begin + popPtr_willClear = 1'b0; + if(io_flush)begin + popPtr_willClear = 1'b1; + end + end + + assign popPtr_willOverflowIfInc = (popPtr_value == (3'b110)); + assign popPtr_willOverflow = (popPtr_willOverflowIfInc && popPtr_willIncrement); + always @ (*) begin + if(popPtr_willOverflow)begin + popPtr_valueNext = (3'b000); + end else begin + popPtr_valueNext = (popPtr_value + _zz_6_); + end + if(popPtr_willClear)begin + popPtr_valueNext = (3'b000); + end + end + + assign ptrMatch = (pushPtr_value == popPtr_value); + assign empty = (ptrMatch && (! risingOccupancy)); + assign full = (ptrMatch && risingOccupancy); + assign pushing = (io_push_valid && io_push_ready); + assign popping = (io_pop_valid && io_pop_ready); + assign io_push_ready = (! full); + assign io_pop_valid = (! empty); + assign io_pop_payload = _zz_2_; + assign ptrDif = (pushPtr_value - popPtr_value); + always @ (*) begin + if(ptrMatch)begin + io_occupancy = (risingOccupancy ? (3'b111) : (3'b000)); + end else begin + io_occupancy = ((popPtr_value < pushPtr_value) ? ptrDif : _zz_7_); + end + end + + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + pushPtr_value <= (3'b000); + popPtr_value <= (3'b000); + risingOccupancy <= 1'b0; + end else begin + pushPtr_value <= pushPtr_valueNext; + popPtr_value <= popPtr_valueNext; + if((pushing != popping))begin + risingOccupancy <= pushing; + end + if(io_flush)begin + risingOccupancy <= 1'b0; + end + end + end + + +endmodule +//StreamArbiter_1_ replaced by StreamArbiter +//StreamFork_1_ replaced by StreamFork +//StreamFifoLowLatency_2_ replaced by StreamFifoLowLatency_1_ + +module BufferCC_2_ ( + input io_dataIn, + output io_dataOut, + input mainClock +); + reg buffers_0; + reg buffers_1; + + assign io_dataOut = buffers_1; + always @ (posedge mainClock) begin + buffers_0 <= io_dataIn; + buffers_1 <= buffers_0; + end + + +endmodule + +module VexRiscv ( + output iBus_cmd_valid, + input iBus_cmd_ready, + output [31:0] iBus_cmd_payload_pc, + input iBus_rsp_valid, + input iBus_rsp_payload_error, + input [31:0] iBus_rsp_payload_inst, + input timerInterrupt, + input externalInterrupt, + input softwareInterrupt, + input debug_bus_cmd_valid, + output reg debug_bus_cmd_ready, + input debug_bus_cmd_payload_wr, + input [7:0] debug_bus_cmd_payload_address, + input [31:0] debug_bus_cmd_payload_data, + output reg [31:0] debug_bus_rsp_data, + output debug_resetOut, + output dBus_cmd_valid, + input dBus_cmd_ready, + output dBus_cmd_payload_wr, + output [31:0] dBus_cmd_payload_address, + output [31:0] dBus_cmd_payload_data, + output [1:0] dBus_cmd_payload_size, + input dBus_rsp_ready, + input dBus_rsp_error, + input [31:0] dBus_rsp_data, + input mainClock, + input resetCtrl_systemClockReset, + input resetCtrl_mainClockReset +); + wire _zz_135_; + wire _zz_136_; + reg [31:0] _zz_137_; + reg [31:0] _zz_138_; + wire IBusSimplePlugin_rspJoin_rspBuffer_c_io_push_ready; + wire IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid; + wire IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_error; + wire [31:0] IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_inst; + wire [0:0] IBusSimplePlugin_rspJoin_rspBuffer_c_io_occupancy; + wire _zz_139_; + wire _zz_140_; + wire _zz_141_; + wire _zz_142_; + wire _zz_143_; + wire _zz_144_; + wire _zz_145_; + wire _zz_146_; + wire _zz_147_; + wire _zz_148_; + wire _zz_149_; + wire [1:0] _zz_150_; + wire _zz_151_; + wire _zz_152_; + wire _zz_153_; + wire _zz_154_; + wire _zz_155_; + wire _zz_156_; + wire [1:0] _zz_157_; + wire _zz_158_; + wire _zz_159_; + wire [5:0] _zz_160_; + wire _zz_161_; + wire _zz_162_; + wire _zz_163_; + wire _zz_164_; + wire [1:0] _zz_165_; + wire _zz_166_; + wire [1:0] _zz_167_; + wire [0:0] _zz_168_; + wire [0:0] _zz_169_; + wire [0:0] _zz_170_; + wire [0:0] _zz_171_; + wire [0:0] _zz_172_; + wire [32:0] _zz_173_; + wire [31:0] _zz_174_; + wire [32:0] _zz_175_; + wire [0:0] _zz_176_; + wire [0:0] _zz_177_; + wire [47:0] _zz_178_; + wire [47:0] _zz_179_; + wire [0:0] _zz_180_; + wire [0:0] _zz_181_; + wire [30:0] _zz_182_; + wire [30:0] _zz_183_; + wire [30:0] _zz_184_; + wire [0:0] _zz_185_; + wire [0:0] _zz_186_; + wire [0:0] _zz_187_; + wire [0:0] _zz_188_; + wire [0:0] _zz_189_; + wire [0:0] _zz_190_; + wire [0:0] _zz_191_; + wire [1:0] _zz_192_; + wire [1:0] _zz_193_; + wire [2:0] _zz_194_; + wire [31:0] _zz_195_; + wire [2:0] _zz_196_; + wire [0:0] _zz_197_; + wire [2:0] _zz_198_; + wire [0:0] _zz_199_; + wire [2:0] _zz_200_; + wire [0:0] _zz_201_; + wire [2:0] _zz_202_; + wire [0:0] _zz_203_; + wire [2:0] _zz_204_; + wire [4:0] _zz_205_; + wire [11:0] _zz_206_; + wire [11:0] _zz_207_; + wire [31:0] _zz_208_; + wire [31:0] _zz_209_; + wire [31:0] _zz_210_; + wire [31:0] _zz_211_; + wire [31:0] _zz_212_; + wire [31:0] _zz_213_; + wire [31:0] _zz_214_; + wire [19:0] _zz_215_; + wire [11:0] _zz_216_; + wire [11:0] _zz_217_; + wire [32:0] _zz_218_; + wire [31:0] _zz_219_; + wire [31:0] _zz_220_; + wire [31:0] _zz_221_; + wire [0:0] _zz_222_; + wire [5:0] _zz_223_; + wire [32:0] _zz_224_; + wire [31:0] _zz_225_; + wire [31:0] _zz_226_; + wire [32:0] _zz_227_; + wire [32:0] _zz_228_; + wire [32:0] _zz_229_; + wire [32:0] _zz_230_; + wire [0:0] _zz_231_; + wire [32:0] _zz_232_; + wire [0:0] _zz_233_; + wire [32:0] _zz_234_; + wire [0:0] _zz_235_; + wire [31:0] _zz_236_; + wire [0:0] _zz_237_; + wire [0:0] _zz_238_; + wire [0:0] _zz_239_; + wire [0:0] _zz_240_; + wire [0:0] _zz_241_; + wire [0:0] _zz_242_; + wire [0:0] _zz_243_; + wire [0:0] _zz_244_; + wire [0:0] _zz_245_; + wire _zz_246_; + wire _zz_247_; + wire [31:0] _zz_248_; + wire _zz_249_; + wire [0:0] _zz_250_; + wire [1:0] _zz_251_; + wire _zz_252_; + wire [0:0] _zz_253_; + wire [0:0] _zz_254_; + wire _zz_255_; + wire [0:0] _zz_256_; + wire [23:0] _zz_257_; + wire [31:0] _zz_258_; + wire [31:0] _zz_259_; + wire [31:0] _zz_260_; + wire _zz_261_; + wire _zz_262_; + wire [1:0] _zz_263_; + wire [1:0] _zz_264_; + wire _zz_265_; + wire [0:0] _zz_266_; + wire [20:0] _zz_267_; + wire [31:0] _zz_268_; + wire [31:0] _zz_269_; + wire [31:0] _zz_270_; + wire [31:0] _zz_271_; + wire [0:0] _zz_272_; + wire [0:0] _zz_273_; + wire [0:0] _zz_274_; + wire [0:0] _zz_275_; + wire _zz_276_; + wire [0:0] _zz_277_; + wire [17:0] _zz_278_; + wire [31:0] _zz_279_; + wire [31:0] _zz_280_; + wire [31:0] _zz_281_; + wire [0:0] _zz_282_; + wire [0:0] _zz_283_; + wire [2:0] _zz_284_; + wire [2:0] _zz_285_; + wire _zz_286_; + wire [0:0] _zz_287_; + wire [14:0] _zz_288_; + wire [31:0] _zz_289_; + wire [31:0] _zz_290_; + wire [31:0] _zz_291_; + wire [31:0] _zz_292_; + wire _zz_293_; + wire _zz_294_; + wire _zz_295_; + wire [0:0] _zz_296_; + wire [0:0] _zz_297_; + wire [0:0] _zz_298_; + wire [3:0] _zz_299_; + wire [0:0] _zz_300_; + wire [0:0] _zz_301_; + wire _zz_302_; + wire [0:0] _zz_303_; + wire [11:0] _zz_304_; + wire [31:0] _zz_305_; + wire [31:0] _zz_306_; + wire [31:0] _zz_307_; + wire [31:0] _zz_308_; + wire [31:0] _zz_309_; + wire [31:0] _zz_310_; + wire [31:0] _zz_311_; + wire _zz_312_; + wire [0:0] _zz_313_; + wire [1:0] _zz_314_; + wire [31:0] _zz_315_; + wire [31:0] _zz_316_; + wire _zz_317_; + wire [0:0] _zz_318_; + wire [0:0] _zz_319_; + wire _zz_320_; + wire [0:0] _zz_321_; + wire [9:0] _zz_322_; + wire [31:0] _zz_323_; + wire [31:0] _zz_324_; + wire [31:0] _zz_325_; + wire [31:0] _zz_326_; + wire [31:0] _zz_327_; + wire [31:0] _zz_328_; + wire _zz_329_; + wire [0:0] _zz_330_; + wire [2:0] _zz_331_; + wire [0:0] _zz_332_; + wire [0:0] _zz_333_; + wire [5:0] _zz_334_; + wire [5:0] _zz_335_; + wire _zz_336_; + wire [0:0] _zz_337_; + wire [6:0] _zz_338_; + wire [31:0] _zz_339_; + wire _zz_340_; + wire [0:0] _zz_341_; + wire [0:0] _zz_342_; + wire [31:0] _zz_343_; + wire [31:0] _zz_344_; + wire [31:0] _zz_345_; + wire [31:0] _zz_346_; + wire [0:0] _zz_347_; + wire [3:0] _zz_348_; + wire _zz_349_; + wire [1:0] _zz_350_; + wire [1:0] _zz_351_; + wire _zz_352_; + wire [0:0] _zz_353_; + wire [4:0] _zz_354_; + wire [31:0] _zz_355_; + wire [31:0] _zz_356_; + wire [31:0] _zz_357_; + wire [31:0] _zz_358_; + wire [31:0] _zz_359_; + wire [31:0] _zz_360_; + wire [31:0] _zz_361_; + wire _zz_362_; + wire [0:0] _zz_363_; + wire [1:0] _zz_364_; + wire [31:0] _zz_365_; + wire _zz_366_; + wire [0:0] _zz_367_; + wire [0:0] _zz_368_; + wire [1:0] _zz_369_; + wire [1:0] _zz_370_; + wire _zz_371_; + wire [0:0] _zz_372_; + wire [2:0] _zz_373_; + wire [31:0] _zz_374_; + wire [31:0] _zz_375_; + wire [31:0] _zz_376_; + wire _zz_377_; + wire _zz_378_; + wire [31:0] _zz_379_; + wire [31:0] _zz_380_; + wire [31:0] _zz_381_; + wire _zz_382_; + wire [0:0] _zz_383_; + wire [0:0] _zz_384_; + wire [1:0] _zz_385_; + wire [1:0] _zz_386_; + wire _zz_387_; + wire [0:0] _zz_388_; + wire [0:0] _zz_389_; + wire [31:0] _zz_390_; + wire [31:0] _zz_391_; + wire [31:0] _zz_392_; + wire [31:0] _zz_393_; + wire [31:0] _zz_394_; + wire [31:0] _zz_395_; + wire [31:0] _zz_396_; + wire _zz_397_; + wire _zz_398_; + wire decode_MEMORY_ENABLE; + wire execute_BRANCH_DO; + wire decode_IS_RS2_SIGNED; + wire [31:0] execute_MUL_HH; + wire [31:0] writeBack_FORMAL_PC_NEXT; + wire [31:0] memory_FORMAL_PC_NEXT; + wire [31:0] execute_FORMAL_PC_NEXT; + wire [31:0] decode_FORMAL_PC_NEXT; + wire `AluCtrlEnum_defaultEncoding_type decode_ALU_CTRL; + wire `AluCtrlEnum_defaultEncoding_type _zz_1_; + wire `AluCtrlEnum_defaultEncoding_type _zz_2_; + wire `AluCtrlEnum_defaultEncoding_type _zz_3_; + wire decode_IS_CSR; + wire `AluBitwiseCtrlEnum_defaultEncoding_type decode_ALU_BITWISE_CTRL; + wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_4_; + wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_5_; + wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_6_; + wire decode_SRC2_FORCE_ZERO; + wire decode_IS_DIV; + wire [31:0] execute_MUL_LH; + wire decode_MEMORY_STORE; + wire [31:0] execute_MUL_HL; + wire [31:0] execute_BRANCH_CALC; + wire decode_CSR_WRITE_OPCODE; + wire [31:0] execute_SHIFT_RIGHT; + wire [31:0] memory_PC; + wire decode_IS_RS1_SIGNED; + wire decode_BYPASSABLE_EXECUTE_STAGE; + wire [63:0] memory_MUL; + wire [31:0] memory_SRC2; + wire [31:0] decode_SRC2; + wire memory_IS_MUL; + wire execute_IS_MUL; + wire decode_IS_MUL; + wire execute_BYPASSABLE_MEMORY_STAGE; + wire decode_BYPASSABLE_MEMORY_STAGE; + wire `BranchCtrlEnum_defaultEncoding_type decode_BRANCH_CTRL; + wire `BranchCtrlEnum_defaultEncoding_type _zz_7_; + wire `BranchCtrlEnum_defaultEncoding_type _zz_8_; + wire `BranchCtrlEnum_defaultEncoding_type _zz_9_; + wire decode_DO_EBREAK; + wire [31:0] memory_SRC1; + wire [31:0] decode_SRC1; + wire decode_SRC_LESS_UNSIGNED; + wire [1:0] memory_MEMORY_ADDRESS_LOW; + wire [1:0] execute_MEMORY_ADDRESS_LOW; + wire decode_CSR_READ_OPCODE; + wire [31:0] memory_MEMORY_READ_DATA; + wire [31:0] writeBack_REGFILE_WRITE_DATA; + wire [31:0] memory_REGFILE_WRITE_DATA; + wire [31:0] execute_REGFILE_WRITE_DATA; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_10_; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_11_; + wire `ShiftCtrlEnum_defaultEncoding_type decode_SHIFT_CTRL; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_12_; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_13_; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_14_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_15_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_16_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_17_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_18_; + wire `EnvCtrlEnum_defaultEncoding_type decode_ENV_CTRL; + wire `EnvCtrlEnum_defaultEncoding_type _zz_19_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_20_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_21_; + wire [31:0] execute_MUL_LL; + wire execute_DO_EBREAK; + wire decode_IS_EBREAK; + wire execute_IS_RS1_SIGNED; + wire execute_IS_DIV; + wire execute_IS_RS2_SIGNED; + wire memory_IS_DIV; + wire [63:0] writeBack_MUL; + wire writeBack_IS_MUL; + wire [31:0] writeBack_SRC2; + wire [31:0] writeBack_SRC1; + wire [31:0] memory_MUL_HH; + wire [31:0] memory_MUL_HL; + wire [31:0] memory_MUL_LH; + wire [31:0] memory_MUL_LL; + wire [31:0] memory_BRANCH_CALC; + wire memory_BRANCH_DO; + wire [31:0] execute_PC; + wire [31:0] execute_RS1; + wire `BranchCtrlEnum_defaultEncoding_type execute_BRANCH_CTRL; + wire `BranchCtrlEnum_defaultEncoding_type _zz_22_; + wire decode_RS2_USE; + wire decode_RS1_USE; + wire execute_REGFILE_WRITE_VALID; + wire execute_BYPASSABLE_EXECUTE_STAGE; + wire memory_REGFILE_WRITE_VALID; + wire [31:0] memory_INSTRUCTION; + wire memory_BYPASSABLE_MEMORY_STAGE; + wire writeBack_REGFILE_WRITE_VALID; + reg [31:0] decode_RS2; + reg [31:0] decode_RS1; + wire [31:0] memory_SHIFT_RIGHT; + reg [31:0] _zz_23_; + wire `ShiftCtrlEnum_defaultEncoding_type memory_SHIFT_CTRL; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_24_; + wire `ShiftCtrlEnum_defaultEncoding_type execute_SHIFT_CTRL; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_25_; + wire execute_SRC_LESS_UNSIGNED; + wire execute_SRC2_FORCE_ZERO; + wire execute_SRC_USE_SUB_LESS; + wire [31:0] _zz_26_; + wire [31:0] _zz_27_; + wire `Src2CtrlEnum_defaultEncoding_type decode_SRC2_CTRL; + wire `Src2CtrlEnum_defaultEncoding_type _zz_28_; + wire [31:0] _zz_29_; + wire `Src1CtrlEnum_defaultEncoding_type decode_SRC1_CTRL; + wire `Src1CtrlEnum_defaultEncoding_type _zz_30_; + wire decode_SRC_USE_SUB_LESS; + wire decode_SRC_ADD_ZERO; + wire [31:0] execute_SRC_ADD_SUB; + wire execute_SRC_LESS; + wire `AluCtrlEnum_defaultEncoding_type execute_ALU_CTRL; + wire `AluCtrlEnum_defaultEncoding_type _zz_31_; + wire [31:0] execute_SRC2; + wire `AluBitwiseCtrlEnum_defaultEncoding_type execute_ALU_BITWISE_CTRL; + wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_32_; + wire [31:0] _zz_33_; + wire _zz_34_; + reg _zz_35_; + wire [31:0] decode_INSTRUCTION_ANTICIPATED; + reg decode_REGFILE_WRITE_VALID; + wire `AluCtrlEnum_defaultEncoding_type _zz_36_; + wire `BranchCtrlEnum_defaultEncoding_type _zz_37_; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_38_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_39_; + wire `Src2CtrlEnum_defaultEncoding_type _zz_40_; + wire `Src1CtrlEnum_defaultEncoding_type _zz_41_; + wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_42_; + reg [31:0] _zz_43_; + wire [31:0] execute_SRC1; + wire execute_CSR_READ_OPCODE; + wire execute_CSR_WRITE_OPCODE; + wire execute_IS_CSR; + wire `EnvCtrlEnum_defaultEncoding_type memory_ENV_CTRL; + wire `EnvCtrlEnum_defaultEncoding_type _zz_44_; + wire `EnvCtrlEnum_defaultEncoding_type execute_ENV_CTRL; + wire `EnvCtrlEnum_defaultEncoding_type _zz_45_; + wire `EnvCtrlEnum_defaultEncoding_type writeBack_ENV_CTRL; + wire `EnvCtrlEnum_defaultEncoding_type _zz_46_; + wire writeBack_MEMORY_STORE; + reg [31:0] _zz_47_; + wire writeBack_MEMORY_ENABLE; + wire [1:0] writeBack_MEMORY_ADDRESS_LOW; + wire [31:0] writeBack_MEMORY_READ_DATA; + wire memory_MEMORY_STORE; + wire memory_MEMORY_ENABLE; + wire [31:0] execute_SRC_ADD; + wire [31:0] execute_RS2; + wire [31:0] execute_INSTRUCTION; + wire execute_MEMORY_STORE; + wire execute_MEMORY_ENABLE; + wire execute_ALIGNEMENT_FAULT; + reg [31:0] _zz_48_; + wire [31:0] decode_PC; + wire [31:0] decode_INSTRUCTION; + wire [31:0] writeBack_PC; + wire [31:0] writeBack_INSTRUCTION; + reg decode_arbitration_haltItself; + reg decode_arbitration_haltByOther; + reg decode_arbitration_removeIt; + wire decode_arbitration_flushIt; + wire decode_arbitration_flushNext; + reg decode_arbitration_isValid; + wire decode_arbitration_isStuck; + wire decode_arbitration_isStuckByOthers; + wire decode_arbitration_isFlushed; + wire decode_arbitration_isMoving; + wire decode_arbitration_isFiring; + reg execute_arbitration_haltItself; + reg execute_arbitration_haltByOther; + reg execute_arbitration_removeIt; + reg execute_arbitration_flushIt; + reg execute_arbitration_flushNext; + reg execute_arbitration_isValid; + wire execute_arbitration_isStuck; + wire execute_arbitration_isStuckByOthers; + wire execute_arbitration_isFlushed; + wire execute_arbitration_isMoving; + wire execute_arbitration_isFiring; + reg memory_arbitration_haltItself; + wire memory_arbitration_haltByOther; + reg memory_arbitration_removeIt; + wire memory_arbitration_flushIt; + reg memory_arbitration_flushNext; + reg memory_arbitration_isValid; + wire memory_arbitration_isStuck; + wire memory_arbitration_isStuckByOthers; + wire memory_arbitration_isFlushed; + wire memory_arbitration_isMoving; + wire memory_arbitration_isFiring; + wire writeBack_arbitration_haltItself; + wire writeBack_arbitration_haltByOther; + reg writeBack_arbitration_removeIt; + wire writeBack_arbitration_flushIt; + reg writeBack_arbitration_flushNext; + reg writeBack_arbitration_isValid; + wire writeBack_arbitration_isStuck; + wire writeBack_arbitration_isStuckByOthers; + wire writeBack_arbitration_isFlushed; + wire writeBack_arbitration_isMoving; + wire writeBack_arbitration_isFiring; + wire [31:0] lastStageInstruction /* verilator public */ ; + wire [31:0] lastStagePc /* verilator public */ ; + wire lastStageIsValid /* verilator public */ ; + wire lastStageIsFiring /* verilator public */ ; + reg IBusSimplePlugin_fetcherHalt; + reg IBusSimplePlugin_incomingInstruction; + wire IBusSimplePlugin_pcValids_0; + wire IBusSimplePlugin_pcValids_1; + wire IBusSimplePlugin_pcValids_2; + wire IBusSimplePlugin_pcValids_3; + wire CsrPlugin_inWfi /* verilator public */ ; + reg CsrPlugin_thirdPartyWake; + reg CsrPlugin_jumpInterface_valid; + reg [31:0] CsrPlugin_jumpInterface_payload; + wire CsrPlugin_exceptionPendings_0; + wire CsrPlugin_exceptionPendings_1; + wire CsrPlugin_exceptionPendings_2; + wire CsrPlugin_exceptionPendings_3; + wire contextSwitching; + reg [1:0] CsrPlugin_privilege; + reg CsrPlugin_forceMachineWire; + reg CsrPlugin_allowInterrupts; + reg CsrPlugin_allowException; + wire BranchPlugin_jumpInterface_valid; + wire [31:0] BranchPlugin_jumpInterface_payload; + reg IBusSimplePlugin_injectionPort_valid; + reg IBusSimplePlugin_injectionPort_ready; + wire [31:0] IBusSimplePlugin_injectionPort_payload; + wire IBusSimplePlugin_externalFlush; + wire IBusSimplePlugin_jump_pcLoad_valid; + wire [31:0] IBusSimplePlugin_jump_pcLoad_payload; + wire [1:0] _zz_49_; + wire IBusSimplePlugin_fetchPc_output_valid; + wire IBusSimplePlugin_fetchPc_output_ready; + wire [31:0] IBusSimplePlugin_fetchPc_output_payload; + reg [31:0] IBusSimplePlugin_fetchPc_pcReg /* verilator public */ ; + reg IBusSimplePlugin_fetchPc_correction; + reg IBusSimplePlugin_fetchPc_correctionReg; + wire IBusSimplePlugin_fetchPc_corrected; + reg IBusSimplePlugin_fetchPc_pcRegPropagate; + reg IBusSimplePlugin_fetchPc_booted; + reg IBusSimplePlugin_fetchPc_inc; + reg [31:0] IBusSimplePlugin_fetchPc_pc; + reg IBusSimplePlugin_fetchPc_flushed; + wire IBusSimplePlugin_iBusRsp_redoFetch; + wire IBusSimplePlugin_iBusRsp_stages_0_input_valid; + wire IBusSimplePlugin_iBusRsp_stages_0_input_ready; + wire [31:0] IBusSimplePlugin_iBusRsp_stages_0_input_payload; + wire IBusSimplePlugin_iBusRsp_stages_0_output_valid; + wire IBusSimplePlugin_iBusRsp_stages_0_output_ready; + wire [31:0] IBusSimplePlugin_iBusRsp_stages_0_output_payload; + wire IBusSimplePlugin_iBusRsp_stages_0_halt; + wire IBusSimplePlugin_iBusRsp_stages_1_input_valid; + wire IBusSimplePlugin_iBusRsp_stages_1_input_ready; + wire [31:0] IBusSimplePlugin_iBusRsp_stages_1_input_payload; + wire IBusSimplePlugin_iBusRsp_stages_1_output_valid; + wire IBusSimplePlugin_iBusRsp_stages_1_output_ready; + wire [31:0] IBusSimplePlugin_iBusRsp_stages_1_output_payload; + reg IBusSimplePlugin_iBusRsp_stages_1_halt; + wire IBusSimplePlugin_iBusRsp_stages_2_input_valid; + wire IBusSimplePlugin_iBusRsp_stages_2_input_ready; + wire [31:0] IBusSimplePlugin_iBusRsp_stages_2_input_payload; + wire IBusSimplePlugin_iBusRsp_stages_2_output_valid; + wire IBusSimplePlugin_iBusRsp_stages_2_output_ready; + wire [31:0] IBusSimplePlugin_iBusRsp_stages_2_output_payload; + wire IBusSimplePlugin_iBusRsp_stages_2_halt; + wire _zz_50_; + wire _zz_51_; + wire _zz_52_; + wire IBusSimplePlugin_iBusRsp_flush; + wire _zz_53_; + wire _zz_54_; + reg _zz_55_; + wire _zz_56_; + reg _zz_57_; + reg [31:0] _zz_58_; + reg IBusSimplePlugin_iBusRsp_readyForError; + wire IBusSimplePlugin_iBusRsp_output_valid; + wire IBusSimplePlugin_iBusRsp_output_ready; + wire [31:0] IBusSimplePlugin_iBusRsp_output_payload_pc; + wire IBusSimplePlugin_iBusRsp_output_payload_rsp_error; + wire [31:0] IBusSimplePlugin_iBusRsp_output_payload_rsp_inst; + wire IBusSimplePlugin_iBusRsp_output_payload_isRvc; + wire IBusSimplePlugin_injector_decodeInput_valid; + wire IBusSimplePlugin_injector_decodeInput_ready; + wire [31:0] IBusSimplePlugin_injector_decodeInput_payload_pc; + wire IBusSimplePlugin_injector_decodeInput_payload_rsp_error; + wire [31:0] IBusSimplePlugin_injector_decodeInput_payload_rsp_inst; + wire IBusSimplePlugin_injector_decodeInput_payload_isRvc; + reg _zz_59_; + reg [31:0] _zz_60_; + reg _zz_61_; + reg [31:0] _zz_62_; + reg _zz_63_; + reg IBusSimplePlugin_injector_nextPcCalc_valids_0; + reg IBusSimplePlugin_injector_nextPcCalc_valids_1; + reg IBusSimplePlugin_injector_nextPcCalc_valids_2; + reg IBusSimplePlugin_injector_nextPcCalc_valids_3; + reg IBusSimplePlugin_injector_nextPcCalc_valids_4; + reg IBusSimplePlugin_injector_nextPcCalc_valids_5; + reg [31:0] IBusSimplePlugin_injector_formal_rawInDecode; + wire IBusSimplePlugin_cmd_valid; + wire IBusSimplePlugin_cmd_ready; + wire [31:0] IBusSimplePlugin_cmd_payload_pc; + wire IBusSimplePlugin_pending_inc; + wire IBusSimplePlugin_pending_dec; + reg [2:0] IBusSimplePlugin_pending_value; + wire [2:0] IBusSimplePlugin_pending_next; + wire IBusSimplePlugin_cmdFork_canEmit; + wire IBusSimplePlugin_rspJoin_rspBuffer_output_valid; + wire IBusSimplePlugin_rspJoin_rspBuffer_output_ready; + wire IBusSimplePlugin_rspJoin_rspBuffer_output_payload_error; + wire [31:0] IBusSimplePlugin_rspJoin_rspBuffer_output_payload_inst; + reg [2:0] IBusSimplePlugin_rspJoin_rspBuffer_discardCounter; + wire IBusSimplePlugin_rspJoin_rspBuffer_flush; + wire [31:0] IBusSimplePlugin_rspJoin_fetchRsp_pc; + reg IBusSimplePlugin_rspJoin_fetchRsp_rsp_error; + wire [31:0] IBusSimplePlugin_rspJoin_fetchRsp_rsp_inst; + wire IBusSimplePlugin_rspJoin_fetchRsp_isRvc; + wire IBusSimplePlugin_rspJoin_join_valid; + wire IBusSimplePlugin_rspJoin_join_ready; + wire [31:0] IBusSimplePlugin_rspJoin_join_payload_pc; + wire IBusSimplePlugin_rspJoin_join_payload_rsp_error; + wire [31:0] IBusSimplePlugin_rspJoin_join_payload_rsp_inst; + wire IBusSimplePlugin_rspJoin_join_payload_isRvc; + wire IBusSimplePlugin_rspJoin_exceptionDetected; + wire _zz_64_; + wire _zz_65_; + reg execute_DBusSimplePlugin_skipCmd; + reg [31:0] _zz_66_; + reg [3:0] _zz_67_; + wire [3:0] execute_DBusSimplePlugin_formalMask; + reg [31:0] writeBack_DBusSimplePlugin_rspShifted; + wire _zz_68_; + reg [31:0] _zz_69_; + wire _zz_70_; + reg [31:0] _zz_71_; + reg [31:0] writeBack_DBusSimplePlugin_rspFormated; + wire [1:0] CsrPlugin_misa_base; + wire [25:0] CsrPlugin_misa_extensions; + reg [1:0] CsrPlugin_mtvec_mode; + reg [29:0] CsrPlugin_mtvec_base; + reg [31:0] CsrPlugin_mepc; + reg CsrPlugin_mstatus_MIE; + reg CsrPlugin_mstatus_MPIE; + reg [1:0] CsrPlugin_mstatus_MPP; + reg CsrPlugin_mip_MEIP; + reg CsrPlugin_mip_MTIP; + reg CsrPlugin_mip_MSIP; + reg CsrPlugin_mie_MEIE; + reg CsrPlugin_mie_MTIE; + reg CsrPlugin_mie_MSIE; + reg CsrPlugin_mcause_interrupt; + reg [3:0] CsrPlugin_mcause_exceptionCode; + reg [31:0] CsrPlugin_mtval; + reg [63:0] CsrPlugin_mcycle = 64'b0000000000000000000000000000000000000000000000000000000000000000; + reg [63:0] CsrPlugin_minstret = 64'b0000000000000000000000000000000000000000000000000000000000000000; + wire _zz_72_; + wire _zz_73_; + wire _zz_74_; + reg CsrPlugin_interrupt_valid; + reg [3:0] CsrPlugin_interrupt_code /* verilator public */ ; + reg [1:0] CsrPlugin_interrupt_targetPrivilege; + wire CsrPlugin_exception; + wire CsrPlugin_lastStageWasWfi; + reg CsrPlugin_pipelineLiberator_pcValids_0; + reg CsrPlugin_pipelineLiberator_pcValids_1; + reg CsrPlugin_pipelineLiberator_pcValids_2; + wire CsrPlugin_pipelineLiberator_active; + reg CsrPlugin_pipelineLiberator_done; + wire CsrPlugin_interruptJump /* verilator public */ ; + reg CsrPlugin_hadException; + wire [1:0] CsrPlugin_targetPrivilege; + wire [3:0] CsrPlugin_trapCause; + reg [1:0] CsrPlugin_xtvec_mode; + reg [29:0] CsrPlugin_xtvec_base; + reg execute_CsrPlugin_wfiWake; + wire execute_CsrPlugin_blockedBySideEffects; + reg execute_CsrPlugin_illegalAccess; + reg execute_CsrPlugin_illegalInstruction; + wire [31:0] execute_CsrPlugin_readData; + wire execute_CsrPlugin_writeInstruction; + wire execute_CsrPlugin_readInstruction; + wire execute_CsrPlugin_writeEnable; + wire execute_CsrPlugin_readEnable; + wire [31:0] execute_CsrPlugin_readToWriteData; + reg [31:0] execute_CsrPlugin_writeData; + wire [11:0] execute_CsrPlugin_csrAddress; + wire [29:0] _zz_75_; + wire _zz_76_; + wire _zz_77_; + wire _zz_78_; + wire _zz_79_; + wire _zz_80_; + wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_81_; + wire `Src1CtrlEnum_defaultEncoding_type _zz_82_; + wire `Src2CtrlEnum_defaultEncoding_type _zz_83_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_84_; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_85_; + wire `BranchCtrlEnum_defaultEncoding_type _zz_86_; + wire `AluCtrlEnum_defaultEncoding_type _zz_87_; + wire [4:0] decode_RegFilePlugin_regFileReadAddress1; + wire [4:0] decode_RegFilePlugin_regFileReadAddress2; + wire [31:0] decode_RegFilePlugin_rs1Data; + wire [31:0] decode_RegFilePlugin_rs2Data; + reg lastStageRegFileWrite_valid /* verilator public */ ; + wire [4:0] lastStageRegFileWrite_payload_address /* verilator public */ ; + wire [31:0] lastStageRegFileWrite_payload_data /* verilator public */ ; + reg _zz_88_; + reg [31:0] execute_IntAluPlugin_bitwise; + reg [31:0] _zz_89_; + reg [31:0] _zz_90_; + wire _zz_91_; + reg [19:0] _zz_92_; + wire _zz_93_; + reg [19:0] _zz_94_; + reg [31:0] _zz_95_; + reg [31:0] execute_SrcPlugin_addSub; + wire execute_SrcPlugin_less; + wire [4:0] execute_FullBarrelShifterPlugin_amplitude; + reg [31:0] _zz_96_; + wire [31:0] execute_FullBarrelShifterPlugin_reversed; + reg [31:0] _zz_97_; + reg _zz_98_; + reg _zz_99_; + reg _zz_100_; + reg [4:0] _zz_101_; + reg [31:0] _zz_102_; + wire _zz_103_; + wire _zz_104_; + wire _zz_105_; + wire _zz_106_; + wire _zz_107_; + wire _zz_108_; + wire execute_BranchPlugin_eq; + wire [2:0] _zz_109_; + reg _zz_110_; + reg _zz_111_; + wire [31:0] execute_BranchPlugin_branch_src1; + wire _zz_112_; + reg [10:0] _zz_113_; + wire _zz_114_; + reg [19:0] _zz_115_; + wire _zz_116_; + reg [18:0] _zz_117_; + reg [31:0] _zz_118_; + wire [31:0] execute_BranchPlugin_branch_src2; + wire [31:0] execute_BranchPlugin_branchAdder; + wire [31:0] execute_Mul16Plugin_a; + wire [31:0] execute_Mul16Plugin_b; + wire [15:0] execute_Mul16Plugin_aLow; + wire [15:0] execute_Mul16Plugin_bLow; + wire [15:0] execute_Mul16Plugin_aHigh; + wire [15:0] execute_Mul16Plugin_bHigh; + wire [31:0] memory_Mul16Plugin_ll; + wire [32:0] memory_Mul16Plugin_lh; + wire [31:0] memory_Mul16Plugin_hl; + wire [31:0] memory_Mul16Plugin_hh; + wire [32:0] memory_Mul16Plugin_hllh; + reg writeBack_Mul16Plugin_aSigned; + reg writeBack_Mul16Plugin_bSigned; + wire [31:0] writeBack_Mul16Plugin_a; + wire [31:0] writeBack_Mul16Plugin_b; + reg [32:0] memory_MulDivIterativePlugin_rs1; + reg [31:0] memory_MulDivIterativePlugin_rs2; + reg [64:0] memory_MulDivIterativePlugin_accumulator; + wire memory_MulDivIterativePlugin_frontendOk; + reg memory_MulDivIterativePlugin_div_needRevert; + reg memory_MulDivIterativePlugin_div_counter_willIncrement; + reg memory_MulDivIterativePlugin_div_counter_willClear; + reg [5:0] memory_MulDivIterativePlugin_div_counter_valueNext; + reg [5:0] memory_MulDivIterativePlugin_div_counter_value; + wire memory_MulDivIterativePlugin_div_counter_willOverflowIfInc; + wire memory_MulDivIterativePlugin_div_counter_willOverflow; + reg memory_MulDivIterativePlugin_div_done; + reg [31:0] memory_MulDivIterativePlugin_div_result; + wire [31:0] _zz_119_; + wire [32:0] memory_MulDivIterativePlugin_div_stage_0_remainderShifted; + wire [32:0] memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator; + wire [31:0] memory_MulDivIterativePlugin_div_stage_0_outRemainder; + wire [31:0] memory_MulDivIterativePlugin_div_stage_0_outNumerator; + wire [31:0] _zz_120_; + wire _zz_121_; + wire _zz_122_; + reg [32:0] _zz_123_; + reg DebugPlugin_firstCycle; + reg DebugPlugin_secondCycle; + reg DebugPlugin_resetIt; + reg DebugPlugin_haltIt; + reg DebugPlugin_stepIt; + reg DebugPlugin_isPipBusy; + reg DebugPlugin_godmode; + reg DebugPlugin_haltedByBreak; + reg DebugPlugin_hardwareBreakpoints_0_valid; + reg [30:0] DebugPlugin_hardwareBreakpoints_0_pc; + reg DebugPlugin_hardwareBreakpoints_1_valid; + reg [30:0] DebugPlugin_hardwareBreakpoints_1_pc; + reg DebugPlugin_hardwareBreakpoints_2_valid; + reg [30:0] DebugPlugin_hardwareBreakpoints_2_pc; + reg [31:0] DebugPlugin_busReadDataReg; + reg _zz_124_; + reg DebugPlugin_resetIt_regNext; + reg [31:0] execute_to_memory_MUL_LL; + reg `EnvCtrlEnum_defaultEncoding_type decode_to_execute_ENV_CTRL; + reg `EnvCtrlEnum_defaultEncoding_type execute_to_memory_ENV_CTRL; + reg `EnvCtrlEnum_defaultEncoding_type memory_to_writeBack_ENV_CTRL; + reg `ShiftCtrlEnum_defaultEncoding_type decode_to_execute_SHIFT_CTRL; + reg `ShiftCtrlEnum_defaultEncoding_type execute_to_memory_SHIFT_CTRL; + reg [31:0] execute_to_memory_REGFILE_WRITE_DATA; + reg [31:0] memory_to_writeBack_REGFILE_WRITE_DATA; + reg [31:0] decode_to_execute_RS2; + reg [31:0] memory_to_writeBack_MEMORY_READ_DATA; + reg decode_to_execute_CSR_READ_OPCODE; + reg [1:0] execute_to_memory_MEMORY_ADDRESS_LOW; + reg [1:0] memory_to_writeBack_MEMORY_ADDRESS_LOW; + reg decode_to_execute_SRC_LESS_UNSIGNED; + reg [31:0] decode_to_execute_SRC1; + reg [31:0] execute_to_memory_SRC1; + reg [31:0] memory_to_writeBack_SRC1; + reg decode_to_execute_DO_EBREAK; + reg `BranchCtrlEnum_defaultEncoding_type decode_to_execute_BRANCH_CTRL; + reg decode_to_execute_BYPASSABLE_MEMORY_STAGE; + reg execute_to_memory_BYPASSABLE_MEMORY_STAGE; + reg decode_to_execute_IS_MUL; + reg execute_to_memory_IS_MUL; + reg memory_to_writeBack_IS_MUL; + reg [31:0] decode_to_execute_INSTRUCTION; + reg [31:0] execute_to_memory_INSTRUCTION; + reg [31:0] memory_to_writeBack_INSTRUCTION; + reg [31:0] decode_to_execute_SRC2; + reg [31:0] execute_to_memory_SRC2; + reg [31:0] memory_to_writeBack_SRC2; + reg [63:0] memory_to_writeBack_MUL; + reg decode_to_execute_SRC_USE_SUB_LESS; + reg decode_to_execute_BYPASSABLE_EXECUTE_STAGE; + reg decode_to_execute_IS_RS1_SIGNED; + reg [31:0] decode_to_execute_PC; + reg [31:0] execute_to_memory_PC; + reg [31:0] memory_to_writeBack_PC; + reg [31:0] execute_to_memory_SHIFT_RIGHT; + reg decode_to_execute_CSR_WRITE_OPCODE; + reg [31:0] execute_to_memory_BRANCH_CALC; + reg decode_to_execute_REGFILE_WRITE_VALID; + reg execute_to_memory_REGFILE_WRITE_VALID; + reg memory_to_writeBack_REGFILE_WRITE_VALID; + reg [31:0] execute_to_memory_MUL_HL; + reg decode_to_execute_MEMORY_STORE; + reg execute_to_memory_MEMORY_STORE; + reg memory_to_writeBack_MEMORY_STORE; + reg [31:0] execute_to_memory_MUL_LH; + reg decode_to_execute_IS_DIV; + reg execute_to_memory_IS_DIV; + reg decode_to_execute_SRC2_FORCE_ZERO; + reg `AluBitwiseCtrlEnum_defaultEncoding_type decode_to_execute_ALU_BITWISE_CTRL; + reg decode_to_execute_IS_CSR; + reg `AluCtrlEnum_defaultEncoding_type decode_to_execute_ALU_CTRL; + reg [31:0] decode_to_execute_FORMAL_PC_NEXT; + reg [31:0] execute_to_memory_FORMAL_PC_NEXT; + reg [31:0] memory_to_writeBack_FORMAL_PC_NEXT; + reg [31:0] execute_to_memory_MUL_HH; + reg decode_to_execute_IS_RS2_SIGNED; + reg execute_to_memory_BRANCH_DO; + reg decode_to_execute_MEMORY_ENABLE; + reg execute_to_memory_MEMORY_ENABLE; + reg memory_to_writeBack_MEMORY_ENABLE; + reg [31:0] decode_to_execute_RS1; + reg [2:0] _zz_125_; + reg execute_CsrPlugin_csr_768; + reg execute_CsrPlugin_csr_836; + reg execute_CsrPlugin_csr_772; + reg execute_CsrPlugin_csr_773; + reg execute_CsrPlugin_csr_834; + reg execute_CsrPlugin_csr_2816; + reg execute_CsrPlugin_csr_2944; + reg execute_CsrPlugin_csr_2818; + reg execute_CsrPlugin_csr_2946; + reg [31:0] _zz_126_; + reg [31:0] _zz_127_; + reg [31:0] _zz_128_; + reg [31:0] _zz_129_; + reg [31:0] _zz_130_; + reg [31:0] _zz_131_; + reg [31:0] _zz_132_; + reg [31:0] _zz_133_; + reg [31:0] _zz_134_; + `ifndef SYNTHESIS + reg [63:0] decode_ALU_CTRL_string; + reg [63:0] _zz_1__string; + reg [63:0] _zz_2__string; + reg [63:0] _zz_3__string; + reg [39:0] decode_ALU_BITWISE_CTRL_string; + reg [39:0] _zz_4__string; + reg [39:0] _zz_5__string; + reg [39:0] _zz_6__string; + reg [31:0] decode_BRANCH_CTRL_string; + reg [31:0] _zz_7__string; + reg [31:0] _zz_8__string; + reg [31:0] _zz_9__string; + reg [71:0] _zz_10__string; + reg [71:0] _zz_11__string; + reg [71:0] decode_SHIFT_CTRL_string; + reg [71:0] _zz_12__string; + reg [71:0] _zz_13__string; + reg [71:0] _zz_14__string; + reg [31:0] _zz_15__string; + reg [31:0] _zz_16__string; + reg [31:0] _zz_17__string; + reg [31:0] _zz_18__string; + reg [31:0] decode_ENV_CTRL_string; + reg [31:0] _zz_19__string; + reg [31:0] _zz_20__string; + reg [31:0] _zz_21__string; + reg [31:0] execute_BRANCH_CTRL_string; + reg [31:0] _zz_22__string; + reg [71:0] memory_SHIFT_CTRL_string; + reg [71:0] _zz_24__string; + reg [71:0] execute_SHIFT_CTRL_string; + reg [71:0] _zz_25__string; + reg [23:0] decode_SRC2_CTRL_string; + reg [23:0] _zz_28__string; + reg [95:0] decode_SRC1_CTRL_string; + reg [95:0] _zz_30__string; + reg [63:0] execute_ALU_CTRL_string; + reg [63:0] _zz_31__string; + reg [39:0] execute_ALU_BITWISE_CTRL_string; + reg [39:0] _zz_32__string; + reg [63:0] _zz_36__string; + reg [31:0] _zz_37__string; + reg [71:0] _zz_38__string; + reg [31:0] _zz_39__string; + reg [23:0] _zz_40__string; + reg [95:0] _zz_41__string; + reg [39:0] _zz_42__string; + reg [31:0] memory_ENV_CTRL_string; + reg [31:0] _zz_44__string; + reg [31:0] execute_ENV_CTRL_string; + reg [31:0] _zz_45__string; + reg [31:0] writeBack_ENV_CTRL_string; + reg [31:0] _zz_46__string; + reg [39:0] _zz_81__string; + reg [95:0] _zz_82__string; + reg [23:0] _zz_83__string; + reg [31:0] _zz_84__string; + reg [71:0] _zz_85__string; + reg [31:0] _zz_86__string; + reg [63:0] _zz_87__string; + reg [31:0] decode_to_execute_ENV_CTRL_string; + reg [31:0] execute_to_memory_ENV_CTRL_string; + reg [31:0] memory_to_writeBack_ENV_CTRL_string; + reg [71:0] decode_to_execute_SHIFT_CTRL_string; + reg [71:0] execute_to_memory_SHIFT_CTRL_string; + reg [31:0] decode_to_execute_BRANCH_CTRL_string; + reg [39:0] decode_to_execute_ALU_BITWISE_CTRL_string; + reg [63:0] decode_to_execute_ALU_CTRL_string; + `endif + + reg [31:0] RegFilePlugin_regFile [0:31] /* verilator public */ ; + + assign _zz_139_ = (writeBack_arbitration_isValid && writeBack_REGFILE_WRITE_VALID); + assign _zz_140_ = 1'b1; + assign _zz_141_ = (memory_arbitration_isValid && memory_REGFILE_WRITE_VALID); + assign _zz_142_ = (execute_arbitration_isValid && execute_REGFILE_WRITE_VALID); + assign _zz_143_ = (memory_arbitration_isValid && memory_IS_DIV); + assign _zz_144_ = (execute_arbitration_isValid && execute_IS_CSR); + assign _zz_145_ = (execute_arbitration_isValid && execute_DO_EBREAK); + assign _zz_146_ = (({writeBack_arbitration_isValid,memory_arbitration_isValid} != (2'b00)) == 1'b0); + assign _zz_147_ = (CsrPlugin_hadException || CsrPlugin_interruptJump); + assign _zz_148_ = (writeBack_arbitration_isValid && (writeBack_ENV_CTRL == `EnvCtrlEnum_defaultEncoding_XRET)); + assign _zz_149_ = (DebugPlugin_stepIt && IBusSimplePlugin_incomingInstruction); + assign _zz_150_ = writeBack_INSTRUCTION[29 : 28]; + assign _zz_151_ = (writeBack_arbitration_isValid && writeBack_REGFILE_WRITE_VALID); + assign _zz_152_ = (1'b0 || (! 1'b1)); + assign _zz_153_ = (memory_arbitration_isValid && memory_REGFILE_WRITE_VALID); + assign _zz_154_ = (1'b0 || (! memory_BYPASSABLE_MEMORY_STAGE)); + assign _zz_155_ = (execute_arbitration_isValid && execute_REGFILE_WRITE_VALID); + assign _zz_156_ = (1'b0 || (! execute_BYPASSABLE_EXECUTE_STAGE)); + assign _zz_157_ = writeBack_INSTRUCTION[13 : 12]; + assign _zz_158_ = (memory_MulDivIterativePlugin_frontendOk && (! memory_MulDivIterativePlugin_div_done)); + assign _zz_159_ = (! memory_arbitration_isStuck); + assign _zz_160_ = debug_bus_cmd_payload_address[7 : 2]; + assign _zz_161_ = (CsrPlugin_mstatus_MIE || (CsrPlugin_privilege < (2'b11))); + assign _zz_162_ = ((_zz_72_ && 1'b1) && (! 1'b0)); + assign _zz_163_ = ((_zz_73_ && 1'b1) && (! 1'b0)); + assign _zz_164_ = ((_zz_74_ && 1'b1) && (! 1'b0)); + assign _zz_165_ = writeBack_INSTRUCTION[13 : 12]; + assign _zz_166_ = execute_INSTRUCTION[13]; + assign _zz_167_ = writeBack_INSTRUCTION[13 : 12]; + assign _zz_168_ = _zz_75_[12 : 12]; + assign _zz_169_ = _zz_75_[19 : 19]; + assign _zz_170_ = _zz_75_[10 : 10]; + assign _zz_171_ = _zz_75_[29 : 29]; + assign _zz_172_ = _zz_75_[0 : 0]; + assign _zz_173_ = ($signed(_zz_175_) >>> execute_FullBarrelShifterPlugin_amplitude); + assign _zz_174_ = _zz_173_[31 : 0]; + assign _zz_175_ = {((execute_SHIFT_CTRL == `ShiftCtrlEnum_defaultEncoding_SRA_1) && execute_FullBarrelShifterPlugin_reversed[31]),execute_FullBarrelShifterPlugin_reversed}; + assign _zz_176_ = _zz_75_[22 : 22]; + assign _zz_177_ = _zz_75_[15 : 15]; + assign _zz_178_ = ({memory_Mul16Plugin_hh,memory_Mul16Plugin_ll[31 : 16]} + _zz_179_); + assign _zz_179_ = {15'd0, memory_Mul16Plugin_hllh}; + assign _zz_180_ = _zz_75_[14 : 14]; + assign _zz_181_ = _zz_75_[11 : 11]; + assign _zz_182_ = (decode_PC >>> 1); + assign _zz_183_ = (decode_PC >>> 1); + assign _zz_184_ = (decode_PC >>> 1); + assign _zz_185_ = _zz_75_[3 : 3]; + assign _zz_186_ = _zz_75_[8 : 8]; + assign _zz_187_ = _zz_75_[23 : 23]; + assign _zz_188_ = _zz_75_[28 : 28]; + assign _zz_189_ = _zz_75_[16 : 16]; + assign _zz_190_ = _zz_75_[20 : 20]; + assign _zz_191_ = _zz_75_[9 : 9]; + assign _zz_192_ = (_zz_49_ & (~ _zz_193_)); + assign _zz_193_ = (_zz_49_ - (2'b01)); + assign _zz_194_ = {IBusSimplePlugin_fetchPc_inc,(2'b00)}; + assign _zz_195_ = {29'd0, _zz_194_}; + assign _zz_196_ = (IBusSimplePlugin_pending_value + _zz_198_); + assign _zz_197_ = IBusSimplePlugin_pending_inc; + assign _zz_198_ = {2'd0, _zz_197_}; + assign _zz_199_ = IBusSimplePlugin_pending_dec; + assign _zz_200_ = {2'd0, _zz_199_}; + assign _zz_201_ = (IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid && (IBusSimplePlugin_rspJoin_rspBuffer_discardCounter != (3'b000))); + assign _zz_202_ = {2'd0, _zz_201_}; + assign _zz_203_ = execute_SRC_LESS; + assign _zz_204_ = (3'b100); + assign _zz_205_ = decode_INSTRUCTION[19 : 15]; + assign _zz_206_ = decode_INSTRUCTION[31 : 20]; + assign _zz_207_ = {decode_INSTRUCTION[31 : 25],decode_INSTRUCTION[11 : 7]}; + assign _zz_208_ = ($signed(_zz_209_) + $signed(_zz_212_)); + assign _zz_209_ = ($signed(_zz_210_) + $signed(_zz_211_)); + assign _zz_210_ = execute_SRC1; + assign _zz_211_ = (execute_SRC_USE_SUB_LESS ? (~ execute_SRC2) : execute_SRC2); + assign _zz_212_ = (execute_SRC_USE_SUB_LESS ? _zz_213_ : _zz_214_); + assign _zz_213_ = 32'h00000001; + assign _zz_214_ = 32'h0; + assign _zz_215_ = {{{execute_INSTRUCTION[31],execute_INSTRUCTION[19 : 12]},execute_INSTRUCTION[20]},execute_INSTRUCTION[30 : 21]}; + assign _zz_216_ = execute_INSTRUCTION[31 : 20]; + assign _zz_217_ = {{{execute_INSTRUCTION[31],execute_INSTRUCTION[7]},execute_INSTRUCTION[30 : 25]},execute_INSTRUCTION[11 : 8]}; + assign _zz_218_ = {1'd0, memory_Mul16Plugin_hl}; + assign _zz_219_ = (_zz_220_ + _zz_221_); + assign _zz_220_ = (writeBack_MUL[63 : 32] + (~ writeBack_Mul16Plugin_a)); + assign _zz_221_ = ((~ writeBack_Mul16Plugin_b) + 32'h00000002); + assign _zz_222_ = memory_MulDivIterativePlugin_div_counter_willIncrement; + assign _zz_223_ = {5'd0, _zz_222_}; + assign _zz_224_ = {1'd0, memory_MulDivIterativePlugin_rs2}; + assign _zz_225_ = memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator[31:0]; + assign _zz_226_ = memory_MulDivIterativePlugin_div_stage_0_remainderShifted[31:0]; + assign _zz_227_ = {_zz_119_,(! memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator[32])}; + assign _zz_228_ = _zz_229_; + assign _zz_229_ = _zz_230_; + assign _zz_230_ = ({1'b0,(memory_MulDivIterativePlugin_div_needRevert ? (~ _zz_120_) : _zz_120_)} + _zz_232_); + assign _zz_231_ = memory_MulDivIterativePlugin_div_needRevert; + assign _zz_232_ = {32'd0, _zz_231_}; + assign _zz_233_ = _zz_122_; + assign _zz_234_ = {32'd0, _zz_233_}; + assign _zz_235_ = _zz_121_; + assign _zz_236_ = {31'd0, _zz_235_}; + assign _zz_237_ = debug_bus_cmd_payload_data[0 : 0]; + assign _zz_238_ = debug_bus_cmd_payload_data[0 : 0]; + assign _zz_239_ = debug_bus_cmd_payload_data[0 : 0]; + assign _zz_240_ = execute_CsrPlugin_writeData[7 : 7]; + assign _zz_241_ = execute_CsrPlugin_writeData[3 : 3]; + assign _zz_242_ = execute_CsrPlugin_writeData[3 : 3]; + assign _zz_243_ = execute_CsrPlugin_writeData[11 : 11]; + assign _zz_244_ = execute_CsrPlugin_writeData[7 : 7]; + assign _zz_245_ = execute_CsrPlugin_writeData[3 : 3]; + assign _zz_246_ = 1'b1; + assign _zz_247_ = 1'b1; + assign _zz_248_ = 32'h02004064; + assign _zz_249_ = ((decode_INSTRUCTION & 32'h00000044) == 32'h0); + assign _zz_250_ = ((decode_INSTRUCTION & _zz_258_) == 32'h0); + assign _zz_251_ = {_zz_80_,(_zz_259_ == _zz_260_)}; + assign _zz_252_ = ((decode_INSTRUCTION & 32'h00004004) == 32'h00004000); + assign _zz_253_ = _zz_80_; + assign _zz_254_ = (1'b0); + assign _zz_255_ = ({_zz_78_,_zz_261_} != (2'b00)); + assign _zz_256_ = (_zz_262_ != (1'b0)); + assign _zz_257_ = {(_zz_263_ != _zz_264_),{_zz_265_,{_zz_266_,_zz_267_}}}; + assign _zz_258_ = 32'h00000018; + assign _zz_259_ = (decode_INSTRUCTION & 32'h00005004); + assign _zz_260_ = 32'h00001000; + assign _zz_261_ = ((decode_INSTRUCTION & 32'h0000001c) == 32'h00000004); + assign _zz_262_ = ((decode_INSTRUCTION & 32'h00000058) == 32'h00000040); + assign _zz_263_ = {(_zz_268_ == _zz_269_),(_zz_270_ == _zz_271_)}; + assign _zz_264_ = (2'b00); + assign _zz_265_ = (_zz_79_ != (1'b0)); + assign _zz_266_ = ({_zz_272_,_zz_273_} != (2'b00)); + assign _zz_267_ = {(_zz_274_ != _zz_275_),{_zz_276_,{_zz_277_,_zz_278_}}}; + assign _zz_268_ = (decode_INSTRUCTION & 32'h00000034); + assign _zz_269_ = 32'h00000020; + assign _zz_270_ = (decode_INSTRUCTION & 32'h00000064); + assign _zz_271_ = 32'h00000020; + assign _zz_272_ = ((decode_INSTRUCTION & _zz_279_) == 32'h00000040); + assign _zz_273_ = ((decode_INSTRUCTION & _zz_280_) == 32'h00000040); + assign _zz_274_ = ((decode_INSTRUCTION & _zz_281_) == 32'h00000024); + assign _zz_275_ = (1'b0); + assign _zz_276_ = (_zz_79_ != (1'b0)); + assign _zz_277_ = ({_zz_282_,_zz_283_} != (2'b00)); + assign _zz_278_ = {(_zz_284_ != _zz_285_),{_zz_286_,{_zz_287_,_zz_288_}}}; + assign _zz_279_ = 32'h00000050; + assign _zz_280_ = 32'h00103040; + assign _zz_281_ = 32'h00000064; + assign _zz_282_ = ((decode_INSTRUCTION & _zz_289_) == 32'h00005010); + assign _zz_283_ = ((decode_INSTRUCTION & _zz_290_) == 32'h00005020); + assign _zz_284_ = {(_zz_291_ == _zz_292_),{_zz_293_,_zz_294_}}; + assign _zz_285_ = (3'b000); + assign _zz_286_ = ({_zz_295_,{_zz_296_,_zz_297_}} != (3'b000)); + assign _zz_287_ = ({_zz_298_,_zz_299_} != 5'h0); + assign _zz_288_ = {(_zz_300_ != _zz_301_),{_zz_302_,{_zz_303_,_zz_304_}}}; + assign _zz_289_ = 32'h00007034; + assign _zz_290_ = 32'h02007064; + assign _zz_291_ = (decode_INSTRUCTION & 32'h40003054); + assign _zz_292_ = 32'h40001010; + assign _zz_293_ = ((decode_INSTRUCTION & _zz_305_) == 32'h00001010); + assign _zz_294_ = ((decode_INSTRUCTION & _zz_306_) == 32'h00001010); + assign _zz_295_ = ((decode_INSTRUCTION & _zz_307_) == 32'h00000040); + assign _zz_296_ = (_zz_308_ == _zz_309_); + assign _zz_297_ = (_zz_310_ == _zz_311_); + assign _zz_298_ = _zz_77_; + assign _zz_299_ = {_zz_312_,{_zz_313_,_zz_314_}}; + assign _zz_300_ = (_zz_315_ == _zz_316_); + assign _zz_301_ = (1'b0); + assign _zz_302_ = (_zz_317_ != (1'b0)); + assign _zz_303_ = (_zz_318_ != _zz_319_); + assign _zz_304_ = {_zz_320_,{_zz_321_,_zz_322_}}; + assign _zz_305_ = 32'h00007034; + assign _zz_306_ = 32'h02007054; + assign _zz_307_ = 32'h00000044; + assign _zz_308_ = (decode_INSTRUCTION & 32'h00002014); + assign _zz_309_ = 32'h00002010; + assign _zz_310_ = (decode_INSTRUCTION & 32'h40000034); + assign _zz_311_ = 32'h40000030; + assign _zz_312_ = ((decode_INSTRUCTION & 32'h00002030) == 32'h00002010); + assign _zz_313_ = ((decode_INSTRUCTION & _zz_323_) == 32'h00000010); + assign _zz_314_ = {(_zz_324_ == _zz_325_),(_zz_326_ == _zz_327_)}; + assign _zz_315_ = (decode_INSTRUCTION & 32'h02004074); + assign _zz_316_ = 32'h02000030; + assign _zz_317_ = ((decode_INSTRUCTION & 32'h00103050) == 32'h00000050); + assign _zz_318_ = ((decode_INSTRUCTION & _zz_328_) == 32'h0); + assign _zz_319_ = (1'b0); + assign _zz_320_ = ({_zz_329_,{_zz_330_,_zz_331_}} != 5'h0); + assign _zz_321_ = ({_zz_332_,_zz_333_} != (2'b00)); + assign _zz_322_ = {(_zz_334_ != _zz_335_),{_zz_336_,{_zz_337_,_zz_338_}}}; + assign _zz_323_ = 32'h00001030; + assign _zz_324_ = (decode_INSTRUCTION & 32'h02002060); + assign _zz_325_ = 32'h00002020; + assign _zz_326_ = (decode_INSTRUCTION & 32'h02003020); + assign _zz_327_ = 32'h00000020; + assign _zz_328_ = 32'h00000058; + assign _zz_329_ = ((decode_INSTRUCTION & _zz_339_) == 32'h00000040); + assign _zz_330_ = _zz_77_; + assign _zz_331_ = {_zz_340_,{_zz_341_,_zz_342_}}; + assign _zz_332_ = (_zz_343_ == _zz_344_); + assign _zz_333_ = (_zz_345_ == _zz_346_); + assign _zz_334_ = {_zz_78_,{_zz_347_,_zz_348_}}; + assign _zz_335_ = 6'h0; + assign _zz_336_ = (_zz_349_ != (1'b0)); + assign _zz_337_ = (_zz_350_ != _zz_351_); + assign _zz_338_ = {_zz_352_,{_zz_353_,_zz_354_}}; + assign _zz_339_ = 32'h00000040; + assign _zz_340_ = ((decode_INSTRUCTION & _zz_355_) == 32'h00004020); + assign _zz_341_ = (_zz_356_ == _zz_357_); + assign _zz_342_ = (_zz_358_ == _zz_359_); + assign _zz_343_ = (decode_INSTRUCTION & 32'h00001050); + assign _zz_344_ = 32'h00001050; + assign _zz_345_ = (decode_INSTRUCTION & 32'h00002050); + assign _zz_346_ = 32'h00002050; + assign _zz_347_ = (_zz_360_ == _zz_361_); + assign _zz_348_ = {_zz_362_,{_zz_363_,_zz_364_}}; + assign _zz_349_ = ((decode_INSTRUCTION & _zz_365_) == 32'h00000050); + assign _zz_350_ = {_zz_77_,_zz_366_}; + assign _zz_351_ = (2'b00); + assign _zz_352_ = ({_zz_367_,_zz_368_} != (2'b00)); + assign _zz_353_ = (_zz_369_ != _zz_370_); + assign _zz_354_ = {_zz_371_,{_zz_372_,_zz_373_}}; + assign _zz_355_ = 32'h00004020; + assign _zz_356_ = (decode_INSTRUCTION & 32'h00000030); + assign _zz_357_ = 32'h00000010; + assign _zz_358_ = (decode_INSTRUCTION & 32'h02000020); + assign _zz_359_ = 32'h00000020; + assign _zz_360_ = (decode_INSTRUCTION & 32'h00001010); + assign _zz_361_ = 32'h00001010; + assign _zz_362_ = ((decode_INSTRUCTION & _zz_374_) == 32'h00002010); + assign _zz_363_ = (_zz_375_ == _zz_376_); + assign _zz_364_ = {_zz_377_,_zz_378_}; + assign _zz_365_ = 32'h10003050; + assign _zz_366_ = ((decode_INSTRUCTION & _zz_379_) == 32'h00000020); + assign _zz_367_ = _zz_77_; + assign _zz_368_ = (_zz_380_ == _zz_381_); + assign _zz_369_ = {_zz_382_,_zz_76_}; + assign _zz_370_ = (2'b00); + assign _zz_371_ = ({_zz_383_,_zz_384_} != (2'b00)); + assign _zz_372_ = (_zz_385_ != _zz_386_); + assign _zz_373_ = {_zz_387_,{_zz_388_,_zz_389_}}; + assign _zz_374_ = 32'h00002010; + assign _zz_375_ = (decode_INSTRUCTION & 32'h00000050); + assign _zz_376_ = 32'h00000010; + assign _zz_377_ = ((decode_INSTRUCTION & 32'h0000000c) == 32'h00000004); + assign _zz_378_ = ((decode_INSTRUCTION & 32'h00000028) == 32'h0); + assign _zz_379_ = 32'h00000070; + assign _zz_380_ = (decode_INSTRUCTION & 32'h00000020); + assign _zz_381_ = 32'h0; + assign _zz_382_ = ((decode_INSTRUCTION & 32'h00000014) == 32'h00000004); + assign _zz_383_ = ((decode_INSTRUCTION & _zz_390_) == 32'h00000004); + assign _zz_384_ = _zz_76_; + assign _zz_385_ = {(_zz_391_ == _zz_392_),(_zz_393_ == _zz_394_)}; + assign _zz_386_ = (2'b00); + assign _zz_387_ = ((_zz_395_ == _zz_396_) != (1'b0)); + assign _zz_388_ = (_zz_397_ != (1'b0)); + assign _zz_389_ = (_zz_398_ != (1'b0)); + assign _zz_390_ = 32'h00000044; + assign _zz_391_ = (decode_INSTRUCTION & 32'h00002010); + assign _zz_392_ = 32'h00002000; + assign _zz_393_ = (decode_INSTRUCTION & 32'h00005000); + assign _zz_394_ = 32'h00001000; + assign _zz_395_ = (decode_INSTRUCTION & 32'h00001000); + assign _zz_396_ = 32'h00001000; + assign _zz_397_ = ((decode_INSTRUCTION & 32'h00003000) == 32'h00002000); + assign _zz_398_ = ((decode_INSTRUCTION & 32'h00000020) == 32'h00000020); + always @ (posedge mainClock) begin + if(_zz_246_) begin + _zz_137_ <= RegFilePlugin_regFile[decode_RegFilePlugin_regFileReadAddress1]; + end + end + + always @ (posedge mainClock) begin + if(_zz_247_) begin + _zz_138_ <= RegFilePlugin_regFile[decode_RegFilePlugin_regFileReadAddress2]; + end + end + + always @ (posedge mainClock) begin + if(_zz_35_) begin + RegFilePlugin_regFile[lastStageRegFileWrite_payload_address] <= lastStageRegFileWrite_payload_data; + end + end + + StreamFifoLowLatency IBusSimplePlugin_rspJoin_rspBuffer_c ( + .io_push_valid (iBus_rsp_valid ), //i + .io_push_ready (IBusSimplePlugin_rspJoin_rspBuffer_c_io_push_ready ), //o + .io_push_payload_error (iBus_rsp_payload_error ), //i + .io_push_payload_inst (iBus_rsp_payload_inst[31:0] ), //i + .io_pop_valid (IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid ), //o + .io_pop_ready (_zz_135_ ), //i + .io_pop_payload_error (IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_error ), //o + .io_pop_payload_inst (IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_inst[31:0] ), //o + .io_flush (_zz_136_ ), //i + .io_occupancy (IBusSimplePlugin_rspJoin_rspBuffer_c_io_occupancy ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + `ifndef SYNTHESIS + always @(*) begin + case(decode_ALU_CTRL) + `AluCtrlEnum_defaultEncoding_ADD_SUB : decode_ALU_CTRL_string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : decode_ALU_CTRL_string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : decode_ALU_CTRL_string = "BITWISE "; + default : decode_ALU_CTRL_string = "????????"; + endcase + end + always @(*) begin + case(_zz_1_) + `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_1__string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_1__string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : _zz_1__string = "BITWISE "; + default : _zz_1__string = "????????"; + endcase + end + always @(*) begin + case(_zz_2_) + `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_2__string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_2__string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : _zz_2__string = "BITWISE "; + default : _zz_2__string = "????????"; + endcase + end + always @(*) begin + case(_zz_3_) + `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_3__string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_3__string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : _zz_3__string = "BITWISE "; + default : _zz_3__string = "????????"; + endcase + end + always @(*) begin + case(decode_ALU_BITWISE_CTRL) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : decode_ALU_BITWISE_CTRL_string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : decode_ALU_BITWISE_CTRL_string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : decode_ALU_BITWISE_CTRL_string = "AND_1"; + default : decode_ALU_BITWISE_CTRL_string = "?????"; + endcase + end + always @(*) begin + case(_zz_4_) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_4__string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_4__string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_4__string = "AND_1"; + default : _zz_4__string = "?????"; + endcase + end + always @(*) begin + case(_zz_5_) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_5__string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_5__string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_5__string = "AND_1"; + default : _zz_5__string = "?????"; + endcase + end + always @(*) begin + case(_zz_6_) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_6__string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_6__string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_6__string = "AND_1"; + default : _zz_6__string = "?????"; + endcase + end + always @(*) begin + case(decode_BRANCH_CTRL) + `BranchCtrlEnum_defaultEncoding_INC : decode_BRANCH_CTRL_string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : decode_BRANCH_CTRL_string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : decode_BRANCH_CTRL_string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : decode_BRANCH_CTRL_string = "JALR"; + default : decode_BRANCH_CTRL_string = "????"; + endcase + end + always @(*) begin + case(_zz_7_) + `BranchCtrlEnum_defaultEncoding_INC : _zz_7__string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : _zz_7__string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : _zz_7__string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : _zz_7__string = "JALR"; + default : _zz_7__string = "????"; + endcase + end + always @(*) begin + case(_zz_8_) + `BranchCtrlEnum_defaultEncoding_INC : _zz_8__string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : _zz_8__string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : _zz_8__string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : _zz_8__string = "JALR"; + default : _zz_8__string = "????"; + endcase + end + always @(*) begin + case(_zz_9_) + `BranchCtrlEnum_defaultEncoding_INC : _zz_9__string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : _zz_9__string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : _zz_9__string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : _zz_9__string = "JALR"; + default : _zz_9__string = "????"; + endcase + end + always @(*) begin + case(_zz_10_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_10__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_10__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_10__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_10__string = "SRA_1 "; + default : _zz_10__string = "?????????"; + endcase + end + always @(*) begin + case(_zz_11_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_11__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_11__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_11__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_11__string = "SRA_1 "; + default : _zz_11__string = "?????????"; + endcase + end + always @(*) begin + case(decode_SHIFT_CTRL) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : decode_SHIFT_CTRL_string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : decode_SHIFT_CTRL_string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : decode_SHIFT_CTRL_string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : decode_SHIFT_CTRL_string = "SRA_1 "; + default : decode_SHIFT_CTRL_string = "?????????"; + endcase + end + always @(*) begin + case(_zz_12_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_12__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_12__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_12__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_12__string = "SRA_1 "; + default : _zz_12__string = "?????????"; + endcase + end + always @(*) begin + case(_zz_13_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_13__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_13__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_13__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_13__string = "SRA_1 "; + default : _zz_13__string = "?????????"; + endcase + end + always @(*) begin + case(_zz_14_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_14__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_14__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_14__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_14__string = "SRA_1 "; + default : _zz_14__string = "?????????"; + endcase + end + always @(*) begin + case(_zz_15_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_15__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_15__string = "XRET"; + default : _zz_15__string = "????"; + endcase + end + always @(*) begin + case(_zz_16_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_16__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_16__string = "XRET"; + default : _zz_16__string = "????"; + endcase + end + always @(*) begin + case(_zz_17_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_17__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_17__string = "XRET"; + default : _zz_17__string = "????"; + endcase + end + always @(*) begin + case(_zz_18_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_18__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_18__string = "XRET"; + default : _zz_18__string = "????"; + endcase + end + always @(*) begin + case(decode_ENV_CTRL) + `EnvCtrlEnum_defaultEncoding_NONE : decode_ENV_CTRL_string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : decode_ENV_CTRL_string = "XRET"; + default : decode_ENV_CTRL_string = "????"; + endcase + end + always @(*) begin + case(_zz_19_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_19__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_19__string = "XRET"; + default : _zz_19__string = "????"; + endcase + end + always @(*) begin + case(_zz_20_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_20__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_20__string = "XRET"; + default : _zz_20__string = "????"; + endcase + end + always @(*) begin + case(_zz_21_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_21__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_21__string = "XRET"; + default : _zz_21__string = "????"; + endcase + end + always @(*) begin + case(execute_BRANCH_CTRL) + `BranchCtrlEnum_defaultEncoding_INC : execute_BRANCH_CTRL_string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : execute_BRANCH_CTRL_string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : execute_BRANCH_CTRL_string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : execute_BRANCH_CTRL_string = "JALR"; + default : execute_BRANCH_CTRL_string = "????"; + endcase + end + always @(*) begin + case(_zz_22_) + `BranchCtrlEnum_defaultEncoding_INC : _zz_22__string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : _zz_22__string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : _zz_22__string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : _zz_22__string = "JALR"; + default : _zz_22__string = "????"; + endcase + end + always @(*) begin + case(memory_SHIFT_CTRL) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : memory_SHIFT_CTRL_string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : memory_SHIFT_CTRL_string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : memory_SHIFT_CTRL_string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : memory_SHIFT_CTRL_string = "SRA_1 "; + default : memory_SHIFT_CTRL_string = "?????????"; + endcase + end + always @(*) begin + case(_zz_24_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_24__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_24__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_24__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_24__string = "SRA_1 "; + default : _zz_24__string = "?????????"; + endcase + end + always @(*) begin + case(execute_SHIFT_CTRL) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : execute_SHIFT_CTRL_string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : execute_SHIFT_CTRL_string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : execute_SHIFT_CTRL_string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : execute_SHIFT_CTRL_string = "SRA_1 "; + default : execute_SHIFT_CTRL_string = "?????????"; + endcase + end + always @(*) begin + case(_zz_25_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_25__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_25__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_25__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_25__string = "SRA_1 "; + default : _zz_25__string = "?????????"; + endcase + end + always @(*) begin + case(decode_SRC2_CTRL) + `Src2CtrlEnum_defaultEncoding_RS : decode_SRC2_CTRL_string = "RS "; + `Src2CtrlEnum_defaultEncoding_IMI : decode_SRC2_CTRL_string = "IMI"; + `Src2CtrlEnum_defaultEncoding_IMS : decode_SRC2_CTRL_string = "IMS"; + `Src2CtrlEnum_defaultEncoding_PC : decode_SRC2_CTRL_string = "PC "; + default : decode_SRC2_CTRL_string = "???"; + endcase + end + always @(*) begin + case(_zz_28_) + `Src2CtrlEnum_defaultEncoding_RS : _zz_28__string = "RS "; + `Src2CtrlEnum_defaultEncoding_IMI : _zz_28__string = "IMI"; + `Src2CtrlEnum_defaultEncoding_IMS : _zz_28__string = "IMS"; + `Src2CtrlEnum_defaultEncoding_PC : _zz_28__string = "PC "; + default : _zz_28__string = "???"; + endcase + end + always @(*) begin + case(decode_SRC1_CTRL) + `Src1CtrlEnum_defaultEncoding_RS : decode_SRC1_CTRL_string = "RS "; + `Src1CtrlEnum_defaultEncoding_IMU : decode_SRC1_CTRL_string = "IMU "; + `Src1CtrlEnum_defaultEncoding_PC_INCREMENT : decode_SRC1_CTRL_string = "PC_INCREMENT"; + `Src1CtrlEnum_defaultEncoding_URS1 : decode_SRC1_CTRL_string = "URS1 "; + default : decode_SRC1_CTRL_string = "????????????"; + endcase + end + always @(*) begin + case(_zz_30_) + `Src1CtrlEnum_defaultEncoding_RS : _zz_30__string = "RS "; + `Src1CtrlEnum_defaultEncoding_IMU : _zz_30__string = "IMU "; + `Src1CtrlEnum_defaultEncoding_PC_INCREMENT : _zz_30__string = "PC_INCREMENT"; + `Src1CtrlEnum_defaultEncoding_URS1 : _zz_30__string = "URS1 "; + default : _zz_30__string = "????????????"; + endcase + end + always @(*) begin + case(execute_ALU_CTRL) + `AluCtrlEnum_defaultEncoding_ADD_SUB : execute_ALU_CTRL_string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : execute_ALU_CTRL_string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : execute_ALU_CTRL_string = "BITWISE "; + default : execute_ALU_CTRL_string = "????????"; + endcase + end + always @(*) begin + case(_zz_31_) + `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_31__string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_31__string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : _zz_31__string = "BITWISE "; + default : _zz_31__string = "????????"; + endcase + end + always @(*) begin + case(execute_ALU_BITWISE_CTRL) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : execute_ALU_BITWISE_CTRL_string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : execute_ALU_BITWISE_CTRL_string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : execute_ALU_BITWISE_CTRL_string = "AND_1"; + default : execute_ALU_BITWISE_CTRL_string = "?????"; + endcase + end + always @(*) begin + case(_zz_32_) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_32__string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_32__string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_32__string = "AND_1"; + default : _zz_32__string = "?????"; + endcase + end + always @(*) begin + case(_zz_36_) + `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_36__string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_36__string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : _zz_36__string = "BITWISE "; + default : _zz_36__string = "????????"; + endcase + end + always @(*) begin + case(_zz_37_) + `BranchCtrlEnum_defaultEncoding_INC : _zz_37__string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : _zz_37__string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : _zz_37__string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : _zz_37__string = "JALR"; + default : _zz_37__string = "????"; + endcase + end + always @(*) begin + case(_zz_38_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_38__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_38__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_38__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_38__string = "SRA_1 "; + default : _zz_38__string = "?????????"; + endcase + end + always @(*) begin + case(_zz_39_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_39__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_39__string = "XRET"; + default : _zz_39__string = "????"; + endcase + end + always @(*) begin + case(_zz_40_) + `Src2CtrlEnum_defaultEncoding_RS : _zz_40__string = "RS "; + `Src2CtrlEnum_defaultEncoding_IMI : _zz_40__string = "IMI"; + `Src2CtrlEnum_defaultEncoding_IMS : _zz_40__string = "IMS"; + `Src2CtrlEnum_defaultEncoding_PC : _zz_40__string = "PC "; + default : _zz_40__string = "???"; + endcase + end + always @(*) begin + case(_zz_41_) + `Src1CtrlEnum_defaultEncoding_RS : _zz_41__string = "RS "; + `Src1CtrlEnum_defaultEncoding_IMU : _zz_41__string = "IMU "; + `Src1CtrlEnum_defaultEncoding_PC_INCREMENT : _zz_41__string = "PC_INCREMENT"; + `Src1CtrlEnum_defaultEncoding_URS1 : _zz_41__string = "URS1 "; + default : _zz_41__string = "????????????"; + endcase + end + always @(*) begin + case(_zz_42_) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_42__string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_42__string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_42__string = "AND_1"; + default : _zz_42__string = "?????"; + endcase + end + always @(*) begin + case(memory_ENV_CTRL) + `EnvCtrlEnum_defaultEncoding_NONE : memory_ENV_CTRL_string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : memory_ENV_CTRL_string = "XRET"; + default : memory_ENV_CTRL_string = "????"; + endcase + end + always @(*) begin + case(_zz_44_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_44__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_44__string = "XRET"; + default : _zz_44__string = "????"; + endcase + end + always @(*) begin + case(execute_ENV_CTRL) + `EnvCtrlEnum_defaultEncoding_NONE : execute_ENV_CTRL_string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : execute_ENV_CTRL_string = "XRET"; + default : execute_ENV_CTRL_string = "????"; + endcase + end + always @(*) begin + case(_zz_45_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_45__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_45__string = "XRET"; + default : _zz_45__string = "????"; + endcase + end + always @(*) begin + case(writeBack_ENV_CTRL) + `EnvCtrlEnum_defaultEncoding_NONE : writeBack_ENV_CTRL_string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : writeBack_ENV_CTRL_string = "XRET"; + default : writeBack_ENV_CTRL_string = "????"; + endcase + end + always @(*) begin + case(_zz_46_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_46__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_46__string = "XRET"; + default : _zz_46__string = "????"; + endcase + end + always @(*) begin + case(_zz_81_) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_81__string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_81__string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_81__string = "AND_1"; + default : _zz_81__string = "?????"; + endcase + end + always @(*) begin + case(_zz_82_) + `Src1CtrlEnum_defaultEncoding_RS : _zz_82__string = "RS "; + `Src1CtrlEnum_defaultEncoding_IMU : _zz_82__string = "IMU "; + `Src1CtrlEnum_defaultEncoding_PC_INCREMENT : _zz_82__string = "PC_INCREMENT"; + `Src1CtrlEnum_defaultEncoding_URS1 : _zz_82__string = "URS1 "; + default : _zz_82__string = "????????????"; + endcase + end + always @(*) begin + case(_zz_83_) + `Src2CtrlEnum_defaultEncoding_RS : _zz_83__string = "RS "; + `Src2CtrlEnum_defaultEncoding_IMI : _zz_83__string = "IMI"; + `Src2CtrlEnum_defaultEncoding_IMS : _zz_83__string = "IMS"; + `Src2CtrlEnum_defaultEncoding_PC : _zz_83__string = "PC "; + default : _zz_83__string = "???"; + endcase + end + always @(*) begin + case(_zz_84_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_84__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_84__string = "XRET"; + default : _zz_84__string = "????"; + endcase + end + always @(*) begin + case(_zz_85_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_85__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_85__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_85__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_85__string = "SRA_1 "; + default : _zz_85__string = "?????????"; + endcase + end + always @(*) begin + case(_zz_86_) + `BranchCtrlEnum_defaultEncoding_INC : _zz_86__string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : _zz_86__string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : _zz_86__string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : _zz_86__string = "JALR"; + default : _zz_86__string = "????"; + endcase + end + always @(*) begin + case(_zz_87_) + `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_87__string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_87__string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : _zz_87__string = "BITWISE "; + default : _zz_87__string = "????????"; + endcase + end + always @(*) begin + case(decode_to_execute_ENV_CTRL) + `EnvCtrlEnum_defaultEncoding_NONE : decode_to_execute_ENV_CTRL_string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : decode_to_execute_ENV_CTRL_string = "XRET"; + default : decode_to_execute_ENV_CTRL_string = "????"; + endcase + end + always @(*) begin + case(execute_to_memory_ENV_CTRL) + `EnvCtrlEnum_defaultEncoding_NONE : execute_to_memory_ENV_CTRL_string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : execute_to_memory_ENV_CTRL_string = "XRET"; + default : execute_to_memory_ENV_CTRL_string = "????"; + endcase + end + always @(*) begin + case(memory_to_writeBack_ENV_CTRL) + `EnvCtrlEnum_defaultEncoding_NONE : memory_to_writeBack_ENV_CTRL_string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : memory_to_writeBack_ENV_CTRL_string = "XRET"; + default : memory_to_writeBack_ENV_CTRL_string = "????"; + endcase + end + always @(*) begin + case(decode_to_execute_SHIFT_CTRL) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : decode_to_execute_SHIFT_CTRL_string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : decode_to_execute_SHIFT_CTRL_string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : decode_to_execute_SHIFT_CTRL_string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : decode_to_execute_SHIFT_CTRL_string = "SRA_1 "; + default : decode_to_execute_SHIFT_CTRL_string = "?????????"; + endcase + end + always @(*) begin + case(execute_to_memory_SHIFT_CTRL) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : execute_to_memory_SHIFT_CTRL_string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : execute_to_memory_SHIFT_CTRL_string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : execute_to_memory_SHIFT_CTRL_string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : execute_to_memory_SHIFT_CTRL_string = "SRA_1 "; + default : execute_to_memory_SHIFT_CTRL_string = "?????????"; + endcase + end + always @(*) begin + case(decode_to_execute_BRANCH_CTRL) + `BranchCtrlEnum_defaultEncoding_INC : decode_to_execute_BRANCH_CTRL_string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : decode_to_execute_BRANCH_CTRL_string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : decode_to_execute_BRANCH_CTRL_string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : decode_to_execute_BRANCH_CTRL_string = "JALR"; + default : decode_to_execute_BRANCH_CTRL_string = "????"; + endcase + end + always @(*) begin + case(decode_to_execute_ALU_BITWISE_CTRL) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : decode_to_execute_ALU_BITWISE_CTRL_string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : decode_to_execute_ALU_BITWISE_CTRL_string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : decode_to_execute_ALU_BITWISE_CTRL_string = "AND_1"; + default : decode_to_execute_ALU_BITWISE_CTRL_string = "?????"; + endcase + end + always @(*) begin + case(decode_to_execute_ALU_CTRL) + `AluCtrlEnum_defaultEncoding_ADD_SUB : decode_to_execute_ALU_CTRL_string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : decode_to_execute_ALU_CTRL_string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : decode_to_execute_ALU_CTRL_string = "BITWISE "; + default : decode_to_execute_ALU_CTRL_string = "????????"; + endcase + end + `endif + + assign decode_MEMORY_ENABLE = _zz_168_[0]; + assign execute_BRANCH_DO = _zz_111_; + assign decode_IS_RS2_SIGNED = _zz_169_[0]; + assign execute_MUL_HH = (execute_Mul16Plugin_aHigh * execute_Mul16Plugin_bHigh); + assign writeBack_FORMAL_PC_NEXT = memory_to_writeBack_FORMAL_PC_NEXT; + assign memory_FORMAL_PC_NEXT = execute_to_memory_FORMAL_PC_NEXT; + assign execute_FORMAL_PC_NEXT = decode_to_execute_FORMAL_PC_NEXT; + assign decode_FORMAL_PC_NEXT = (decode_PC + 32'h00000004); + assign decode_ALU_CTRL = _zz_1_; + assign _zz_2_ = _zz_3_; + assign decode_IS_CSR = _zz_170_[0]; + assign decode_ALU_BITWISE_CTRL = _zz_4_; + assign _zz_5_ = _zz_6_; + assign decode_SRC2_FORCE_ZERO = (decode_SRC_ADD_ZERO && (! decode_SRC_USE_SUB_LESS)); + assign decode_IS_DIV = _zz_171_[0]; + assign execute_MUL_LH = (execute_Mul16Plugin_aLow * execute_Mul16Plugin_bHigh); + assign decode_MEMORY_STORE = _zz_172_[0]; + assign execute_MUL_HL = (execute_Mul16Plugin_aHigh * execute_Mul16Plugin_bLow); + assign execute_BRANCH_CALC = {execute_BranchPlugin_branchAdder[31 : 1],(1'b0)}; + assign decode_CSR_WRITE_OPCODE = (! (((decode_INSTRUCTION[14 : 13] == (2'b01)) && (decode_INSTRUCTION[19 : 15] == 5'h0)) || ((decode_INSTRUCTION[14 : 13] == (2'b11)) && (decode_INSTRUCTION[19 : 15] == 5'h0)))); + assign execute_SHIFT_RIGHT = _zz_174_; + assign memory_PC = execute_to_memory_PC; + assign decode_IS_RS1_SIGNED = _zz_176_[0]; + assign decode_BYPASSABLE_EXECUTE_STAGE = _zz_177_[0]; + assign memory_MUL = {_zz_178_,memory_Mul16Plugin_ll[15 : 0]}; + assign memory_SRC2 = execute_to_memory_SRC2; + assign decode_SRC2 = _zz_95_; + assign memory_IS_MUL = execute_to_memory_IS_MUL; + assign execute_IS_MUL = decode_to_execute_IS_MUL; + assign decode_IS_MUL = _zz_180_[0]; + assign execute_BYPASSABLE_MEMORY_STAGE = decode_to_execute_BYPASSABLE_MEMORY_STAGE; + assign decode_BYPASSABLE_MEMORY_STAGE = _zz_181_[0]; + assign decode_BRANCH_CTRL = _zz_7_; + assign _zz_8_ = _zz_9_; + assign decode_DO_EBREAK = ((! DebugPlugin_haltIt) && (decode_IS_EBREAK || (((1'b0 || (DebugPlugin_hardwareBreakpoints_0_valid && (DebugPlugin_hardwareBreakpoints_0_pc == _zz_182_))) || (DebugPlugin_hardwareBreakpoints_1_valid && (DebugPlugin_hardwareBreakpoints_1_pc == _zz_183_))) || (DebugPlugin_hardwareBreakpoints_2_valid && (DebugPlugin_hardwareBreakpoints_2_pc == _zz_184_))))); + assign memory_SRC1 = execute_to_memory_SRC1; + assign decode_SRC1 = _zz_90_; + assign decode_SRC_LESS_UNSIGNED = _zz_185_[0]; + assign memory_MEMORY_ADDRESS_LOW = execute_to_memory_MEMORY_ADDRESS_LOW; + assign execute_MEMORY_ADDRESS_LOW = dBus_cmd_payload_address[1 : 0]; + assign decode_CSR_READ_OPCODE = (decode_INSTRUCTION[13 : 7] != 7'h20); + assign memory_MEMORY_READ_DATA = dBus_rsp_data; + assign writeBack_REGFILE_WRITE_DATA = memory_to_writeBack_REGFILE_WRITE_DATA; + assign memory_REGFILE_WRITE_DATA = execute_to_memory_REGFILE_WRITE_DATA; + assign execute_REGFILE_WRITE_DATA = _zz_89_; + assign _zz_10_ = _zz_11_; + assign decode_SHIFT_CTRL = _zz_12_; + assign _zz_13_ = _zz_14_; + assign _zz_15_ = _zz_16_; + assign _zz_17_ = _zz_18_; + assign decode_ENV_CTRL = _zz_19_; + assign _zz_20_ = _zz_21_; + assign execute_MUL_LL = (execute_Mul16Plugin_aLow * execute_Mul16Plugin_bLow); + assign execute_DO_EBREAK = decode_to_execute_DO_EBREAK; + assign decode_IS_EBREAK = _zz_186_[0]; + assign execute_IS_RS1_SIGNED = decode_to_execute_IS_RS1_SIGNED; + assign execute_IS_DIV = decode_to_execute_IS_DIV; + assign execute_IS_RS2_SIGNED = decode_to_execute_IS_RS2_SIGNED; + assign memory_IS_DIV = execute_to_memory_IS_DIV; + assign writeBack_MUL = memory_to_writeBack_MUL; + assign writeBack_IS_MUL = memory_to_writeBack_IS_MUL; + assign writeBack_SRC2 = memory_to_writeBack_SRC2; + assign writeBack_SRC1 = memory_to_writeBack_SRC1; + assign memory_MUL_HH = execute_to_memory_MUL_HH; + assign memory_MUL_HL = execute_to_memory_MUL_HL; + assign memory_MUL_LH = execute_to_memory_MUL_LH; + assign memory_MUL_LL = execute_to_memory_MUL_LL; + assign memory_BRANCH_CALC = execute_to_memory_BRANCH_CALC; + assign memory_BRANCH_DO = execute_to_memory_BRANCH_DO; + assign execute_PC = decode_to_execute_PC; + assign execute_RS1 = decode_to_execute_RS1; + assign execute_BRANCH_CTRL = _zz_22_; + assign decode_RS2_USE = _zz_187_[0]; + assign decode_RS1_USE = _zz_188_[0]; + assign execute_REGFILE_WRITE_VALID = decode_to_execute_REGFILE_WRITE_VALID; + assign execute_BYPASSABLE_EXECUTE_STAGE = decode_to_execute_BYPASSABLE_EXECUTE_STAGE; + assign memory_REGFILE_WRITE_VALID = execute_to_memory_REGFILE_WRITE_VALID; + assign memory_INSTRUCTION = execute_to_memory_INSTRUCTION; + assign memory_BYPASSABLE_MEMORY_STAGE = execute_to_memory_BYPASSABLE_MEMORY_STAGE; + assign writeBack_REGFILE_WRITE_VALID = memory_to_writeBack_REGFILE_WRITE_VALID; + always @ (*) begin + decode_RS2 = decode_RegFilePlugin_rs2Data; + if(_zz_100_)begin + if((_zz_101_ == decode_INSTRUCTION[24 : 20]))begin + decode_RS2 = _zz_102_; + end + end + if(_zz_139_)begin + if(_zz_140_)begin + if(_zz_104_)begin + decode_RS2 = _zz_47_; + end + end + end + if(_zz_141_)begin + if(memory_BYPASSABLE_MEMORY_STAGE)begin + if(_zz_106_)begin + decode_RS2 = _zz_23_; + end + end + end + if(_zz_142_)begin + if(execute_BYPASSABLE_EXECUTE_STAGE)begin + if(_zz_108_)begin + decode_RS2 = _zz_43_; + end + end + end + end + + always @ (*) begin + decode_RS1 = decode_RegFilePlugin_rs1Data; + if(_zz_100_)begin + if((_zz_101_ == decode_INSTRUCTION[19 : 15]))begin + decode_RS1 = _zz_102_; + end + end + if(_zz_139_)begin + if(_zz_140_)begin + if(_zz_103_)begin + decode_RS1 = _zz_47_; + end + end + end + if(_zz_141_)begin + if(memory_BYPASSABLE_MEMORY_STAGE)begin + if(_zz_105_)begin + decode_RS1 = _zz_23_; + end + end + end + if(_zz_142_)begin + if(execute_BYPASSABLE_EXECUTE_STAGE)begin + if(_zz_107_)begin + decode_RS1 = _zz_43_; + end + end + end + end + + assign memory_SHIFT_RIGHT = execute_to_memory_SHIFT_RIGHT; + always @ (*) begin + _zz_23_ = memory_REGFILE_WRITE_DATA; + if(memory_arbitration_isValid)begin + case(memory_SHIFT_CTRL) + `ShiftCtrlEnum_defaultEncoding_SLL_1 : begin + _zz_23_ = _zz_97_; + end + `ShiftCtrlEnum_defaultEncoding_SRL_1, `ShiftCtrlEnum_defaultEncoding_SRA_1 : begin + _zz_23_ = memory_SHIFT_RIGHT; + end + default : begin + end + endcase + end + if(_zz_143_)begin + _zz_23_ = memory_MulDivIterativePlugin_div_result; + end + end + + assign memory_SHIFT_CTRL = _zz_24_; + assign execute_SHIFT_CTRL = _zz_25_; + assign execute_SRC_LESS_UNSIGNED = decode_to_execute_SRC_LESS_UNSIGNED; + assign execute_SRC2_FORCE_ZERO = decode_to_execute_SRC2_FORCE_ZERO; + assign execute_SRC_USE_SUB_LESS = decode_to_execute_SRC_USE_SUB_LESS; + assign _zz_26_ = decode_PC; + assign _zz_27_ = decode_RS2; + assign decode_SRC2_CTRL = _zz_28_; + assign _zz_29_ = decode_RS1; + assign decode_SRC1_CTRL = _zz_30_; + assign decode_SRC_USE_SUB_LESS = _zz_189_[0]; + assign decode_SRC_ADD_ZERO = _zz_190_[0]; + assign execute_SRC_ADD_SUB = execute_SrcPlugin_addSub; + assign execute_SRC_LESS = execute_SrcPlugin_less; + assign execute_ALU_CTRL = _zz_31_; + assign execute_SRC2 = decode_to_execute_SRC2; + assign execute_ALU_BITWISE_CTRL = _zz_32_; + assign _zz_33_ = writeBack_INSTRUCTION; + assign _zz_34_ = writeBack_REGFILE_WRITE_VALID; + always @ (*) begin + _zz_35_ = 1'b0; + if(lastStageRegFileWrite_valid)begin + _zz_35_ = 1'b1; + end + end + + assign decode_INSTRUCTION_ANTICIPATED = (decode_arbitration_isStuck ? decode_INSTRUCTION : IBusSimplePlugin_iBusRsp_output_payload_rsp_inst); + always @ (*) begin + decode_REGFILE_WRITE_VALID = _zz_191_[0]; + if((decode_INSTRUCTION[11 : 7] == 5'h0))begin + decode_REGFILE_WRITE_VALID = 1'b0; + end + end + + always @ (*) begin + _zz_43_ = execute_REGFILE_WRITE_DATA; + if(_zz_144_)begin + _zz_43_ = execute_CsrPlugin_readData; + end + end + + assign execute_SRC1 = decode_to_execute_SRC1; + assign execute_CSR_READ_OPCODE = decode_to_execute_CSR_READ_OPCODE; + assign execute_CSR_WRITE_OPCODE = decode_to_execute_CSR_WRITE_OPCODE; + assign execute_IS_CSR = decode_to_execute_IS_CSR; + assign memory_ENV_CTRL = _zz_44_; + assign execute_ENV_CTRL = _zz_45_; + assign writeBack_ENV_CTRL = _zz_46_; + assign writeBack_MEMORY_STORE = memory_to_writeBack_MEMORY_STORE; + always @ (*) begin + _zz_47_ = writeBack_REGFILE_WRITE_DATA; + if((writeBack_arbitration_isValid && writeBack_MEMORY_ENABLE))begin + _zz_47_ = writeBack_DBusSimplePlugin_rspFormated; + end + if((writeBack_arbitration_isValid && writeBack_IS_MUL))begin + case(_zz_167_) + 2'b00 : begin + _zz_47_ = writeBack_MUL[31 : 0]; + end + default : begin + _zz_47_ = _zz_219_; + end + endcase + end + end + + assign writeBack_MEMORY_ENABLE = memory_to_writeBack_MEMORY_ENABLE; + assign writeBack_MEMORY_ADDRESS_LOW = memory_to_writeBack_MEMORY_ADDRESS_LOW; + assign writeBack_MEMORY_READ_DATA = memory_to_writeBack_MEMORY_READ_DATA; + assign memory_MEMORY_STORE = execute_to_memory_MEMORY_STORE; + assign memory_MEMORY_ENABLE = execute_to_memory_MEMORY_ENABLE; + assign execute_SRC_ADD = execute_SrcPlugin_addSub; + assign execute_RS2 = decode_to_execute_RS2; + assign execute_INSTRUCTION = decode_to_execute_INSTRUCTION; + assign execute_MEMORY_STORE = decode_to_execute_MEMORY_STORE; + assign execute_MEMORY_ENABLE = decode_to_execute_MEMORY_ENABLE; + assign execute_ALIGNEMENT_FAULT = 1'b0; + always @ (*) begin + _zz_48_ = memory_FORMAL_PC_NEXT; + if(BranchPlugin_jumpInterface_valid)begin + _zz_48_ = BranchPlugin_jumpInterface_payload; + end + end + + assign decode_PC = IBusSimplePlugin_injector_decodeInput_payload_pc; + assign decode_INSTRUCTION = IBusSimplePlugin_injector_decodeInput_payload_rsp_inst; + assign writeBack_PC = memory_to_writeBack_PC; + assign writeBack_INSTRUCTION = memory_to_writeBack_INSTRUCTION; + always @ (*) begin + decode_arbitration_haltItself = 1'b0; + case(_zz_125_) + 3'b000 : begin + end + 3'b001 : begin + end + 3'b010 : begin + decode_arbitration_haltItself = 1'b1; + end + 3'b011 : begin + end + 3'b100 : begin + end + default : begin + end + endcase + end + + always @ (*) begin + decode_arbitration_haltByOther = 1'b0; + if(CsrPlugin_pipelineLiberator_active)begin + decode_arbitration_haltByOther = 1'b1; + end + if(({(writeBack_arbitration_isValid && (writeBack_ENV_CTRL == `EnvCtrlEnum_defaultEncoding_XRET)),{(memory_arbitration_isValid && (memory_ENV_CTRL == `EnvCtrlEnum_defaultEncoding_XRET)),(execute_arbitration_isValid && (execute_ENV_CTRL == `EnvCtrlEnum_defaultEncoding_XRET))}} != (3'b000)))begin + decode_arbitration_haltByOther = 1'b1; + end + if((decode_arbitration_isValid && (_zz_98_ || _zz_99_)))begin + decode_arbitration_haltByOther = 1'b1; + end + end + + always @ (*) begin + decode_arbitration_removeIt = 1'b0; + if(decode_arbitration_isFlushed)begin + decode_arbitration_removeIt = 1'b1; + end + end + + assign decode_arbitration_flushIt = 1'b0; + assign decode_arbitration_flushNext = 1'b0; + always @ (*) begin + execute_arbitration_haltItself = 1'b0; + if(((((execute_arbitration_isValid && execute_MEMORY_ENABLE) && (! dBus_cmd_ready)) && (! execute_DBusSimplePlugin_skipCmd)) && (! _zz_65_)))begin + execute_arbitration_haltItself = 1'b1; + end + if(_zz_144_)begin + if(execute_CsrPlugin_blockedBySideEffects)begin + execute_arbitration_haltItself = 1'b1; + end + end + end + + always @ (*) begin + execute_arbitration_haltByOther = 1'b0; + if(_zz_145_)begin + execute_arbitration_haltByOther = 1'b1; + end + end + + always @ (*) begin + execute_arbitration_removeIt = 1'b0; + if(execute_arbitration_isFlushed)begin + execute_arbitration_removeIt = 1'b1; + end + end + + always @ (*) begin + execute_arbitration_flushIt = 1'b0; + if(_zz_145_)begin + if(_zz_146_)begin + execute_arbitration_flushIt = 1'b1; + end + end + end + + always @ (*) begin + execute_arbitration_flushNext = 1'b0; + if(_zz_145_)begin + if(_zz_146_)begin + execute_arbitration_flushNext = 1'b1; + end + end + end + + always @ (*) begin + memory_arbitration_haltItself = 1'b0; + if((((memory_arbitration_isValid && memory_MEMORY_ENABLE) && (! memory_MEMORY_STORE)) && ((! dBus_rsp_ready) || 1'b0)))begin + memory_arbitration_haltItself = 1'b1; + end + if(_zz_143_)begin + if(((! memory_MulDivIterativePlugin_frontendOk) || (! memory_MulDivIterativePlugin_div_done)))begin + memory_arbitration_haltItself = 1'b1; + end + end + end + + assign memory_arbitration_haltByOther = 1'b0; + always @ (*) begin + memory_arbitration_removeIt = 1'b0; + if(memory_arbitration_isFlushed)begin + memory_arbitration_removeIt = 1'b1; + end + end + + assign memory_arbitration_flushIt = 1'b0; + always @ (*) begin + memory_arbitration_flushNext = 1'b0; + if(BranchPlugin_jumpInterface_valid)begin + memory_arbitration_flushNext = 1'b1; + end + end + + assign writeBack_arbitration_haltItself = 1'b0; + assign writeBack_arbitration_haltByOther = 1'b0; + always @ (*) begin + writeBack_arbitration_removeIt = 1'b0; + if(writeBack_arbitration_isFlushed)begin + writeBack_arbitration_removeIt = 1'b1; + end + end + + assign writeBack_arbitration_flushIt = 1'b0; + always @ (*) begin + writeBack_arbitration_flushNext = 1'b0; + if(_zz_147_)begin + writeBack_arbitration_flushNext = 1'b1; + end + if(_zz_148_)begin + writeBack_arbitration_flushNext = 1'b1; + end + end + + assign lastStageInstruction = writeBack_INSTRUCTION; + assign lastStagePc = writeBack_PC; + assign lastStageIsValid = writeBack_arbitration_isValid; + assign lastStageIsFiring = writeBack_arbitration_isFiring; + always @ (*) begin + IBusSimplePlugin_fetcherHalt = 1'b0; + if(_zz_147_)begin + IBusSimplePlugin_fetcherHalt = 1'b1; + end + if(_zz_148_)begin + IBusSimplePlugin_fetcherHalt = 1'b1; + end + if(_zz_145_)begin + if(_zz_146_)begin + IBusSimplePlugin_fetcherHalt = 1'b1; + end + end + if(DebugPlugin_haltIt)begin + IBusSimplePlugin_fetcherHalt = 1'b1; + end + if(_zz_149_)begin + IBusSimplePlugin_fetcherHalt = 1'b1; + end + end + + always @ (*) begin + IBusSimplePlugin_incomingInstruction = 1'b0; + if((IBusSimplePlugin_iBusRsp_stages_1_input_valid || IBusSimplePlugin_iBusRsp_stages_2_input_valid))begin + IBusSimplePlugin_incomingInstruction = 1'b1; + end + if(IBusSimplePlugin_injector_decodeInput_valid)begin + IBusSimplePlugin_incomingInstruction = 1'b1; + end + end + + assign CsrPlugin_inWfi = 1'b0; + always @ (*) begin + CsrPlugin_thirdPartyWake = 1'b0; + if(DebugPlugin_haltIt)begin + CsrPlugin_thirdPartyWake = 1'b1; + end + end + + always @ (*) begin + CsrPlugin_jumpInterface_valid = 1'b0; + if(_zz_147_)begin + CsrPlugin_jumpInterface_valid = 1'b1; + end + if(_zz_148_)begin + CsrPlugin_jumpInterface_valid = 1'b1; + end + end + + always @ (*) begin + CsrPlugin_jumpInterface_payload = 32'h0; + if(_zz_147_)begin + CsrPlugin_jumpInterface_payload = {CsrPlugin_xtvec_base,(2'b00)}; + end + if(_zz_148_)begin + case(_zz_150_) + 2'b11 : begin + CsrPlugin_jumpInterface_payload = CsrPlugin_mepc; + end + default : begin + end + endcase + end + end + + always @ (*) begin + CsrPlugin_forceMachineWire = 1'b0; + if(DebugPlugin_godmode)begin + CsrPlugin_forceMachineWire = 1'b1; + end + end + + always @ (*) begin + CsrPlugin_allowInterrupts = 1'b1; + if((DebugPlugin_haltIt || DebugPlugin_stepIt))begin + CsrPlugin_allowInterrupts = 1'b0; + end + end + + always @ (*) begin + CsrPlugin_allowException = 1'b1; + if(DebugPlugin_godmode)begin + CsrPlugin_allowException = 1'b0; + end + end + + assign IBusSimplePlugin_externalFlush = ({writeBack_arbitration_flushNext,{memory_arbitration_flushNext,{execute_arbitration_flushNext,decode_arbitration_flushNext}}} != (4'b0000)); + assign IBusSimplePlugin_jump_pcLoad_valid = ({BranchPlugin_jumpInterface_valid,CsrPlugin_jumpInterface_valid} != (2'b00)); + assign _zz_49_ = {BranchPlugin_jumpInterface_valid,CsrPlugin_jumpInterface_valid}; + assign IBusSimplePlugin_jump_pcLoad_payload = (_zz_192_[0] ? CsrPlugin_jumpInterface_payload : BranchPlugin_jumpInterface_payload); + always @ (*) begin + IBusSimplePlugin_fetchPc_correction = 1'b0; + if(IBusSimplePlugin_jump_pcLoad_valid)begin + IBusSimplePlugin_fetchPc_correction = 1'b1; + end + end + + assign IBusSimplePlugin_fetchPc_corrected = (IBusSimplePlugin_fetchPc_correction || IBusSimplePlugin_fetchPc_correctionReg); + always @ (*) begin + IBusSimplePlugin_fetchPc_pcRegPropagate = 1'b0; + if(IBusSimplePlugin_iBusRsp_stages_1_input_ready)begin + IBusSimplePlugin_fetchPc_pcRegPropagate = 1'b1; + end + end + + always @ (*) begin + IBusSimplePlugin_fetchPc_pc = (IBusSimplePlugin_fetchPc_pcReg + _zz_195_); + if(IBusSimplePlugin_jump_pcLoad_valid)begin + IBusSimplePlugin_fetchPc_pc = IBusSimplePlugin_jump_pcLoad_payload; + end + IBusSimplePlugin_fetchPc_pc[0] = 1'b0; + IBusSimplePlugin_fetchPc_pc[1] = 1'b0; + end + + always @ (*) begin + IBusSimplePlugin_fetchPc_flushed = 1'b0; + if(IBusSimplePlugin_jump_pcLoad_valid)begin + IBusSimplePlugin_fetchPc_flushed = 1'b1; + end + end + + assign IBusSimplePlugin_fetchPc_output_valid = ((! IBusSimplePlugin_fetcherHalt) && IBusSimplePlugin_fetchPc_booted); + assign IBusSimplePlugin_fetchPc_output_payload = IBusSimplePlugin_fetchPc_pc; + assign IBusSimplePlugin_iBusRsp_redoFetch = 1'b0; + assign IBusSimplePlugin_iBusRsp_stages_0_input_valid = IBusSimplePlugin_fetchPc_output_valid; + assign IBusSimplePlugin_fetchPc_output_ready = IBusSimplePlugin_iBusRsp_stages_0_input_ready; + assign IBusSimplePlugin_iBusRsp_stages_0_input_payload = IBusSimplePlugin_fetchPc_output_payload; + assign IBusSimplePlugin_iBusRsp_stages_0_halt = 1'b0; + assign _zz_50_ = (! IBusSimplePlugin_iBusRsp_stages_0_halt); + assign IBusSimplePlugin_iBusRsp_stages_0_input_ready = (IBusSimplePlugin_iBusRsp_stages_0_output_ready && _zz_50_); + assign IBusSimplePlugin_iBusRsp_stages_0_output_valid = (IBusSimplePlugin_iBusRsp_stages_0_input_valid && _zz_50_); + assign IBusSimplePlugin_iBusRsp_stages_0_output_payload = IBusSimplePlugin_iBusRsp_stages_0_input_payload; + always @ (*) begin + IBusSimplePlugin_iBusRsp_stages_1_halt = 1'b0; + if((IBusSimplePlugin_iBusRsp_stages_1_input_valid && ((! IBusSimplePlugin_cmdFork_canEmit) || (! IBusSimplePlugin_cmd_ready))))begin + IBusSimplePlugin_iBusRsp_stages_1_halt = 1'b1; + end + end + + assign _zz_51_ = (! IBusSimplePlugin_iBusRsp_stages_1_halt); + assign IBusSimplePlugin_iBusRsp_stages_1_input_ready = (IBusSimplePlugin_iBusRsp_stages_1_output_ready && _zz_51_); + assign IBusSimplePlugin_iBusRsp_stages_1_output_valid = (IBusSimplePlugin_iBusRsp_stages_1_input_valid && _zz_51_); + assign IBusSimplePlugin_iBusRsp_stages_1_output_payload = IBusSimplePlugin_iBusRsp_stages_1_input_payload; + assign IBusSimplePlugin_iBusRsp_stages_2_halt = 1'b0; + assign _zz_52_ = (! IBusSimplePlugin_iBusRsp_stages_2_halt); + assign IBusSimplePlugin_iBusRsp_stages_2_input_ready = (IBusSimplePlugin_iBusRsp_stages_2_output_ready && _zz_52_); + assign IBusSimplePlugin_iBusRsp_stages_2_output_valid = (IBusSimplePlugin_iBusRsp_stages_2_input_valid && _zz_52_); + assign IBusSimplePlugin_iBusRsp_stages_2_output_payload = IBusSimplePlugin_iBusRsp_stages_2_input_payload; + assign IBusSimplePlugin_iBusRsp_flush = (IBusSimplePlugin_externalFlush || IBusSimplePlugin_iBusRsp_redoFetch); + assign IBusSimplePlugin_iBusRsp_stages_0_output_ready = _zz_53_; + assign _zz_53_ = ((1'b0 && (! _zz_54_)) || IBusSimplePlugin_iBusRsp_stages_1_input_ready); + assign _zz_54_ = _zz_55_; + assign IBusSimplePlugin_iBusRsp_stages_1_input_valid = _zz_54_; + assign IBusSimplePlugin_iBusRsp_stages_1_input_payload = IBusSimplePlugin_fetchPc_pcReg; + assign IBusSimplePlugin_iBusRsp_stages_1_output_ready = ((1'b0 && (! _zz_56_)) || IBusSimplePlugin_iBusRsp_stages_2_input_ready); + assign _zz_56_ = _zz_57_; + assign IBusSimplePlugin_iBusRsp_stages_2_input_valid = _zz_56_; + assign IBusSimplePlugin_iBusRsp_stages_2_input_payload = _zz_58_; + always @ (*) begin + IBusSimplePlugin_iBusRsp_readyForError = 1'b1; + if(IBusSimplePlugin_injector_decodeInput_valid)begin + IBusSimplePlugin_iBusRsp_readyForError = 1'b0; + end + if((! IBusSimplePlugin_pcValids_0))begin + IBusSimplePlugin_iBusRsp_readyForError = 1'b0; + end + end + + assign IBusSimplePlugin_iBusRsp_output_ready = ((1'b0 && (! IBusSimplePlugin_injector_decodeInput_valid)) || IBusSimplePlugin_injector_decodeInput_ready); + assign IBusSimplePlugin_injector_decodeInput_valid = _zz_59_; + assign IBusSimplePlugin_injector_decodeInput_payload_pc = _zz_60_; + assign IBusSimplePlugin_injector_decodeInput_payload_rsp_error = _zz_61_; + assign IBusSimplePlugin_injector_decodeInput_payload_rsp_inst = _zz_62_; + assign IBusSimplePlugin_injector_decodeInput_payload_isRvc = _zz_63_; + assign IBusSimplePlugin_pcValids_0 = IBusSimplePlugin_injector_nextPcCalc_valids_2; + assign IBusSimplePlugin_pcValids_1 = IBusSimplePlugin_injector_nextPcCalc_valids_3; + assign IBusSimplePlugin_pcValids_2 = IBusSimplePlugin_injector_nextPcCalc_valids_4; + assign IBusSimplePlugin_pcValids_3 = IBusSimplePlugin_injector_nextPcCalc_valids_5; + assign IBusSimplePlugin_injector_decodeInput_ready = (! decode_arbitration_isStuck); + always @ (*) begin + decode_arbitration_isValid = IBusSimplePlugin_injector_decodeInput_valid; + case(_zz_125_) + 3'b000 : begin + end + 3'b001 : begin + end + 3'b010 : begin + decode_arbitration_isValid = 1'b1; + end + 3'b011 : begin + decode_arbitration_isValid = 1'b1; + end + 3'b100 : begin + end + default : begin + end + endcase + end + + assign iBus_cmd_valid = IBusSimplePlugin_cmd_valid; + assign IBusSimplePlugin_cmd_ready = iBus_cmd_ready; + assign iBus_cmd_payload_pc = IBusSimplePlugin_cmd_payload_pc; + assign IBusSimplePlugin_pending_next = (_zz_196_ - _zz_200_); + assign IBusSimplePlugin_cmdFork_canEmit = (IBusSimplePlugin_iBusRsp_stages_1_output_ready && (IBusSimplePlugin_pending_value != (3'b111))); + assign IBusSimplePlugin_cmd_valid = (IBusSimplePlugin_iBusRsp_stages_1_input_valid && IBusSimplePlugin_cmdFork_canEmit); + assign IBusSimplePlugin_pending_inc = (IBusSimplePlugin_cmd_valid && IBusSimplePlugin_cmd_ready); + assign IBusSimplePlugin_cmd_payload_pc = {IBusSimplePlugin_iBusRsp_stages_1_input_payload[31 : 2],(2'b00)}; + assign IBusSimplePlugin_rspJoin_rspBuffer_flush = ((IBusSimplePlugin_rspJoin_rspBuffer_discardCounter != (3'b000)) || IBusSimplePlugin_iBusRsp_flush); + assign IBusSimplePlugin_rspJoin_rspBuffer_output_valid = (IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid && (IBusSimplePlugin_rspJoin_rspBuffer_discardCounter == (3'b000))); + assign IBusSimplePlugin_rspJoin_rspBuffer_output_payload_error = IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_error; + assign IBusSimplePlugin_rspJoin_rspBuffer_output_payload_inst = IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_inst; + assign _zz_135_ = (IBusSimplePlugin_rspJoin_rspBuffer_output_ready || IBusSimplePlugin_rspJoin_rspBuffer_flush); + assign IBusSimplePlugin_pending_dec = (IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid && _zz_135_); + assign IBusSimplePlugin_rspJoin_fetchRsp_pc = IBusSimplePlugin_iBusRsp_stages_2_output_payload; + always @ (*) begin + IBusSimplePlugin_rspJoin_fetchRsp_rsp_error = IBusSimplePlugin_rspJoin_rspBuffer_output_payload_error; + if((! IBusSimplePlugin_rspJoin_rspBuffer_output_valid))begin + IBusSimplePlugin_rspJoin_fetchRsp_rsp_error = 1'b0; + end + end + + assign IBusSimplePlugin_rspJoin_fetchRsp_rsp_inst = IBusSimplePlugin_rspJoin_rspBuffer_output_payload_inst; + assign IBusSimplePlugin_rspJoin_exceptionDetected = 1'b0; + assign IBusSimplePlugin_rspJoin_join_valid = (IBusSimplePlugin_iBusRsp_stages_2_output_valid && IBusSimplePlugin_rspJoin_rspBuffer_output_valid); + assign IBusSimplePlugin_rspJoin_join_payload_pc = IBusSimplePlugin_rspJoin_fetchRsp_pc; + assign IBusSimplePlugin_rspJoin_join_payload_rsp_error = IBusSimplePlugin_rspJoin_fetchRsp_rsp_error; + assign IBusSimplePlugin_rspJoin_join_payload_rsp_inst = IBusSimplePlugin_rspJoin_fetchRsp_rsp_inst; + assign IBusSimplePlugin_rspJoin_join_payload_isRvc = IBusSimplePlugin_rspJoin_fetchRsp_isRvc; + assign IBusSimplePlugin_iBusRsp_stages_2_output_ready = (IBusSimplePlugin_iBusRsp_stages_2_output_valid ? (IBusSimplePlugin_rspJoin_join_valid && IBusSimplePlugin_rspJoin_join_ready) : IBusSimplePlugin_rspJoin_join_ready); + assign IBusSimplePlugin_rspJoin_rspBuffer_output_ready = (IBusSimplePlugin_rspJoin_join_valid && IBusSimplePlugin_rspJoin_join_ready); + assign _zz_64_ = (! IBusSimplePlugin_rspJoin_exceptionDetected); + assign IBusSimplePlugin_rspJoin_join_ready = (IBusSimplePlugin_iBusRsp_output_ready && _zz_64_); + assign IBusSimplePlugin_iBusRsp_output_valid = (IBusSimplePlugin_rspJoin_join_valid && _zz_64_); + assign IBusSimplePlugin_iBusRsp_output_payload_pc = IBusSimplePlugin_rspJoin_join_payload_pc; + assign IBusSimplePlugin_iBusRsp_output_payload_rsp_error = IBusSimplePlugin_rspJoin_join_payload_rsp_error; + assign IBusSimplePlugin_iBusRsp_output_payload_rsp_inst = IBusSimplePlugin_rspJoin_join_payload_rsp_inst; + assign IBusSimplePlugin_iBusRsp_output_payload_isRvc = IBusSimplePlugin_rspJoin_join_payload_isRvc; + assign _zz_65_ = 1'b0; + always @ (*) begin + execute_DBusSimplePlugin_skipCmd = 1'b0; + if(execute_ALIGNEMENT_FAULT)begin + execute_DBusSimplePlugin_skipCmd = 1'b1; + end + end + + assign dBus_cmd_valid = (((((execute_arbitration_isValid && execute_MEMORY_ENABLE) && (! execute_arbitration_isStuckByOthers)) && (! execute_arbitration_isFlushed)) && (! execute_DBusSimplePlugin_skipCmd)) && (! _zz_65_)); + assign dBus_cmd_payload_wr = execute_MEMORY_STORE; + assign dBus_cmd_payload_size = execute_INSTRUCTION[13 : 12]; + always @ (*) begin + case(dBus_cmd_payload_size) + 2'b00 : begin + _zz_66_ = {{{execute_RS2[7 : 0],execute_RS2[7 : 0]},execute_RS2[7 : 0]},execute_RS2[7 : 0]}; + end + 2'b01 : begin + _zz_66_ = {execute_RS2[15 : 0],execute_RS2[15 : 0]}; + end + default : begin + _zz_66_ = execute_RS2[31 : 0]; + end + endcase + end + + assign dBus_cmd_payload_data = _zz_66_; + always @ (*) begin + case(dBus_cmd_payload_size) + 2'b00 : begin + _zz_67_ = (4'b0001); + end + 2'b01 : begin + _zz_67_ = (4'b0011); + end + default : begin + _zz_67_ = (4'b1111); + end + endcase + end + + assign execute_DBusSimplePlugin_formalMask = (_zz_67_ <<< dBus_cmd_payload_address[1 : 0]); + assign dBus_cmd_payload_address = execute_SRC_ADD; + always @ (*) begin + writeBack_DBusSimplePlugin_rspShifted = writeBack_MEMORY_READ_DATA; + case(writeBack_MEMORY_ADDRESS_LOW) + 2'b01 : begin + writeBack_DBusSimplePlugin_rspShifted[7 : 0] = writeBack_MEMORY_READ_DATA[15 : 8]; + end + 2'b10 : begin + writeBack_DBusSimplePlugin_rspShifted[15 : 0] = writeBack_MEMORY_READ_DATA[31 : 16]; + end + 2'b11 : begin + writeBack_DBusSimplePlugin_rspShifted[7 : 0] = writeBack_MEMORY_READ_DATA[31 : 24]; + end + default : begin + end + endcase + end + + assign _zz_68_ = (writeBack_DBusSimplePlugin_rspShifted[7] && (! writeBack_INSTRUCTION[14])); + always @ (*) begin + _zz_69_[31] = _zz_68_; + _zz_69_[30] = _zz_68_; + _zz_69_[29] = _zz_68_; + _zz_69_[28] = _zz_68_; + _zz_69_[27] = _zz_68_; + _zz_69_[26] = _zz_68_; + _zz_69_[25] = _zz_68_; + _zz_69_[24] = _zz_68_; + _zz_69_[23] = _zz_68_; + _zz_69_[22] = _zz_68_; + _zz_69_[21] = _zz_68_; + _zz_69_[20] = _zz_68_; + _zz_69_[19] = _zz_68_; + _zz_69_[18] = _zz_68_; + _zz_69_[17] = _zz_68_; + _zz_69_[16] = _zz_68_; + _zz_69_[15] = _zz_68_; + _zz_69_[14] = _zz_68_; + _zz_69_[13] = _zz_68_; + _zz_69_[12] = _zz_68_; + _zz_69_[11] = _zz_68_; + _zz_69_[10] = _zz_68_; + _zz_69_[9] = _zz_68_; + _zz_69_[8] = _zz_68_; + _zz_69_[7 : 0] = writeBack_DBusSimplePlugin_rspShifted[7 : 0]; + end + + assign _zz_70_ = (writeBack_DBusSimplePlugin_rspShifted[15] && (! writeBack_INSTRUCTION[14])); + always @ (*) begin + _zz_71_[31] = _zz_70_; + _zz_71_[30] = _zz_70_; + _zz_71_[29] = _zz_70_; + _zz_71_[28] = _zz_70_; + _zz_71_[27] = _zz_70_; + _zz_71_[26] = _zz_70_; + _zz_71_[25] = _zz_70_; + _zz_71_[24] = _zz_70_; + _zz_71_[23] = _zz_70_; + _zz_71_[22] = _zz_70_; + _zz_71_[21] = _zz_70_; + _zz_71_[20] = _zz_70_; + _zz_71_[19] = _zz_70_; + _zz_71_[18] = _zz_70_; + _zz_71_[17] = _zz_70_; + _zz_71_[16] = _zz_70_; + _zz_71_[15 : 0] = writeBack_DBusSimplePlugin_rspShifted[15 : 0]; + end + + always @ (*) begin + case(_zz_165_) + 2'b00 : begin + writeBack_DBusSimplePlugin_rspFormated = _zz_69_; + end + 2'b01 : begin + writeBack_DBusSimplePlugin_rspFormated = _zz_71_; + end + default : begin + writeBack_DBusSimplePlugin_rspFormated = writeBack_DBusSimplePlugin_rspShifted; + end + endcase + end + + always @ (*) begin + CsrPlugin_privilege = (2'b11); + if(CsrPlugin_forceMachineWire)begin + CsrPlugin_privilege = (2'b11); + end + end + + assign CsrPlugin_misa_base = (2'b01); + assign CsrPlugin_misa_extensions = 26'h0000042; + assign _zz_72_ = (CsrPlugin_mip_MTIP && CsrPlugin_mie_MTIE); + assign _zz_73_ = (CsrPlugin_mip_MSIP && CsrPlugin_mie_MSIE); + assign _zz_74_ = (CsrPlugin_mip_MEIP && CsrPlugin_mie_MEIE); + assign CsrPlugin_exception = 1'b0; + assign CsrPlugin_lastStageWasWfi = 1'b0; + assign CsrPlugin_pipelineLiberator_active = ((CsrPlugin_interrupt_valid && CsrPlugin_allowInterrupts) && decode_arbitration_isValid); + always @ (*) begin + CsrPlugin_pipelineLiberator_done = CsrPlugin_pipelineLiberator_pcValids_2; + if(CsrPlugin_hadException)begin + CsrPlugin_pipelineLiberator_done = 1'b0; + end + end + + assign CsrPlugin_interruptJump = ((CsrPlugin_interrupt_valid && CsrPlugin_pipelineLiberator_done) && CsrPlugin_allowInterrupts); + assign CsrPlugin_targetPrivilege = CsrPlugin_interrupt_targetPrivilege; + assign CsrPlugin_trapCause = CsrPlugin_interrupt_code; + always @ (*) begin + CsrPlugin_xtvec_mode = (2'bxx); + case(CsrPlugin_targetPrivilege) + 2'b11 : begin + CsrPlugin_xtvec_mode = CsrPlugin_mtvec_mode; + end + default : begin + end + endcase + end + + always @ (*) begin + CsrPlugin_xtvec_base = 30'h0; + case(CsrPlugin_targetPrivilege) + 2'b11 : begin + CsrPlugin_xtvec_base = CsrPlugin_mtvec_base; + end + default : begin + end + endcase + end + + assign contextSwitching = CsrPlugin_jumpInterface_valid; + assign execute_CsrPlugin_blockedBySideEffects = ({writeBack_arbitration_isValid,memory_arbitration_isValid} != (2'b00)); + always @ (*) begin + execute_CsrPlugin_illegalAccess = 1'b1; + if(execute_CsrPlugin_csr_768)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + if(execute_CsrPlugin_csr_836)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + if(execute_CsrPlugin_csr_772)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + if(execute_CsrPlugin_csr_773)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + if(execute_CsrPlugin_csr_834)begin + if(execute_CSR_READ_OPCODE)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + end + if(execute_CsrPlugin_csr_2816)begin + if(execute_CSR_READ_OPCODE)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + end + if(execute_CsrPlugin_csr_2944)begin + if(execute_CSR_READ_OPCODE)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + end + if(execute_CsrPlugin_csr_2818)begin + if(execute_CSR_READ_OPCODE)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + end + if(execute_CsrPlugin_csr_2946)begin + if(execute_CSR_READ_OPCODE)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + end + if((CsrPlugin_privilege < execute_CsrPlugin_csrAddress[9 : 8]))begin + execute_CsrPlugin_illegalAccess = 1'b1; + end + if(((! execute_arbitration_isValid) || (! execute_IS_CSR)))begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + end + + always @ (*) begin + execute_CsrPlugin_illegalInstruction = 1'b0; + if((execute_arbitration_isValid && (execute_ENV_CTRL == `EnvCtrlEnum_defaultEncoding_XRET)))begin + if((CsrPlugin_privilege < execute_INSTRUCTION[29 : 28]))begin + execute_CsrPlugin_illegalInstruction = 1'b1; + end + end + end + + assign execute_CsrPlugin_writeInstruction = ((execute_arbitration_isValid && execute_IS_CSR) && execute_CSR_WRITE_OPCODE); + assign execute_CsrPlugin_readInstruction = ((execute_arbitration_isValid && execute_IS_CSR) && execute_CSR_READ_OPCODE); + assign execute_CsrPlugin_writeEnable = ((execute_CsrPlugin_writeInstruction && (! execute_CsrPlugin_blockedBySideEffects)) && (! execute_arbitration_isStuckByOthers)); + assign execute_CsrPlugin_readEnable = ((execute_CsrPlugin_readInstruction && (! execute_CsrPlugin_blockedBySideEffects)) && (! execute_arbitration_isStuckByOthers)); + assign execute_CsrPlugin_readToWriteData = execute_CsrPlugin_readData; + always @ (*) begin + case(_zz_166_) + 1'b0 : begin + execute_CsrPlugin_writeData = execute_SRC1; + end + default : begin + execute_CsrPlugin_writeData = (execute_INSTRUCTION[12] ? (execute_CsrPlugin_readToWriteData & (~ execute_SRC1)) : (execute_CsrPlugin_readToWriteData | execute_SRC1)); + end + endcase + end + + assign execute_CsrPlugin_csrAddress = execute_INSTRUCTION[31 : 20]; + assign _zz_76_ = ((decode_INSTRUCTION & 32'h00004050) == 32'h00004050); + assign _zz_77_ = ((decode_INSTRUCTION & 32'h00000004) == 32'h00000004); + assign _zz_78_ = ((decode_INSTRUCTION & 32'h00000048) == 32'h00000048); + assign _zz_79_ = ((decode_INSTRUCTION & 32'h00001000) == 32'h0); + assign _zz_80_ = ((decode_INSTRUCTION & 32'h00006004) == 32'h00002000); + assign _zz_75_ = {(((decode_INSTRUCTION & _zz_248_) == 32'h02004020) != (1'b0)),{({_zz_249_,{_zz_250_,_zz_251_}} != (4'b0000)),{(_zz_252_ != (1'b0)),{(_zz_253_ != _zz_254_),{_zz_255_,{_zz_256_,_zz_257_}}}}}}; + assign _zz_81_ = _zz_75_[2 : 1]; + assign _zz_42_ = _zz_81_; + assign _zz_82_ = _zz_75_[5 : 4]; + assign _zz_41_ = _zz_82_; + assign _zz_83_ = _zz_75_[7 : 6]; + assign _zz_40_ = _zz_83_; + assign _zz_84_ = _zz_75_[13 : 13]; + assign _zz_39_ = _zz_84_; + assign _zz_85_ = _zz_75_[18 : 17]; + assign _zz_38_ = _zz_85_; + assign _zz_86_ = _zz_75_[25 : 24]; + assign _zz_37_ = _zz_86_; + assign _zz_87_ = _zz_75_[27 : 26]; + assign _zz_36_ = _zz_87_; + assign decode_RegFilePlugin_regFileReadAddress1 = decode_INSTRUCTION_ANTICIPATED[19 : 15]; + assign decode_RegFilePlugin_regFileReadAddress2 = decode_INSTRUCTION_ANTICIPATED[24 : 20]; + assign decode_RegFilePlugin_rs1Data = _zz_137_; + assign decode_RegFilePlugin_rs2Data = _zz_138_; + always @ (*) begin + lastStageRegFileWrite_valid = (_zz_34_ && writeBack_arbitration_isFiring); + if(_zz_88_)begin + lastStageRegFileWrite_valid = 1'b1; + end + end + + assign lastStageRegFileWrite_payload_address = _zz_33_[11 : 7]; + assign lastStageRegFileWrite_payload_data = _zz_47_; + always @ (*) begin + case(execute_ALU_BITWISE_CTRL) + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : begin + execute_IntAluPlugin_bitwise = (execute_SRC1 & execute_SRC2); + end + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : begin + execute_IntAluPlugin_bitwise = (execute_SRC1 | execute_SRC2); + end + default : begin + execute_IntAluPlugin_bitwise = (execute_SRC1 ^ execute_SRC2); + end + endcase + end + + always @ (*) begin + case(execute_ALU_CTRL) + `AluCtrlEnum_defaultEncoding_BITWISE : begin + _zz_89_ = execute_IntAluPlugin_bitwise; + end + `AluCtrlEnum_defaultEncoding_SLT_SLTU : begin + _zz_89_ = {31'd0, _zz_203_}; + end + default : begin + _zz_89_ = execute_SRC_ADD_SUB; + end + endcase + end + + always @ (*) begin + case(decode_SRC1_CTRL) + `Src1CtrlEnum_defaultEncoding_RS : begin + _zz_90_ = _zz_29_; + end + `Src1CtrlEnum_defaultEncoding_PC_INCREMENT : begin + _zz_90_ = {29'd0, _zz_204_}; + end + `Src1CtrlEnum_defaultEncoding_IMU : begin + _zz_90_ = {decode_INSTRUCTION[31 : 12],12'h0}; + end + default : begin + _zz_90_ = {27'd0, _zz_205_}; + end + endcase + end + + assign _zz_91_ = _zz_206_[11]; + always @ (*) begin + _zz_92_[19] = _zz_91_; + _zz_92_[18] = _zz_91_; + _zz_92_[17] = _zz_91_; + _zz_92_[16] = _zz_91_; + _zz_92_[15] = _zz_91_; + _zz_92_[14] = _zz_91_; + _zz_92_[13] = _zz_91_; + _zz_92_[12] = _zz_91_; + _zz_92_[11] = _zz_91_; + _zz_92_[10] = _zz_91_; + _zz_92_[9] = _zz_91_; + _zz_92_[8] = _zz_91_; + _zz_92_[7] = _zz_91_; + _zz_92_[6] = _zz_91_; + _zz_92_[5] = _zz_91_; + _zz_92_[4] = _zz_91_; + _zz_92_[3] = _zz_91_; + _zz_92_[2] = _zz_91_; + _zz_92_[1] = _zz_91_; + _zz_92_[0] = _zz_91_; + end + + assign _zz_93_ = _zz_207_[11]; + always @ (*) begin + _zz_94_[19] = _zz_93_; + _zz_94_[18] = _zz_93_; + _zz_94_[17] = _zz_93_; + _zz_94_[16] = _zz_93_; + _zz_94_[15] = _zz_93_; + _zz_94_[14] = _zz_93_; + _zz_94_[13] = _zz_93_; + _zz_94_[12] = _zz_93_; + _zz_94_[11] = _zz_93_; + _zz_94_[10] = _zz_93_; + _zz_94_[9] = _zz_93_; + _zz_94_[8] = _zz_93_; + _zz_94_[7] = _zz_93_; + _zz_94_[6] = _zz_93_; + _zz_94_[5] = _zz_93_; + _zz_94_[4] = _zz_93_; + _zz_94_[3] = _zz_93_; + _zz_94_[2] = _zz_93_; + _zz_94_[1] = _zz_93_; + _zz_94_[0] = _zz_93_; + end + + always @ (*) begin + case(decode_SRC2_CTRL) + `Src2CtrlEnum_defaultEncoding_RS : begin + _zz_95_ = _zz_27_; + end + `Src2CtrlEnum_defaultEncoding_IMI : begin + _zz_95_ = {_zz_92_,decode_INSTRUCTION[31 : 20]}; + end + `Src2CtrlEnum_defaultEncoding_IMS : begin + _zz_95_ = {_zz_94_,{decode_INSTRUCTION[31 : 25],decode_INSTRUCTION[11 : 7]}}; + end + default : begin + _zz_95_ = _zz_26_; + end + endcase + end + + always @ (*) begin + execute_SrcPlugin_addSub = _zz_208_; + if(execute_SRC2_FORCE_ZERO)begin + execute_SrcPlugin_addSub = execute_SRC1; + end + end + + assign execute_SrcPlugin_less = ((execute_SRC1[31] == execute_SRC2[31]) ? execute_SrcPlugin_addSub[31] : (execute_SRC_LESS_UNSIGNED ? execute_SRC2[31] : execute_SRC1[31])); + assign execute_FullBarrelShifterPlugin_amplitude = execute_SRC2[4 : 0]; + always @ (*) begin + _zz_96_[0] = execute_SRC1[31]; + _zz_96_[1] = execute_SRC1[30]; + _zz_96_[2] = execute_SRC1[29]; + _zz_96_[3] = execute_SRC1[28]; + _zz_96_[4] = execute_SRC1[27]; + _zz_96_[5] = execute_SRC1[26]; + _zz_96_[6] = execute_SRC1[25]; + _zz_96_[7] = execute_SRC1[24]; + _zz_96_[8] = execute_SRC1[23]; + _zz_96_[9] = execute_SRC1[22]; + _zz_96_[10] = execute_SRC1[21]; + _zz_96_[11] = execute_SRC1[20]; + _zz_96_[12] = execute_SRC1[19]; + _zz_96_[13] = execute_SRC1[18]; + _zz_96_[14] = execute_SRC1[17]; + _zz_96_[15] = execute_SRC1[16]; + _zz_96_[16] = execute_SRC1[15]; + _zz_96_[17] = execute_SRC1[14]; + _zz_96_[18] = execute_SRC1[13]; + _zz_96_[19] = execute_SRC1[12]; + _zz_96_[20] = execute_SRC1[11]; + _zz_96_[21] = execute_SRC1[10]; + _zz_96_[22] = execute_SRC1[9]; + _zz_96_[23] = execute_SRC1[8]; + _zz_96_[24] = execute_SRC1[7]; + _zz_96_[25] = execute_SRC1[6]; + _zz_96_[26] = execute_SRC1[5]; + _zz_96_[27] = execute_SRC1[4]; + _zz_96_[28] = execute_SRC1[3]; + _zz_96_[29] = execute_SRC1[2]; + _zz_96_[30] = execute_SRC1[1]; + _zz_96_[31] = execute_SRC1[0]; + end + + assign execute_FullBarrelShifterPlugin_reversed = ((execute_SHIFT_CTRL == `ShiftCtrlEnum_defaultEncoding_SLL_1) ? _zz_96_ : execute_SRC1); + always @ (*) begin + _zz_97_[0] = memory_SHIFT_RIGHT[31]; + _zz_97_[1] = memory_SHIFT_RIGHT[30]; + _zz_97_[2] = memory_SHIFT_RIGHT[29]; + _zz_97_[3] = memory_SHIFT_RIGHT[28]; + _zz_97_[4] = memory_SHIFT_RIGHT[27]; + _zz_97_[5] = memory_SHIFT_RIGHT[26]; + _zz_97_[6] = memory_SHIFT_RIGHT[25]; + _zz_97_[7] = memory_SHIFT_RIGHT[24]; + _zz_97_[8] = memory_SHIFT_RIGHT[23]; + _zz_97_[9] = memory_SHIFT_RIGHT[22]; + _zz_97_[10] = memory_SHIFT_RIGHT[21]; + _zz_97_[11] = memory_SHIFT_RIGHT[20]; + _zz_97_[12] = memory_SHIFT_RIGHT[19]; + _zz_97_[13] = memory_SHIFT_RIGHT[18]; + _zz_97_[14] = memory_SHIFT_RIGHT[17]; + _zz_97_[15] = memory_SHIFT_RIGHT[16]; + _zz_97_[16] = memory_SHIFT_RIGHT[15]; + _zz_97_[17] = memory_SHIFT_RIGHT[14]; + _zz_97_[18] = memory_SHIFT_RIGHT[13]; + _zz_97_[19] = memory_SHIFT_RIGHT[12]; + _zz_97_[20] = memory_SHIFT_RIGHT[11]; + _zz_97_[21] = memory_SHIFT_RIGHT[10]; + _zz_97_[22] = memory_SHIFT_RIGHT[9]; + _zz_97_[23] = memory_SHIFT_RIGHT[8]; + _zz_97_[24] = memory_SHIFT_RIGHT[7]; + _zz_97_[25] = memory_SHIFT_RIGHT[6]; + _zz_97_[26] = memory_SHIFT_RIGHT[5]; + _zz_97_[27] = memory_SHIFT_RIGHT[4]; + _zz_97_[28] = memory_SHIFT_RIGHT[3]; + _zz_97_[29] = memory_SHIFT_RIGHT[2]; + _zz_97_[30] = memory_SHIFT_RIGHT[1]; + _zz_97_[31] = memory_SHIFT_RIGHT[0]; + end + + always @ (*) begin + _zz_98_ = 1'b0; + if(_zz_151_)begin + if(_zz_152_)begin + if(_zz_103_)begin + _zz_98_ = 1'b1; + end + end + end + if(_zz_153_)begin + if(_zz_154_)begin + if(_zz_105_)begin + _zz_98_ = 1'b1; + end + end + end + if(_zz_155_)begin + if(_zz_156_)begin + if(_zz_107_)begin + _zz_98_ = 1'b1; + end + end + end + if((! decode_RS1_USE))begin + _zz_98_ = 1'b0; + end + end + + always @ (*) begin + _zz_99_ = 1'b0; + if(_zz_151_)begin + if(_zz_152_)begin + if(_zz_104_)begin + _zz_99_ = 1'b1; + end + end + end + if(_zz_153_)begin + if(_zz_154_)begin + if(_zz_106_)begin + _zz_99_ = 1'b1; + end + end + end + if(_zz_155_)begin + if(_zz_156_)begin + if(_zz_108_)begin + _zz_99_ = 1'b1; + end + end + end + if((! decode_RS2_USE))begin + _zz_99_ = 1'b0; + end + end + + assign _zz_103_ = (writeBack_INSTRUCTION[11 : 7] == decode_INSTRUCTION[19 : 15]); + assign _zz_104_ = (writeBack_INSTRUCTION[11 : 7] == decode_INSTRUCTION[24 : 20]); + assign _zz_105_ = (memory_INSTRUCTION[11 : 7] == decode_INSTRUCTION[19 : 15]); + assign _zz_106_ = (memory_INSTRUCTION[11 : 7] == decode_INSTRUCTION[24 : 20]); + assign _zz_107_ = (execute_INSTRUCTION[11 : 7] == decode_INSTRUCTION[19 : 15]); + assign _zz_108_ = (execute_INSTRUCTION[11 : 7] == decode_INSTRUCTION[24 : 20]); + assign execute_BranchPlugin_eq = (execute_SRC1 == execute_SRC2); + assign _zz_109_ = execute_INSTRUCTION[14 : 12]; + always @ (*) begin + if((_zz_109_ == (3'b000))) begin + _zz_110_ = execute_BranchPlugin_eq; + end else if((_zz_109_ == (3'b001))) begin + _zz_110_ = (! execute_BranchPlugin_eq); + end else if((((_zz_109_ & (3'b101)) == (3'b101)))) begin + _zz_110_ = (! execute_SRC_LESS); + end else begin + _zz_110_ = execute_SRC_LESS; + end + end + + always @ (*) begin + case(execute_BRANCH_CTRL) + `BranchCtrlEnum_defaultEncoding_INC : begin + _zz_111_ = 1'b0; + end + `BranchCtrlEnum_defaultEncoding_JAL : begin + _zz_111_ = 1'b1; + end + `BranchCtrlEnum_defaultEncoding_JALR : begin + _zz_111_ = 1'b1; + end + default : begin + _zz_111_ = _zz_110_; + end + endcase + end + + assign execute_BranchPlugin_branch_src1 = ((execute_BRANCH_CTRL == `BranchCtrlEnum_defaultEncoding_JALR) ? execute_RS1 : execute_PC); + assign _zz_112_ = _zz_215_[19]; + always @ (*) begin + _zz_113_[10] = _zz_112_; + _zz_113_[9] = _zz_112_; + _zz_113_[8] = _zz_112_; + _zz_113_[7] = _zz_112_; + _zz_113_[6] = _zz_112_; + _zz_113_[5] = _zz_112_; + _zz_113_[4] = _zz_112_; + _zz_113_[3] = _zz_112_; + _zz_113_[2] = _zz_112_; + _zz_113_[1] = _zz_112_; + _zz_113_[0] = _zz_112_; + end + + assign _zz_114_ = _zz_216_[11]; + always @ (*) begin + _zz_115_[19] = _zz_114_; + _zz_115_[18] = _zz_114_; + _zz_115_[17] = _zz_114_; + _zz_115_[16] = _zz_114_; + _zz_115_[15] = _zz_114_; + _zz_115_[14] = _zz_114_; + _zz_115_[13] = _zz_114_; + _zz_115_[12] = _zz_114_; + _zz_115_[11] = _zz_114_; + _zz_115_[10] = _zz_114_; + _zz_115_[9] = _zz_114_; + _zz_115_[8] = _zz_114_; + _zz_115_[7] = _zz_114_; + _zz_115_[6] = _zz_114_; + _zz_115_[5] = _zz_114_; + _zz_115_[4] = _zz_114_; + _zz_115_[3] = _zz_114_; + _zz_115_[2] = _zz_114_; + _zz_115_[1] = _zz_114_; + _zz_115_[0] = _zz_114_; + end + + assign _zz_116_ = _zz_217_[11]; + always @ (*) begin + _zz_117_[18] = _zz_116_; + _zz_117_[17] = _zz_116_; + _zz_117_[16] = _zz_116_; + _zz_117_[15] = _zz_116_; + _zz_117_[14] = _zz_116_; + _zz_117_[13] = _zz_116_; + _zz_117_[12] = _zz_116_; + _zz_117_[11] = _zz_116_; + _zz_117_[10] = _zz_116_; + _zz_117_[9] = _zz_116_; + _zz_117_[8] = _zz_116_; + _zz_117_[7] = _zz_116_; + _zz_117_[6] = _zz_116_; + _zz_117_[5] = _zz_116_; + _zz_117_[4] = _zz_116_; + _zz_117_[3] = _zz_116_; + _zz_117_[2] = _zz_116_; + _zz_117_[1] = _zz_116_; + _zz_117_[0] = _zz_116_; + end + + always @ (*) begin + case(execute_BRANCH_CTRL) + `BranchCtrlEnum_defaultEncoding_JAL : begin + _zz_118_ = {{_zz_113_,{{{execute_INSTRUCTION[31],execute_INSTRUCTION[19 : 12]},execute_INSTRUCTION[20]},execute_INSTRUCTION[30 : 21]}},1'b0}; + end + `BranchCtrlEnum_defaultEncoding_JALR : begin + _zz_118_ = {_zz_115_,execute_INSTRUCTION[31 : 20]}; + end + default : begin + _zz_118_ = {{_zz_117_,{{{execute_INSTRUCTION[31],execute_INSTRUCTION[7]},execute_INSTRUCTION[30 : 25]},execute_INSTRUCTION[11 : 8]}},1'b0}; + end + endcase + end + + assign execute_BranchPlugin_branch_src2 = _zz_118_; + assign execute_BranchPlugin_branchAdder = (execute_BranchPlugin_branch_src1 + execute_BranchPlugin_branch_src2); + assign BranchPlugin_jumpInterface_valid = ((memory_arbitration_isValid && memory_BRANCH_DO) && (! 1'b0)); + assign BranchPlugin_jumpInterface_payload = memory_BRANCH_CALC; + assign execute_Mul16Plugin_a = execute_SRC1; + assign execute_Mul16Plugin_b = execute_SRC2; + assign execute_Mul16Plugin_aLow = execute_Mul16Plugin_a[15 : 0]; + assign execute_Mul16Plugin_bLow = execute_Mul16Plugin_b[15 : 0]; + assign execute_Mul16Plugin_aHigh = execute_Mul16Plugin_a[31 : 16]; + assign execute_Mul16Plugin_bHigh = execute_Mul16Plugin_b[31 : 16]; + assign memory_Mul16Plugin_ll = memory_MUL_LL; + assign memory_Mul16Plugin_lh = {1'd0, memory_MUL_LH}; + assign memory_Mul16Plugin_hl = memory_MUL_HL; + assign memory_Mul16Plugin_hh = memory_MUL_HH; + assign memory_Mul16Plugin_hllh = (memory_Mul16Plugin_lh + _zz_218_); + always @ (*) begin + case(_zz_157_) + 2'b01 : begin + writeBack_Mul16Plugin_aSigned = 1'b1; + end + 2'b10 : begin + writeBack_Mul16Plugin_aSigned = 1'b1; + end + default : begin + writeBack_Mul16Plugin_aSigned = 1'b0; + end + endcase + end + + always @ (*) begin + case(_zz_157_) + 2'b01 : begin + writeBack_Mul16Plugin_bSigned = 1'b1; + end + 2'b10 : begin + writeBack_Mul16Plugin_bSigned = 1'b0; + end + default : begin + writeBack_Mul16Plugin_bSigned = 1'b0; + end + endcase + end + + assign writeBack_Mul16Plugin_a = ((writeBack_Mul16Plugin_aSigned && writeBack_SRC1[31]) ? writeBack_SRC2 : 32'h0); + assign writeBack_Mul16Plugin_b = ((writeBack_Mul16Plugin_bSigned && writeBack_SRC2[31]) ? writeBack_SRC1 : 32'h0); + assign memory_MulDivIterativePlugin_frontendOk = 1'b1; + always @ (*) begin + memory_MulDivIterativePlugin_div_counter_willIncrement = 1'b0; + if(_zz_143_)begin + if(_zz_158_)begin + memory_MulDivIterativePlugin_div_counter_willIncrement = 1'b1; + end + end + end + + always @ (*) begin + memory_MulDivIterativePlugin_div_counter_willClear = 1'b0; + if(_zz_159_)begin + memory_MulDivIterativePlugin_div_counter_willClear = 1'b1; + end + end + + assign memory_MulDivIterativePlugin_div_counter_willOverflowIfInc = (memory_MulDivIterativePlugin_div_counter_value == 6'h21); + assign memory_MulDivIterativePlugin_div_counter_willOverflow = (memory_MulDivIterativePlugin_div_counter_willOverflowIfInc && memory_MulDivIterativePlugin_div_counter_willIncrement); + always @ (*) begin + if(memory_MulDivIterativePlugin_div_counter_willOverflow)begin + memory_MulDivIterativePlugin_div_counter_valueNext = 6'h0; + end else begin + memory_MulDivIterativePlugin_div_counter_valueNext = (memory_MulDivIterativePlugin_div_counter_value + _zz_223_); + end + if(memory_MulDivIterativePlugin_div_counter_willClear)begin + memory_MulDivIterativePlugin_div_counter_valueNext = 6'h0; + end + end + + assign _zz_119_ = memory_MulDivIterativePlugin_rs1[31 : 0]; + assign memory_MulDivIterativePlugin_div_stage_0_remainderShifted = {memory_MulDivIterativePlugin_accumulator[31 : 0],_zz_119_[31]}; + assign memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator = (memory_MulDivIterativePlugin_div_stage_0_remainderShifted - _zz_224_); + assign memory_MulDivIterativePlugin_div_stage_0_outRemainder = ((! memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator[32]) ? _zz_225_ : _zz_226_); + assign memory_MulDivIterativePlugin_div_stage_0_outNumerator = _zz_227_[31:0]; + assign _zz_120_ = (memory_INSTRUCTION[13] ? memory_MulDivIterativePlugin_accumulator[31 : 0] : memory_MulDivIterativePlugin_rs1[31 : 0]); + assign _zz_121_ = (execute_RS2[31] && execute_IS_RS2_SIGNED); + assign _zz_122_ = (1'b0 || ((execute_IS_DIV && execute_RS1[31]) && execute_IS_RS1_SIGNED)); + always @ (*) begin + _zz_123_[32] = (execute_IS_RS1_SIGNED && execute_RS1[31]); + _zz_123_[31 : 0] = execute_RS1; + end + + always @ (*) begin + debug_bus_cmd_ready = 1'b1; + if(debug_bus_cmd_valid)begin + case(_zz_160_) + 6'b000000 : begin + end + 6'b000001 : begin + if(debug_bus_cmd_payload_wr)begin + debug_bus_cmd_ready = IBusSimplePlugin_injectionPort_ready; + end + end + 6'b010000 : begin + end + 6'b010001 : begin + end + 6'b010010 : begin + end + default : begin + end + endcase + end + end + + always @ (*) begin + debug_bus_rsp_data = DebugPlugin_busReadDataReg; + if((! _zz_124_))begin + debug_bus_rsp_data[0] = DebugPlugin_resetIt; + debug_bus_rsp_data[1] = DebugPlugin_haltIt; + debug_bus_rsp_data[2] = DebugPlugin_isPipBusy; + debug_bus_rsp_data[3] = DebugPlugin_haltedByBreak; + debug_bus_rsp_data[4] = DebugPlugin_stepIt; + end + end + + always @ (*) begin + IBusSimplePlugin_injectionPort_valid = 1'b0; + if(debug_bus_cmd_valid)begin + case(_zz_160_) + 6'b000000 : begin + end + 6'b000001 : begin + if(debug_bus_cmd_payload_wr)begin + IBusSimplePlugin_injectionPort_valid = 1'b1; + end + end + 6'b010000 : begin + end + 6'b010001 : begin + end + 6'b010010 : begin + end + default : begin + end + endcase + end + end + + assign IBusSimplePlugin_injectionPort_payload = debug_bus_cmd_payload_data; + assign debug_resetOut = DebugPlugin_resetIt_regNext; + assign _zz_21_ = decode_ENV_CTRL; + assign _zz_18_ = execute_ENV_CTRL; + assign _zz_16_ = memory_ENV_CTRL; + assign _zz_19_ = _zz_39_; + assign _zz_45_ = decode_to_execute_ENV_CTRL; + assign _zz_44_ = execute_to_memory_ENV_CTRL; + assign _zz_46_ = memory_to_writeBack_ENV_CTRL; + assign _zz_14_ = decode_SHIFT_CTRL; + assign _zz_11_ = execute_SHIFT_CTRL; + assign _zz_12_ = _zz_38_; + assign _zz_25_ = decode_to_execute_SHIFT_CTRL; + assign _zz_24_ = execute_to_memory_SHIFT_CTRL; + assign _zz_30_ = _zz_41_; + assign _zz_9_ = decode_BRANCH_CTRL; + assign _zz_7_ = _zz_37_; + assign _zz_22_ = decode_to_execute_BRANCH_CTRL; + assign _zz_6_ = decode_ALU_BITWISE_CTRL; + assign _zz_4_ = _zz_42_; + assign _zz_32_ = decode_to_execute_ALU_BITWISE_CTRL; + assign _zz_28_ = _zz_40_; + assign _zz_3_ = decode_ALU_CTRL; + assign _zz_1_ = _zz_36_; + assign _zz_31_ = decode_to_execute_ALU_CTRL; + assign decode_arbitration_isFlushed = (({writeBack_arbitration_flushNext,{memory_arbitration_flushNext,execute_arbitration_flushNext}} != (3'b000)) || ({writeBack_arbitration_flushIt,{memory_arbitration_flushIt,{execute_arbitration_flushIt,decode_arbitration_flushIt}}} != (4'b0000))); + assign execute_arbitration_isFlushed = (({writeBack_arbitration_flushNext,memory_arbitration_flushNext} != (2'b00)) || ({writeBack_arbitration_flushIt,{memory_arbitration_flushIt,execute_arbitration_flushIt}} != (3'b000))); + assign memory_arbitration_isFlushed = ((writeBack_arbitration_flushNext != (1'b0)) || ({writeBack_arbitration_flushIt,memory_arbitration_flushIt} != (2'b00))); + assign writeBack_arbitration_isFlushed = (1'b0 || (writeBack_arbitration_flushIt != (1'b0))); + assign decode_arbitration_isStuckByOthers = (decode_arbitration_haltByOther || (((1'b0 || execute_arbitration_isStuck) || memory_arbitration_isStuck) || writeBack_arbitration_isStuck)); + assign decode_arbitration_isStuck = (decode_arbitration_haltItself || decode_arbitration_isStuckByOthers); + assign decode_arbitration_isMoving = ((! decode_arbitration_isStuck) && (! decode_arbitration_removeIt)); + assign decode_arbitration_isFiring = ((decode_arbitration_isValid && (! decode_arbitration_isStuck)) && (! decode_arbitration_removeIt)); + assign execute_arbitration_isStuckByOthers = (execute_arbitration_haltByOther || ((1'b0 || memory_arbitration_isStuck) || writeBack_arbitration_isStuck)); + assign execute_arbitration_isStuck = (execute_arbitration_haltItself || execute_arbitration_isStuckByOthers); + assign execute_arbitration_isMoving = ((! execute_arbitration_isStuck) && (! execute_arbitration_removeIt)); + assign execute_arbitration_isFiring = ((execute_arbitration_isValid && (! execute_arbitration_isStuck)) && (! execute_arbitration_removeIt)); + assign memory_arbitration_isStuckByOthers = (memory_arbitration_haltByOther || (1'b0 || writeBack_arbitration_isStuck)); + assign memory_arbitration_isStuck = (memory_arbitration_haltItself || memory_arbitration_isStuckByOthers); + assign memory_arbitration_isMoving = ((! memory_arbitration_isStuck) && (! memory_arbitration_removeIt)); + assign memory_arbitration_isFiring = ((memory_arbitration_isValid && (! memory_arbitration_isStuck)) && (! memory_arbitration_removeIt)); + assign writeBack_arbitration_isStuckByOthers = (writeBack_arbitration_haltByOther || 1'b0); + assign writeBack_arbitration_isStuck = (writeBack_arbitration_haltItself || writeBack_arbitration_isStuckByOthers); + assign writeBack_arbitration_isMoving = ((! writeBack_arbitration_isStuck) && (! writeBack_arbitration_removeIt)); + assign writeBack_arbitration_isFiring = ((writeBack_arbitration_isValid && (! writeBack_arbitration_isStuck)) && (! writeBack_arbitration_removeIt)); + always @ (*) begin + IBusSimplePlugin_injectionPort_ready = 1'b0; + case(_zz_125_) + 3'b000 : begin + end + 3'b001 : begin + end + 3'b010 : begin + end + 3'b011 : begin + end + 3'b100 : begin + IBusSimplePlugin_injectionPort_ready = 1'b1; + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_126_ = 32'h0; + if(execute_CsrPlugin_csr_768)begin + _zz_126_[12 : 11] = CsrPlugin_mstatus_MPP; + _zz_126_[7 : 7] = CsrPlugin_mstatus_MPIE; + _zz_126_[3 : 3] = CsrPlugin_mstatus_MIE; + end + end + + always @ (*) begin + _zz_127_ = 32'h0; + if(execute_CsrPlugin_csr_836)begin + _zz_127_[11 : 11] = CsrPlugin_mip_MEIP; + _zz_127_[7 : 7] = CsrPlugin_mip_MTIP; + _zz_127_[3 : 3] = CsrPlugin_mip_MSIP; + end + end + + always @ (*) begin + _zz_128_ = 32'h0; + if(execute_CsrPlugin_csr_772)begin + _zz_128_[11 : 11] = CsrPlugin_mie_MEIE; + _zz_128_[7 : 7] = CsrPlugin_mie_MTIE; + _zz_128_[3 : 3] = CsrPlugin_mie_MSIE; + end + end + + always @ (*) begin + _zz_129_ = 32'h0; + if(execute_CsrPlugin_csr_773)begin + _zz_129_[31 : 2] = CsrPlugin_mtvec_base; + _zz_129_[1 : 0] = CsrPlugin_mtvec_mode; + end + end + + always @ (*) begin + _zz_130_ = 32'h0; + if(execute_CsrPlugin_csr_834)begin + _zz_130_[31 : 31] = CsrPlugin_mcause_interrupt; + _zz_130_[3 : 0] = CsrPlugin_mcause_exceptionCode; + end + end + + always @ (*) begin + _zz_131_ = 32'h0; + if(execute_CsrPlugin_csr_2816)begin + _zz_131_[31 : 0] = CsrPlugin_mcycle[31 : 0]; + end + end + + always @ (*) begin + _zz_132_ = 32'h0; + if(execute_CsrPlugin_csr_2944)begin + _zz_132_[31 : 0] = CsrPlugin_mcycle[63 : 32]; + end + end + + always @ (*) begin + _zz_133_ = 32'h0; + if(execute_CsrPlugin_csr_2818)begin + _zz_133_[31 : 0] = CsrPlugin_minstret[31 : 0]; + end + end + + always @ (*) begin + _zz_134_ = 32'h0; + if(execute_CsrPlugin_csr_2946)begin + _zz_134_[31 : 0] = CsrPlugin_minstret[63 : 32]; + end + end + + assign execute_CsrPlugin_readData = ((((_zz_126_ | _zz_127_) | (_zz_128_ | _zz_129_)) | ((_zz_130_ | _zz_131_) | (_zz_132_ | _zz_133_))) | _zz_134_); + assign _zz_136_ = 1'b0; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + IBusSimplePlugin_fetchPc_pcReg <= 32'h80000000; + IBusSimplePlugin_fetchPc_correctionReg <= 1'b0; + IBusSimplePlugin_fetchPc_booted <= 1'b0; + IBusSimplePlugin_fetchPc_inc <= 1'b0; + _zz_55_ <= 1'b0; + _zz_57_ <= 1'b0; + _zz_59_ <= 1'b0; + IBusSimplePlugin_injector_nextPcCalc_valids_0 <= 1'b0; + IBusSimplePlugin_injector_nextPcCalc_valids_1 <= 1'b0; + IBusSimplePlugin_injector_nextPcCalc_valids_2 <= 1'b0; + IBusSimplePlugin_injector_nextPcCalc_valids_3 <= 1'b0; + IBusSimplePlugin_injector_nextPcCalc_valids_4 <= 1'b0; + IBusSimplePlugin_injector_nextPcCalc_valids_5 <= 1'b0; + IBusSimplePlugin_pending_value <= (3'b000); + IBusSimplePlugin_rspJoin_rspBuffer_discardCounter <= (3'b000); + CsrPlugin_mtvec_mode <= (2'b00); + CsrPlugin_mtvec_base <= 30'h20000000; + CsrPlugin_mstatus_MIE <= 1'b0; + CsrPlugin_mstatus_MPIE <= 1'b0; + CsrPlugin_mstatus_MPP <= (2'b11); + CsrPlugin_mie_MEIE <= 1'b0; + CsrPlugin_mie_MTIE <= 1'b0; + CsrPlugin_mie_MSIE <= 1'b0; + CsrPlugin_interrupt_valid <= 1'b0; + CsrPlugin_pipelineLiberator_pcValids_0 <= 1'b0; + CsrPlugin_pipelineLiberator_pcValids_1 <= 1'b0; + CsrPlugin_pipelineLiberator_pcValids_2 <= 1'b0; + CsrPlugin_hadException <= 1'b0; + execute_CsrPlugin_wfiWake <= 1'b0; + _zz_88_ <= 1'b1; + _zz_100_ <= 1'b0; + memory_MulDivIterativePlugin_div_counter_value <= 6'h0; + execute_arbitration_isValid <= 1'b0; + memory_arbitration_isValid <= 1'b0; + writeBack_arbitration_isValid <= 1'b0; + _zz_125_ <= (3'b000); + memory_to_writeBack_REGFILE_WRITE_DATA <= 32'h0; + memory_to_writeBack_INSTRUCTION <= 32'h0; + end else begin + if(IBusSimplePlugin_fetchPc_correction)begin + IBusSimplePlugin_fetchPc_correctionReg <= 1'b1; + end + if((IBusSimplePlugin_fetchPc_output_valid && IBusSimplePlugin_fetchPc_output_ready))begin + IBusSimplePlugin_fetchPc_correctionReg <= 1'b0; + end + IBusSimplePlugin_fetchPc_booted <= 1'b1; + if((IBusSimplePlugin_fetchPc_correction || IBusSimplePlugin_fetchPc_pcRegPropagate))begin + IBusSimplePlugin_fetchPc_inc <= 1'b0; + end + if((IBusSimplePlugin_fetchPc_output_valid && IBusSimplePlugin_fetchPc_output_ready))begin + IBusSimplePlugin_fetchPc_inc <= 1'b1; + end + if(((! IBusSimplePlugin_fetchPc_output_valid) && IBusSimplePlugin_fetchPc_output_ready))begin + IBusSimplePlugin_fetchPc_inc <= 1'b0; + end + if((IBusSimplePlugin_fetchPc_booted && ((IBusSimplePlugin_fetchPc_output_ready || IBusSimplePlugin_fetchPc_correction) || IBusSimplePlugin_fetchPc_pcRegPropagate)))begin + IBusSimplePlugin_fetchPc_pcReg <= IBusSimplePlugin_fetchPc_pc; + end + if(IBusSimplePlugin_iBusRsp_flush)begin + _zz_55_ <= 1'b0; + end + if(_zz_53_)begin + _zz_55_ <= (IBusSimplePlugin_iBusRsp_stages_0_output_valid && (! 1'b0)); + end + if(IBusSimplePlugin_iBusRsp_flush)begin + _zz_57_ <= 1'b0; + end + if(IBusSimplePlugin_iBusRsp_stages_1_output_ready)begin + _zz_57_ <= (IBusSimplePlugin_iBusRsp_stages_1_output_valid && (! IBusSimplePlugin_iBusRsp_flush)); + end + if(decode_arbitration_removeIt)begin + _zz_59_ <= 1'b0; + end + if(IBusSimplePlugin_iBusRsp_output_ready)begin + _zz_59_ <= (IBusSimplePlugin_iBusRsp_output_valid && (! IBusSimplePlugin_externalFlush)); + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_0 <= 1'b0; + end + if((! (! IBusSimplePlugin_iBusRsp_stages_1_input_ready)))begin + IBusSimplePlugin_injector_nextPcCalc_valids_0 <= 1'b1; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_1 <= 1'b0; + end + if((! (! IBusSimplePlugin_iBusRsp_stages_2_input_ready)))begin + IBusSimplePlugin_injector_nextPcCalc_valids_1 <= IBusSimplePlugin_injector_nextPcCalc_valids_0; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_1 <= 1'b0; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_2 <= 1'b0; + end + if((! (! IBusSimplePlugin_injector_decodeInput_ready)))begin + IBusSimplePlugin_injector_nextPcCalc_valids_2 <= IBusSimplePlugin_injector_nextPcCalc_valids_1; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_2 <= 1'b0; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_3 <= 1'b0; + end + if((! execute_arbitration_isStuck))begin + IBusSimplePlugin_injector_nextPcCalc_valids_3 <= IBusSimplePlugin_injector_nextPcCalc_valids_2; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_3 <= 1'b0; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_4 <= 1'b0; + end + if((! memory_arbitration_isStuck))begin + IBusSimplePlugin_injector_nextPcCalc_valids_4 <= IBusSimplePlugin_injector_nextPcCalc_valids_3; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_4 <= 1'b0; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_5 <= 1'b0; + end + if((! writeBack_arbitration_isStuck))begin + IBusSimplePlugin_injector_nextPcCalc_valids_5 <= IBusSimplePlugin_injector_nextPcCalc_valids_4; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_5 <= 1'b0; + end + IBusSimplePlugin_pending_value <= IBusSimplePlugin_pending_next; + IBusSimplePlugin_rspJoin_rspBuffer_discardCounter <= (IBusSimplePlugin_rspJoin_rspBuffer_discardCounter - _zz_202_); + if(IBusSimplePlugin_iBusRsp_flush)begin + IBusSimplePlugin_rspJoin_rspBuffer_discardCounter <= IBusSimplePlugin_pending_next; + end + CsrPlugin_interrupt_valid <= 1'b0; + if(_zz_161_)begin + if(_zz_162_)begin + CsrPlugin_interrupt_valid <= 1'b1; + end + if(_zz_163_)begin + CsrPlugin_interrupt_valid <= 1'b1; + end + if(_zz_164_)begin + CsrPlugin_interrupt_valid <= 1'b1; + end + end + if(CsrPlugin_pipelineLiberator_active)begin + if((! execute_arbitration_isStuck))begin + CsrPlugin_pipelineLiberator_pcValids_0 <= 1'b1; + end + if((! memory_arbitration_isStuck))begin + CsrPlugin_pipelineLiberator_pcValids_1 <= CsrPlugin_pipelineLiberator_pcValids_0; + end + if((! writeBack_arbitration_isStuck))begin + CsrPlugin_pipelineLiberator_pcValids_2 <= CsrPlugin_pipelineLiberator_pcValids_1; + end + end + if(((! CsrPlugin_pipelineLiberator_active) || decode_arbitration_removeIt))begin + CsrPlugin_pipelineLiberator_pcValids_0 <= 1'b0; + CsrPlugin_pipelineLiberator_pcValids_1 <= 1'b0; + CsrPlugin_pipelineLiberator_pcValids_2 <= 1'b0; + end + if(CsrPlugin_interruptJump)begin + CsrPlugin_interrupt_valid <= 1'b0; + end + CsrPlugin_hadException <= CsrPlugin_exception; + if(_zz_147_)begin + case(CsrPlugin_targetPrivilege) + 2'b11 : begin + CsrPlugin_mstatus_MIE <= 1'b0; + CsrPlugin_mstatus_MPIE <= CsrPlugin_mstatus_MIE; + CsrPlugin_mstatus_MPP <= CsrPlugin_privilege; + end + default : begin + end + endcase + end + if(_zz_148_)begin + case(_zz_150_) + 2'b11 : begin + CsrPlugin_mstatus_MPP <= (2'b00); + CsrPlugin_mstatus_MIE <= CsrPlugin_mstatus_MPIE; + CsrPlugin_mstatus_MPIE <= 1'b1; + end + default : begin + end + endcase + end + execute_CsrPlugin_wfiWake <= (({_zz_74_,{_zz_73_,_zz_72_}} != (3'b000)) || CsrPlugin_thirdPartyWake); + _zz_88_ <= 1'b0; + _zz_100_ <= (_zz_34_ && writeBack_arbitration_isFiring); + memory_MulDivIterativePlugin_div_counter_value <= memory_MulDivIterativePlugin_div_counter_valueNext; + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_REGFILE_WRITE_DATA <= _zz_23_; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_INSTRUCTION <= memory_INSTRUCTION; + end + if(((! execute_arbitration_isStuck) || execute_arbitration_removeIt))begin + execute_arbitration_isValid <= 1'b0; + end + if(((! decode_arbitration_isStuck) && (! decode_arbitration_removeIt)))begin + execute_arbitration_isValid <= decode_arbitration_isValid; + end + if(((! memory_arbitration_isStuck) || memory_arbitration_removeIt))begin + memory_arbitration_isValid <= 1'b0; + end + if(((! execute_arbitration_isStuck) && (! execute_arbitration_removeIt)))begin + memory_arbitration_isValid <= execute_arbitration_isValid; + end + if(((! writeBack_arbitration_isStuck) || writeBack_arbitration_removeIt))begin + writeBack_arbitration_isValid <= 1'b0; + end + if(((! memory_arbitration_isStuck) && (! memory_arbitration_removeIt)))begin + writeBack_arbitration_isValid <= memory_arbitration_isValid; + end + case(_zz_125_) + 3'b000 : begin + if(IBusSimplePlugin_injectionPort_valid)begin + _zz_125_ <= (3'b001); + end + end + 3'b001 : begin + _zz_125_ <= (3'b010); + end + 3'b010 : begin + _zz_125_ <= (3'b011); + end + 3'b011 : begin + if((! decode_arbitration_isStuck))begin + _zz_125_ <= (3'b100); + end + end + 3'b100 : begin + _zz_125_ <= (3'b000); + end + default : begin + end + endcase + if(execute_CsrPlugin_csr_768)begin + if(execute_CsrPlugin_writeEnable)begin + CsrPlugin_mstatus_MPP <= execute_CsrPlugin_writeData[12 : 11]; + CsrPlugin_mstatus_MPIE <= _zz_240_[0]; + CsrPlugin_mstatus_MIE <= _zz_241_[0]; + end + end + if(execute_CsrPlugin_csr_772)begin + if(execute_CsrPlugin_writeEnable)begin + CsrPlugin_mie_MEIE <= _zz_243_[0]; + CsrPlugin_mie_MTIE <= _zz_244_[0]; + CsrPlugin_mie_MSIE <= _zz_245_[0]; + end + end + if(execute_CsrPlugin_csr_773)begin + if(execute_CsrPlugin_writeEnable)begin + CsrPlugin_mtvec_base <= execute_CsrPlugin_writeData[31 : 2]; + CsrPlugin_mtvec_mode <= execute_CsrPlugin_writeData[1 : 0]; + end + end + end + end + + always @ (posedge mainClock) begin + if(IBusSimplePlugin_iBusRsp_stages_1_output_ready)begin + _zz_58_ <= IBusSimplePlugin_iBusRsp_stages_1_output_payload; + end + if(IBusSimplePlugin_iBusRsp_output_ready)begin + _zz_60_ <= IBusSimplePlugin_iBusRsp_output_payload_pc; + _zz_61_ <= IBusSimplePlugin_iBusRsp_output_payload_rsp_error; + _zz_62_ <= IBusSimplePlugin_iBusRsp_output_payload_rsp_inst; + _zz_63_ <= IBusSimplePlugin_iBusRsp_output_payload_isRvc; + end + if(IBusSimplePlugin_injector_decodeInput_ready)begin + IBusSimplePlugin_injector_formal_rawInDecode <= IBusSimplePlugin_iBusRsp_output_payload_rsp_inst; + end + `ifndef SYNTHESIS + `ifdef FORMAL + assert((! (((dBus_rsp_ready && memory_MEMORY_ENABLE) && memory_arbitration_isValid) && memory_arbitration_isStuck))) + `else + if(!(! (((dBus_rsp_ready && memory_MEMORY_ENABLE) && memory_arbitration_isValid) && memory_arbitration_isStuck))) begin + $display("FAILURE DBusSimplePlugin doesn't allow memory stage stall when read happend"); + $finish; + end + `endif + `endif + `ifndef SYNTHESIS + `ifdef FORMAL + assert((! (((writeBack_arbitration_isValid && writeBack_MEMORY_ENABLE) && (! writeBack_MEMORY_STORE)) && writeBack_arbitration_isStuck))) + `else + if(!(! (((writeBack_arbitration_isValid && writeBack_MEMORY_ENABLE) && (! writeBack_MEMORY_STORE)) && writeBack_arbitration_isStuck))) begin + $display("FAILURE DBusSimplePlugin doesn't allow writeback stage stall when read happend"); + $finish; + end + `endif + `endif + CsrPlugin_mip_MEIP <= externalInterrupt; + CsrPlugin_mip_MTIP <= timerInterrupt; + CsrPlugin_mip_MSIP <= softwareInterrupt; + CsrPlugin_mcycle <= (CsrPlugin_mcycle + 64'h0000000000000001); + if(writeBack_arbitration_isFiring)begin + CsrPlugin_minstret <= (CsrPlugin_minstret + 64'h0000000000000001); + end + if(_zz_161_)begin + if(_zz_162_)begin + CsrPlugin_interrupt_code <= (4'b0111); + CsrPlugin_interrupt_targetPrivilege <= (2'b11); + end + if(_zz_163_)begin + CsrPlugin_interrupt_code <= (4'b0011); + CsrPlugin_interrupt_targetPrivilege <= (2'b11); + end + if(_zz_164_)begin + CsrPlugin_interrupt_code <= (4'b1011); + CsrPlugin_interrupt_targetPrivilege <= (2'b11); + end + end + if(_zz_147_)begin + case(CsrPlugin_targetPrivilege) + 2'b11 : begin + CsrPlugin_mcause_interrupt <= (! CsrPlugin_hadException); + CsrPlugin_mcause_exceptionCode <= CsrPlugin_trapCause; + CsrPlugin_mepc <= decode_PC; + end + default : begin + end + endcase + end + _zz_101_ <= _zz_33_[11 : 7]; + _zz_102_ <= _zz_47_; + if((memory_MulDivIterativePlugin_div_counter_value == 6'h20))begin + memory_MulDivIterativePlugin_div_done <= 1'b1; + end + if((! memory_arbitration_isStuck))begin + memory_MulDivIterativePlugin_div_done <= 1'b0; + end + if(_zz_143_)begin + if(_zz_158_)begin + memory_MulDivIterativePlugin_rs1[31 : 0] <= memory_MulDivIterativePlugin_div_stage_0_outNumerator; + memory_MulDivIterativePlugin_accumulator[31 : 0] <= memory_MulDivIterativePlugin_div_stage_0_outRemainder; + if((memory_MulDivIterativePlugin_div_counter_value == 6'h20))begin + memory_MulDivIterativePlugin_div_result <= _zz_228_[31:0]; + end + end + end + if(_zz_159_)begin + memory_MulDivIterativePlugin_accumulator <= 65'h0; + memory_MulDivIterativePlugin_rs1 <= ((_zz_122_ ? (~ _zz_123_) : _zz_123_) + _zz_234_); + memory_MulDivIterativePlugin_rs2 <= ((_zz_121_ ? (~ execute_RS2) : execute_RS2) + _zz_236_); + memory_MulDivIterativePlugin_div_needRevert <= ((_zz_122_ ^ (_zz_121_ && (! execute_INSTRUCTION[13]))) && (! (((execute_RS2 == 32'h0) && execute_IS_RS2_SIGNED) && (! execute_INSTRUCTION[13])))); + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_MUL_LL <= execute_MUL_LL; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_ENV_CTRL <= _zz_20_; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_ENV_CTRL <= _zz_17_; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_ENV_CTRL <= _zz_15_; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_SHIFT_CTRL <= _zz_13_; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_SHIFT_CTRL <= _zz_10_; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_REGFILE_WRITE_DATA <= _zz_43_; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_RS2 <= _zz_27_; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_MEMORY_READ_DATA <= memory_MEMORY_READ_DATA; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_CSR_READ_OPCODE <= decode_CSR_READ_OPCODE; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_MEMORY_ADDRESS_LOW <= execute_MEMORY_ADDRESS_LOW; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_MEMORY_ADDRESS_LOW <= memory_MEMORY_ADDRESS_LOW; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_SRC_LESS_UNSIGNED <= decode_SRC_LESS_UNSIGNED; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_SRC1 <= decode_SRC1; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_SRC1 <= execute_SRC1; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_SRC1 <= memory_SRC1; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_DO_EBREAK <= decode_DO_EBREAK; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_BRANCH_CTRL <= _zz_8_; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_BYPASSABLE_MEMORY_STAGE <= decode_BYPASSABLE_MEMORY_STAGE; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_BYPASSABLE_MEMORY_STAGE <= execute_BYPASSABLE_MEMORY_STAGE; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_IS_MUL <= decode_IS_MUL; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_IS_MUL <= execute_IS_MUL; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_IS_MUL <= memory_IS_MUL; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_INSTRUCTION <= decode_INSTRUCTION; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_INSTRUCTION <= execute_INSTRUCTION; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_SRC2 <= decode_SRC2; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_SRC2 <= execute_SRC2; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_SRC2 <= memory_SRC2; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_MUL <= memory_MUL; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_SRC_USE_SUB_LESS <= decode_SRC_USE_SUB_LESS; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_BYPASSABLE_EXECUTE_STAGE <= decode_BYPASSABLE_EXECUTE_STAGE; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_IS_RS1_SIGNED <= decode_IS_RS1_SIGNED; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_PC <= _zz_26_; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_PC <= execute_PC; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_PC <= memory_PC; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_SHIFT_RIGHT <= execute_SHIFT_RIGHT; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_CSR_WRITE_OPCODE <= decode_CSR_WRITE_OPCODE; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_BRANCH_CALC <= execute_BRANCH_CALC; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_REGFILE_WRITE_VALID <= decode_REGFILE_WRITE_VALID; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_REGFILE_WRITE_VALID <= execute_REGFILE_WRITE_VALID; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_REGFILE_WRITE_VALID <= memory_REGFILE_WRITE_VALID; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_MUL_HL <= execute_MUL_HL; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_MEMORY_STORE <= decode_MEMORY_STORE; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_MEMORY_STORE <= execute_MEMORY_STORE; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_MEMORY_STORE <= memory_MEMORY_STORE; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_MUL_LH <= execute_MUL_LH; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_IS_DIV <= decode_IS_DIV; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_IS_DIV <= execute_IS_DIV; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_SRC2_FORCE_ZERO <= decode_SRC2_FORCE_ZERO; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_ALU_BITWISE_CTRL <= _zz_5_; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_IS_CSR <= decode_IS_CSR; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_ALU_CTRL <= _zz_2_; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_FORMAL_PC_NEXT <= decode_FORMAL_PC_NEXT; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_FORMAL_PC_NEXT <= execute_FORMAL_PC_NEXT; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_FORMAL_PC_NEXT <= _zz_48_; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_MUL_HH <= execute_MUL_HH; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_IS_RS2_SIGNED <= decode_IS_RS2_SIGNED; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_BRANCH_DO <= execute_BRANCH_DO; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_MEMORY_ENABLE <= decode_MEMORY_ENABLE; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_MEMORY_ENABLE <= execute_MEMORY_ENABLE; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_MEMORY_ENABLE <= memory_MEMORY_ENABLE; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_RS1 <= _zz_29_; + end + if((_zz_125_ != (3'b000)))begin + _zz_62_ <= IBusSimplePlugin_injectionPort_payload; + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_768 <= (decode_INSTRUCTION[31 : 20] == 12'h300); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_836 <= (decode_INSTRUCTION[31 : 20] == 12'h344); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_772 <= (decode_INSTRUCTION[31 : 20] == 12'h304); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_773 <= (decode_INSTRUCTION[31 : 20] == 12'h305); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_834 <= (decode_INSTRUCTION[31 : 20] == 12'h342); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_2816 <= (decode_INSTRUCTION[31 : 20] == 12'hb00); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_2944 <= (decode_INSTRUCTION[31 : 20] == 12'hb80); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_2818 <= (decode_INSTRUCTION[31 : 20] == 12'hb02); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_2946 <= (decode_INSTRUCTION[31 : 20] == 12'hb82); + end + if(execute_CsrPlugin_csr_836)begin + if(execute_CsrPlugin_writeEnable)begin + CsrPlugin_mip_MSIP <= _zz_242_[0]; + end + end + end + + always @ (posedge mainClock) begin + DebugPlugin_firstCycle <= 1'b0; + if(debug_bus_cmd_ready)begin + DebugPlugin_firstCycle <= 1'b1; + end + DebugPlugin_secondCycle <= DebugPlugin_firstCycle; + DebugPlugin_isPipBusy <= (({writeBack_arbitration_isValid,{memory_arbitration_isValid,{execute_arbitration_isValid,decode_arbitration_isValid}}} != (4'b0000)) || IBusSimplePlugin_incomingInstruction); + if(writeBack_arbitration_isValid)begin + DebugPlugin_busReadDataReg <= _zz_47_; + end + _zz_124_ <= debug_bus_cmd_payload_address[2]; + if(debug_bus_cmd_valid)begin + case(_zz_160_) + 6'b000000 : begin + end + 6'b000001 : begin + end + 6'b010000 : begin + if(debug_bus_cmd_payload_wr)begin + DebugPlugin_hardwareBreakpoints_0_pc <= debug_bus_cmd_payload_data[31 : 1]; + end + end + 6'b010001 : begin + if(debug_bus_cmd_payload_wr)begin + DebugPlugin_hardwareBreakpoints_1_pc <= debug_bus_cmd_payload_data[31 : 1]; + end + end + 6'b010010 : begin + if(debug_bus_cmd_payload_wr)begin + DebugPlugin_hardwareBreakpoints_2_pc <= debug_bus_cmd_payload_data[31 : 1]; + end + end + default : begin + end + endcase + end + if(_zz_145_)begin + DebugPlugin_busReadDataReg <= execute_PC; + end + DebugPlugin_resetIt_regNext <= DebugPlugin_resetIt; + end + + always @ (posedge mainClock or posedge resetCtrl_mainClockReset) begin + if (resetCtrl_mainClockReset) begin + DebugPlugin_resetIt <= 1'b0; + DebugPlugin_haltIt <= 1'b0; + DebugPlugin_stepIt <= 1'b0; + DebugPlugin_godmode <= 1'b0; + DebugPlugin_haltedByBreak <= 1'b0; + DebugPlugin_hardwareBreakpoints_0_valid <= 1'b0; + DebugPlugin_hardwareBreakpoints_1_valid <= 1'b0; + DebugPlugin_hardwareBreakpoints_2_valid <= 1'b0; + end else begin + if((DebugPlugin_haltIt && (! DebugPlugin_isPipBusy)))begin + DebugPlugin_godmode <= 1'b1; + end + if(debug_bus_cmd_valid)begin + case(_zz_160_) + 6'b000000 : begin + if(debug_bus_cmd_payload_wr)begin + DebugPlugin_stepIt <= debug_bus_cmd_payload_data[4]; + if(debug_bus_cmd_payload_data[16])begin + DebugPlugin_resetIt <= 1'b1; + end + if(debug_bus_cmd_payload_data[24])begin + DebugPlugin_resetIt <= 1'b0; + end + if(debug_bus_cmd_payload_data[17])begin + DebugPlugin_haltIt <= 1'b1; + end + if(debug_bus_cmd_payload_data[25])begin + DebugPlugin_haltIt <= 1'b0; + end + if(debug_bus_cmd_payload_data[25])begin + DebugPlugin_haltedByBreak <= 1'b0; + end + if(debug_bus_cmd_payload_data[25])begin + DebugPlugin_godmode <= 1'b0; + end + end + end + 6'b000001 : begin + end + 6'b010000 : begin + if(debug_bus_cmd_payload_wr)begin + DebugPlugin_hardwareBreakpoints_0_valid <= _zz_237_[0]; + end + end + 6'b010001 : begin + if(debug_bus_cmd_payload_wr)begin + DebugPlugin_hardwareBreakpoints_1_valid <= _zz_238_[0]; + end + end + 6'b010010 : begin + if(debug_bus_cmd_payload_wr)begin + DebugPlugin_hardwareBreakpoints_2_valid <= _zz_239_[0]; + end + end + default : begin + end + endcase + end + if(_zz_145_)begin + if(_zz_146_)begin + DebugPlugin_haltIt <= 1'b1; + DebugPlugin_haltedByBreak <= 1'b1; + end + end + if(_zz_149_)begin + if(decode_arbitration_isValid)begin + DebugPlugin_haltIt <= 1'b1; + end + end + end + end + + +endmodule + +module JtagBridge ( + input io_jtag_tms, + input io_jtag_tdi, + output io_jtag_tdo, + input io_jtag_tck, + output io_remote_cmd_valid, + input io_remote_cmd_ready, + output io_remote_cmd_payload_last, + output [0:0] io_remote_cmd_payload_fragment, + input io_remote_rsp_valid, + output io_remote_rsp_ready, + input io_remote_rsp_payload_error, + input [31:0] io_remote_rsp_payload_data, + input mainClock, + input resetCtrl_mainClockReset +); + wire flowCCByToggle_1__io_output_valid; + wire flowCCByToggle_1__io_output_payload_last; + wire [0:0] flowCCByToggle_1__io_output_payload_fragment; + wire _zz_2_; + wire _zz_3_; + wire [0:0] _zz_4_; + wire [3:0] _zz_5_; + wire [1:0] _zz_6_; + wire [3:0] _zz_7_; + wire [1:0] _zz_8_; + wire [3:0] _zz_9_; + wire [0:0] _zz_10_; + wire system_cmd_valid; + wire system_cmd_payload_last; + wire [0:0] system_cmd_payload_fragment; + reg system_rsp_valid; + reg system_rsp_payload_error; + reg [31:0] system_rsp_payload_data; + wire `JtagState_defaultEncoding_type jtag_tap_fsm_stateNext; + reg `JtagState_defaultEncoding_type jtag_tap_fsm_state = `JtagState_defaultEncoding_RESET; + reg `JtagState_defaultEncoding_type _zz_1_; + reg [3:0] jtag_tap_instruction; + reg [3:0] jtag_tap_instructionShift; + reg jtag_tap_bypass; + reg jtag_tap_tdoUnbufferd; + reg jtag_tap_tdoUnbufferd_regNext; + wire jtag_idcodeArea_instructionHit; + reg [31:0] jtag_idcodeArea_shifter; + wire jtag_writeArea_instructionHit; + reg jtag_writeArea_source_valid; + wire jtag_writeArea_source_payload_last; + wire [0:0] jtag_writeArea_source_payload_fragment; + wire jtag_readArea_instructionHit; + reg [33:0] jtag_readArea_shifter; + `ifndef SYNTHESIS + reg [79:0] jtag_tap_fsm_stateNext_string; + reg [79:0] jtag_tap_fsm_state_string; + reg [79:0] _zz_1__string; + `endif + + + assign _zz_2_ = (jtag_tap_fsm_state == `JtagState_defaultEncoding_DR_SHIFT); + assign _zz_3_ = (jtag_tap_fsm_state == `JtagState_defaultEncoding_DR_SHIFT); + assign _zz_4_ = (1'b1); + assign _zz_5_ = {3'd0, _zz_4_}; + assign _zz_6_ = (2'b10); + assign _zz_7_ = {2'd0, _zz_6_}; + assign _zz_8_ = (2'b11); + assign _zz_9_ = {2'd0, _zz_8_}; + assign _zz_10_ = (1'b1); + FlowCCByToggle flowCCByToggle_1_ ( + .io_input_valid (jtag_writeArea_source_valid ), //i + .io_input_payload_last (jtag_writeArea_source_payload_last ), //i + .io_input_payload_fragment (jtag_writeArea_source_payload_fragment ), //i + .io_output_valid (flowCCByToggle_1__io_output_valid ), //o + .io_output_payload_last (flowCCByToggle_1__io_output_payload_last ), //o + .io_output_payload_fragment (flowCCByToggle_1__io_output_payload_fragment ), //o + .io_jtag_tck (io_jtag_tck ), //i + .mainClock (mainClock ), //i + .resetCtrl_mainClockReset (resetCtrl_mainClockReset ) //i + ); + `ifndef SYNTHESIS + always @(*) begin + case(jtag_tap_fsm_stateNext) + `JtagState_defaultEncoding_RESET : jtag_tap_fsm_stateNext_string = "RESET "; + `JtagState_defaultEncoding_IDLE : jtag_tap_fsm_stateNext_string = "IDLE "; + `JtagState_defaultEncoding_IR_SELECT : jtag_tap_fsm_stateNext_string = "IR_SELECT "; + `JtagState_defaultEncoding_IR_CAPTURE : jtag_tap_fsm_stateNext_string = "IR_CAPTURE"; + `JtagState_defaultEncoding_IR_SHIFT : jtag_tap_fsm_stateNext_string = "IR_SHIFT "; + `JtagState_defaultEncoding_IR_EXIT1 : jtag_tap_fsm_stateNext_string = "IR_EXIT1 "; + `JtagState_defaultEncoding_IR_PAUSE : jtag_tap_fsm_stateNext_string = "IR_PAUSE "; + `JtagState_defaultEncoding_IR_EXIT2 : jtag_tap_fsm_stateNext_string = "IR_EXIT2 "; + `JtagState_defaultEncoding_IR_UPDATE : jtag_tap_fsm_stateNext_string = "IR_UPDATE "; + `JtagState_defaultEncoding_DR_SELECT : jtag_tap_fsm_stateNext_string = "DR_SELECT "; + `JtagState_defaultEncoding_DR_CAPTURE : jtag_tap_fsm_stateNext_string = "DR_CAPTURE"; + `JtagState_defaultEncoding_DR_SHIFT : jtag_tap_fsm_stateNext_string = "DR_SHIFT "; + `JtagState_defaultEncoding_DR_EXIT1 : jtag_tap_fsm_stateNext_string = "DR_EXIT1 "; + `JtagState_defaultEncoding_DR_PAUSE : jtag_tap_fsm_stateNext_string = "DR_PAUSE "; + `JtagState_defaultEncoding_DR_EXIT2 : jtag_tap_fsm_stateNext_string = "DR_EXIT2 "; + `JtagState_defaultEncoding_DR_UPDATE : jtag_tap_fsm_stateNext_string = "DR_UPDATE "; + default : jtag_tap_fsm_stateNext_string = "??????????"; + endcase + end + always @(*) begin + case(jtag_tap_fsm_state) + `JtagState_defaultEncoding_RESET : jtag_tap_fsm_state_string = "RESET "; + `JtagState_defaultEncoding_IDLE : jtag_tap_fsm_state_string = "IDLE "; + `JtagState_defaultEncoding_IR_SELECT : jtag_tap_fsm_state_string = "IR_SELECT "; + `JtagState_defaultEncoding_IR_CAPTURE : jtag_tap_fsm_state_string = "IR_CAPTURE"; + `JtagState_defaultEncoding_IR_SHIFT : jtag_tap_fsm_state_string = "IR_SHIFT "; + `JtagState_defaultEncoding_IR_EXIT1 : jtag_tap_fsm_state_string = "IR_EXIT1 "; + `JtagState_defaultEncoding_IR_PAUSE : jtag_tap_fsm_state_string = "IR_PAUSE "; + `JtagState_defaultEncoding_IR_EXIT2 : jtag_tap_fsm_state_string = "IR_EXIT2 "; + `JtagState_defaultEncoding_IR_UPDATE : jtag_tap_fsm_state_string = "IR_UPDATE "; + `JtagState_defaultEncoding_DR_SELECT : jtag_tap_fsm_state_string = "DR_SELECT "; + `JtagState_defaultEncoding_DR_CAPTURE : jtag_tap_fsm_state_string = "DR_CAPTURE"; + `JtagState_defaultEncoding_DR_SHIFT : jtag_tap_fsm_state_string = "DR_SHIFT "; + `JtagState_defaultEncoding_DR_EXIT1 : jtag_tap_fsm_state_string = "DR_EXIT1 "; + `JtagState_defaultEncoding_DR_PAUSE : jtag_tap_fsm_state_string = "DR_PAUSE "; + `JtagState_defaultEncoding_DR_EXIT2 : jtag_tap_fsm_state_string = "DR_EXIT2 "; + `JtagState_defaultEncoding_DR_UPDATE : jtag_tap_fsm_state_string = "DR_UPDATE "; + default : jtag_tap_fsm_state_string = "??????????"; + endcase + end + always @(*) begin + case(_zz_1_) + `JtagState_defaultEncoding_RESET : _zz_1__string = "RESET "; + `JtagState_defaultEncoding_IDLE : _zz_1__string = "IDLE "; + `JtagState_defaultEncoding_IR_SELECT : _zz_1__string = "IR_SELECT "; + `JtagState_defaultEncoding_IR_CAPTURE : _zz_1__string = "IR_CAPTURE"; + `JtagState_defaultEncoding_IR_SHIFT : _zz_1__string = "IR_SHIFT "; + `JtagState_defaultEncoding_IR_EXIT1 : _zz_1__string = "IR_EXIT1 "; + `JtagState_defaultEncoding_IR_PAUSE : _zz_1__string = "IR_PAUSE "; + `JtagState_defaultEncoding_IR_EXIT2 : _zz_1__string = "IR_EXIT2 "; + `JtagState_defaultEncoding_IR_UPDATE : _zz_1__string = "IR_UPDATE "; + `JtagState_defaultEncoding_DR_SELECT : _zz_1__string = "DR_SELECT "; + `JtagState_defaultEncoding_DR_CAPTURE : _zz_1__string = "DR_CAPTURE"; + `JtagState_defaultEncoding_DR_SHIFT : _zz_1__string = "DR_SHIFT "; + `JtagState_defaultEncoding_DR_EXIT1 : _zz_1__string = "DR_EXIT1 "; + `JtagState_defaultEncoding_DR_PAUSE : _zz_1__string = "DR_PAUSE "; + `JtagState_defaultEncoding_DR_EXIT2 : _zz_1__string = "DR_EXIT2 "; + `JtagState_defaultEncoding_DR_UPDATE : _zz_1__string = "DR_UPDATE "; + default : _zz_1__string = "??????????"; + endcase + end + `endif + + assign io_remote_cmd_valid = system_cmd_valid; + assign io_remote_cmd_payload_last = system_cmd_payload_last; + assign io_remote_cmd_payload_fragment = system_cmd_payload_fragment; + assign io_remote_rsp_ready = 1'b1; + always @ (*) begin + case(jtag_tap_fsm_state) + `JtagState_defaultEncoding_IDLE : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_SELECT : `JtagState_defaultEncoding_IDLE); + end + `JtagState_defaultEncoding_IR_SELECT : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_RESET : `JtagState_defaultEncoding_IR_CAPTURE); + end + `JtagState_defaultEncoding_IR_CAPTURE : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_IR_EXIT1 : `JtagState_defaultEncoding_IR_SHIFT); + end + `JtagState_defaultEncoding_IR_SHIFT : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_IR_EXIT1 : `JtagState_defaultEncoding_IR_SHIFT); + end + `JtagState_defaultEncoding_IR_EXIT1 : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_IR_UPDATE : `JtagState_defaultEncoding_IR_PAUSE); + end + `JtagState_defaultEncoding_IR_PAUSE : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_IR_EXIT2 : `JtagState_defaultEncoding_IR_PAUSE); + end + `JtagState_defaultEncoding_IR_EXIT2 : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_IR_UPDATE : `JtagState_defaultEncoding_IR_SHIFT); + end + `JtagState_defaultEncoding_IR_UPDATE : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_SELECT : `JtagState_defaultEncoding_IDLE); + end + `JtagState_defaultEncoding_DR_SELECT : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_IR_SELECT : `JtagState_defaultEncoding_DR_CAPTURE); + end + `JtagState_defaultEncoding_DR_CAPTURE : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_EXIT1 : `JtagState_defaultEncoding_DR_SHIFT); + end + `JtagState_defaultEncoding_DR_SHIFT : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_EXIT1 : `JtagState_defaultEncoding_DR_SHIFT); + end + `JtagState_defaultEncoding_DR_EXIT1 : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_UPDATE : `JtagState_defaultEncoding_DR_PAUSE); + end + `JtagState_defaultEncoding_DR_PAUSE : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_EXIT2 : `JtagState_defaultEncoding_DR_PAUSE); + end + `JtagState_defaultEncoding_DR_EXIT2 : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_UPDATE : `JtagState_defaultEncoding_DR_SHIFT); + end + `JtagState_defaultEncoding_DR_UPDATE : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_SELECT : `JtagState_defaultEncoding_IDLE); + end + default : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_RESET : `JtagState_defaultEncoding_IDLE); + end + endcase + end + + assign jtag_tap_fsm_stateNext = _zz_1_; + always @ (*) begin + jtag_tap_tdoUnbufferd = jtag_tap_bypass; + case(jtag_tap_fsm_state) + `JtagState_defaultEncoding_IR_CAPTURE : begin + end + `JtagState_defaultEncoding_IR_SHIFT : begin + jtag_tap_tdoUnbufferd = jtag_tap_instructionShift[0]; + end + `JtagState_defaultEncoding_IR_UPDATE : begin + end + default : begin + end + endcase + if(jtag_idcodeArea_instructionHit)begin + if(_zz_2_)begin + jtag_tap_tdoUnbufferd = jtag_idcodeArea_shifter[0]; + end + end + if(jtag_readArea_instructionHit)begin + if(_zz_3_)begin + jtag_tap_tdoUnbufferd = jtag_readArea_shifter[0]; + end + end + end + + assign io_jtag_tdo = jtag_tap_tdoUnbufferd_regNext; + assign jtag_idcodeArea_instructionHit = (jtag_tap_instruction == _zz_5_); + assign jtag_writeArea_instructionHit = (jtag_tap_instruction == _zz_7_); + always @ (*) begin + jtag_writeArea_source_valid = 1'b0; + if(jtag_writeArea_instructionHit)begin + if((jtag_tap_fsm_state == `JtagState_defaultEncoding_DR_SHIFT))begin + jtag_writeArea_source_valid = 1'b1; + end + end + end + + assign jtag_writeArea_source_payload_last = io_jtag_tms; + assign jtag_writeArea_source_payload_fragment[0] = io_jtag_tdi; + assign system_cmd_valid = flowCCByToggle_1__io_output_valid; + assign system_cmd_payload_last = flowCCByToggle_1__io_output_payload_last; + assign system_cmd_payload_fragment = flowCCByToggle_1__io_output_payload_fragment; + assign jtag_readArea_instructionHit = (jtag_tap_instruction == _zz_9_); + always @ (posedge mainClock) begin + if(io_remote_cmd_valid)begin + system_rsp_valid <= 1'b0; + end + if((io_remote_rsp_valid && io_remote_rsp_ready))begin + system_rsp_valid <= 1'b1; + system_rsp_payload_error <= io_remote_rsp_payload_error; + system_rsp_payload_data <= io_remote_rsp_payload_data; + end + end + + always @ (posedge io_jtag_tck) begin + jtag_tap_fsm_state <= jtag_tap_fsm_stateNext; + jtag_tap_bypass <= io_jtag_tdi; + case(jtag_tap_fsm_state) + `JtagState_defaultEncoding_IR_CAPTURE : begin + jtag_tap_instructionShift <= jtag_tap_instruction; + end + `JtagState_defaultEncoding_IR_SHIFT : begin + jtag_tap_instructionShift <= ({io_jtag_tdi,jtag_tap_instructionShift} >>> 1); + end + `JtagState_defaultEncoding_IR_UPDATE : begin + jtag_tap_instruction <= jtag_tap_instructionShift; + end + default : begin + end + endcase + if(jtag_idcodeArea_instructionHit)begin + if(_zz_2_)begin + jtag_idcodeArea_shifter <= ({io_jtag_tdi,jtag_idcodeArea_shifter} >>> 1); + end + end + if((jtag_tap_fsm_state == `JtagState_defaultEncoding_RESET))begin + jtag_idcodeArea_shifter <= 32'h10001fff; + jtag_tap_instruction <= {3'd0, _zz_10_}; + end + if(jtag_readArea_instructionHit)begin + if((jtag_tap_fsm_state == `JtagState_defaultEncoding_DR_CAPTURE))begin + jtag_readArea_shifter <= {{system_rsp_payload_data,system_rsp_payload_error},system_rsp_valid}; + end + if(_zz_3_)begin + jtag_readArea_shifter <= ({io_jtag_tdi,jtag_readArea_shifter} >>> 1); + end + end + end + + always @ (negedge io_jtag_tck) begin + jtag_tap_tdoUnbufferd_regNext <= jtag_tap_tdoUnbufferd; + end + + +endmodule + +module SystemDebugger ( + input io_remote_cmd_valid, + output io_remote_cmd_ready, + input io_remote_cmd_payload_last, + input [0:0] io_remote_cmd_payload_fragment, + output io_remote_rsp_valid, + input io_remote_rsp_ready, + output io_remote_rsp_payload_error, + output [31:0] io_remote_rsp_payload_data, + output io_mem_cmd_valid, + input io_mem_cmd_ready, + output [31:0] io_mem_cmd_payload_address, + output [31:0] io_mem_cmd_payload_data, + output io_mem_cmd_payload_wr, + output [1:0] io_mem_cmd_payload_size, + input io_mem_rsp_valid, + input [31:0] io_mem_rsp_payload, + input mainClock, + input resetCtrl_mainClockReset +); + wire _zz_2_; + wire [0:0] _zz_3_; + reg [66:0] dispatcher_dataShifter; + reg dispatcher_dataLoaded; + reg [7:0] dispatcher_headerShifter; + wire [7:0] dispatcher_header; + reg dispatcher_headerLoaded; + reg [2:0] dispatcher_counter; + wire [66:0] _zz_1_; + + assign _zz_2_ = (dispatcher_headerLoaded == 1'b0); + assign _zz_3_ = _zz_1_[64 : 64]; + assign dispatcher_header = dispatcher_headerShifter[7 : 0]; + assign io_remote_cmd_ready = (! dispatcher_dataLoaded); + assign _zz_1_ = dispatcher_dataShifter[66 : 0]; + assign io_mem_cmd_payload_address = _zz_1_[31 : 0]; + assign io_mem_cmd_payload_data = _zz_1_[63 : 32]; + assign io_mem_cmd_payload_wr = _zz_3_[0]; + assign io_mem_cmd_payload_size = _zz_1_[66 : 65]; + assign io_mem_cmd_valid = (dispatcher_dataLoaded && (dispatcher_header == 8'h0)); + assign io_remote_rsp_valid = io_mem_rsp_valid; + assign io_remote_rsp_payload_error = 1'b0; + assign io_remote_rsp_payload_data = io_mem_rsp_payload; + always @ (posedge mainClock or posedge resetCtrl_mainClockReset) begin + if (resetCtrl_mainClockReset) begin + dispatcher_dataLoaded <= 1'b0; + dispatcher_headerLoaded <= 1'b0; + dispatcher_counter <= (3'b000); + end else begin + if(io_remote_cmd_valid)begin + if(_zz_2_)begin + dispatcher_counter <= (dispatcher_counter + (3'b001)); + if((dispatcher_counter == (3'b111)))begin + dispatcher_headerLoaded <= 1'b1; + end + end + if(io_remote_cmd_payload_last)begin + dispatcher_headerLoaded <= 1'b1; + dispatcher_dataLoaded <= 1'b1; + dispatcher_counter <= (3'b000); + end + end + if((io_mem_cmd_valid && io_mem_cmd_ready))begin + dispatcher_headerLoaded <= 1'b0; + dispatcher_dataLoaded <= 1'b0; + end + end + end + + always @ (posedge mainClock) begin + if(io_remote_cmd_valid)begin + if(_zz_2_)begin + dispatcher_headerShifter <= ({io_remote_cmd_payload_fragment,dispatcher_headerShifter} >>> 1); + end else begin + dispatcher_dataShifter <= ({io_remote_cmd_payload_fragment,dispatcher_dataShifter} >>> 1); + end + end + end + + +endmodule + +module PipelinedMemoryBusToApbBridge ( + input io_pipelinedMemoryBus_cmd_valid, + output io_pipelinedMemoryBus_cmd_ready, + input io_pipelinedMemoryBus_cmd_payload_write, + input [31:0] io_pipelinedMemoryBus_cmd_payload_address, + input [31:0] io_pipelinedMemoryBus_cmd_payload_data, + input [3:0] io_pipelinedMemoryBus_cmd_payload_mask, + output io_pipelinedMemoryBus_rsp_valid, + output [31:0] io_pipelinedMemoryBus_rsp_payload_data, + output [19:0] io_apb_PADDR, + output [0:0] io_apb_PSEL, + output io_apb_PENABLE, + input io_apb_PREADY, + output io_apb_PWRITE, + output [31:0] io_apb_PWDATA, + input [31:0] io_apb_PRDATA, + input io_apb_PSLVERROR, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_1_; + wire pipelinedMemoryBusStage_cmd_valid; + reg pipelinedMemoryBusStage_cmd_ready; + wire pipelinedMemoryBusStage_cmd_payload_write; + wire [31:0] pipelinedMemoryBusStage_cmd_payload_address; + wire [31:0] pipelinedMemoryBusStage_cmd_payload_data; + wire [3:0] pipelinedMemoryBusStage_cmd_payload_mask; + reg pipelinedMemoryBusStage_rsp_valid; + wire [31:0] pipelinedMemoryBusStage_rsp_payload_data; + reg pipelinedMemoryBusStage_rsp_regNext_valid; + reg [31:0] pipelinedMemoryBusStage_rsp_regNext_payload_data; + reg state; + + assign _zz_1_ = (! state); + assign pipelinedMemoryBusStage_cmd_valid = io_pipelinedMemoryBus_cmd_valid; + assign io_pipelinedMemoryBus_cmd_ready = pipelinedMemoryBusStage_cmd_ready; + assign pipelinedMemoryBusStage_cmd_payload_write = io_pipelinedMemoryBus_cmd_payload_write; + assign pipelinedMemoryBusStage_cmd_payload_address = io_pipelinedMemoryBus_cmd_payload_address; + assign pipelinedMemoryBusStage_cmd_payload_data = io_pipelinedMemoryBus_cmd_payload_data; + assign pipelinedMemoryBusStage_cmd_payload_mask = io_pipelinedMemoryBus_cmd_payload_mask; + assign io_pipelinedMemoryBus_rsp_valid = pipelinedMemoryBusStage_rsp_regNext_valid; + assign io_pipelinedMemoryBus_rsp_payload_data = pipelinedMemoryBusStage_rsp_regNext_payload_data; + always @ (*) begin + pipelinedMemoryBusStage_cmd_ready = 1'b0; + if(! _zz_1_) begin + if(io_apb_PREADY)begin + pipelinedMemoryBusStage_cmd_ready = 1'b1; + end + end + end + + assign io_apb_PSEL[0] = pipelinedMemoryBusStage_cmd_valid; + assign io_apb_PENABLE = state; + assign io_apb_PWRITE = pipelinedMemoryBusStage_cmd_payload_write; + assign io_apb_PADDR = pipelinedMemoryBusStage_cmd_payload_address[19:0]; + assign io_apb_PWDATA = pipelinedMemoryBusStage_cmd_payload_data; + always @ (*) begin + pipelinedMemoryBusStage_rsp_valid = 1'b0; + if(! _zz_1_) begin + if(io_apb_PREADY)begin + pipelinedMemoryBusStage_rsp_valid = (! pipelinedMemoryBusStage_cmd_payload_write); + end + end + end + + assign pipelinedMemoryBusStage_rsp_payload_data = io_apb_PRDATA; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + pipelinedMemoryBusStage_rsp_regNext_valid <= 1'b0; + state <= 1'b0; + end else begin + pipelinedMemoryBusStage_rsp_regNext_valid <= pipelinedMemoryBusStage_rsp_valid; + if(_zz_1_)begin + state <= pipelinedMemoryBusStage_cmd_valid; + end else begin + if(io_apb_PREADY)begin + state <= 1'b0; + end + end + end + end + + always @ (posedge mainClock) begin + pipelinedMemoryBusStage_rsp_regNext_payload_data <= pipelinedMemoryBusStage_rsp_payload_data; + end + + +endmodule + +module Apb3UartCtrl ( + input [4:0] io_apb_PADDR, + input [0:0] io_apb_PSEL, + input io_apb_PENABLE, + output io_apb_PREADY, + input io_apb_PWRITE, + input [31:0] io_apb_PWDATA, + output reg [31:0] io_apb_PRDATA, + output io_uart_txd, + input io_uart_rxd, + output io_interrupt, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_7_; + reg _zz_8_; + wire _zz_9_; + wire uartCtrl_1__io_write_ready; + wire uartCtrl_1__io_read_valid; + wire [7:0] uartCtrl_1__io_read_payload; + wire uartCtrl_1__io_uart_txd; + wire uartCtrl_1__io_readError; + wire uartCtrl_1__io_readBreak; + wire bridge_write_streamUnbuffered_queueWithOccupancy_io_push_ready; + wire bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid; + wire [7:0] bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_payload; + wire [4:0] bridge_write_streamUnbuffered_queueWithOccupancy_io_occupancy; + wire [4:0] bridge_write_streamUnbuffered_queueWithOccupancy_io_availability; + wire uartCtrl_1__io_read_queueWithOccupancy_io_push_ready; + wire uartCtrl_1__io_read_queueWithOccupancy_io_pop_valid; + wire [7:0] uartCtrl_1__io_read_queueWithOccupancy_io_pop_payload; + wire [4:0] uartCtrl_1__io_read_queueWithOccupancy_io_occupancy; + wire [4:0] uartCtrl_1__io_read_queueWithOccupancy_io_availability; + wire [0:0] _zz_10_; + wire [0:0] _zz_11_; + wire [0:0] _zz_12_; + wire [0:0] _zz_13_; + wire [0:0] _zz_14_; + wire [0:0] _zz_15_; + wire [0:0] _zz_16_; + wire [0:0] _zz_17_; + wire [0:0] _zz_18_; + wire [0:0] _zz_19_; + wire [0:0] _zz_20_; + wire [0:0] _zz_21_; + wire [4:0] _zz_22_; + wire busCtrl_askWrite; + wire busCtrl_askRead; + wire busCtrl_doWrite; + wire busCtrl_doRead; + wire [2:0] bridge_uartConfigReg_frame_dataLength; + wire `UartStopType_defaultEncoding_type bridge_uartConfigReg_frame_stop; + wire `UartParityType_defaultEncoding_type bridge_uartConfigReg_frame_parity; + reg [19:0] bridge_uartConfigReg_clockDivider; + reg _zz_1_; + wire bridge_write_streamUnbuffered_valid; + wire bridge_write_streamUnbuffered_ready; + wire [7:0] bridge_write_streamUnbuffered_payload; + reg bridge_read_streamBreaked_valid; + reg bridge_read_streamBreaked_ready; + wire [7:0] bridge_read_streamBreaked_payload; + reg bridge_interruptCtrl_writeIntEnable; + reg bridge_interruptCtrl_readIntEnable; + wire bridge_interruptCtrl_readInt; + wire bridge_interruptCtrl_writeInt; + wire bridge_interruptCtrl_interrupt; + reg bridge_misc_readError; + reg _zz_2_; + reg bridge_misc_readOverflowError; + reg _zz_3_; + reg bridge_misc_breakDetected; + reg uartCtrl_1__io_readBreak_regNext; + reg _zz_4_; + reg bridge_misc_doBreak; + reg _zz_5_; + reg _zz_6_; + `ifndef SYNTHESIS + reg [23:0] bridge_uartConfigReg_frame_stop_string; + reg [31:0] bridge_uartConfigReg_frame_parity_string; + `endif + + function [19:0] zz_bridge_uartConfigReg_clockDivider(input dummy); + begin + zz_bridge_uartConfigReg_clockDivider = 20'h0; + zz_bridge_uartConfigReg_clockDivider = 20'h0002a; + end + endfunction + wire [19:0] _zz_23_; + + assign _zz_10_ = io_apb_PWDATA[0 : 0]; + assign _zz_11_ = (1'b0); + assign _zz_12_ = io_apb_PWDATA[1 : 1]; + assign _zz_13_ = (1'b0); + assign _zz_14_ = io_apb_PWDATA[9 : 9]; + assign _zz_15_ = (1'b0); + assign _zz_16_ = io_apb_PWDATA[10 : 10]; + assign _zz_17_ = (1'b1); + assign _zz_18_ = io_apb_PWDATA[11 : 11]; + assign _zz_19_ = (1'b0); + assign _zz_20_ = io_apb_PWDATA[0 : 0]; + assign _zz_21_ = io_apb_PWDATA[1 : 1]; + assign _zz_22_ = (5'h10 - bridge_write_streamUnbuffered_queueWithOccupancy_io_occupancy); + UartCtrl uartCtrl_1_ ( + .io_config_frame_dataLength (bridge_uartConfigReg_frame_dataLength[2:0] ), //i + .io_config_frame_stop (bridge_uartConfigReg_frame_stop ), //i + .io_config_frame_parity (bridge_uartConfigReg_frame_parity[1:0] ), //i + .io_config_clockDivider (bridge_uartConfigReg_clockDivider[19:0] ), //i + .io_write_valid (bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid ), //i + .io_write_ready (uartCtrl_1__io_write_ready ), //o + .io_write_payload (bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_payload[7:0] ), //i + .io_read_valid (uartCtrl_1__io_read_valid ), //o + .io_read_ready (uartCtrl_1__io_read_queueWithOccupancy_io_push_ready ), //i + .io_read_payload (uartCtrl_1__io_read_payload[7:0] ), //o + .io_uart_txd (uartCtrl_1__io_uart_txd ), //o + .io_uart_rxd (io_uart_rxd ), //i + .io_readError (uartCtrl_1__io_readError ), //o + .io_writeBreak (bridge_misc_doBreak ), //i + .io_readBreak (uartCtrl_1__io_readBreak ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + StreamFifo bridge_write_streamUnbuffered_queueWithOccupancy ( + .io_push_valid (bridge_write_streamUnbuffered_valid ), //i + .io_push_ready (bridge_write_streamUnbuffered_queueWithOccupancy_io_push_ready ), //o + .io_push_payload (bridge_write_streamUnbuffered_payload[7:0] ), //i + .io_pop_valid (bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid ), //o + .io_pop_ready (uartCtrl_1__io_write_ready ), //i + .io_pop_payload (bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_payload[7:0] ), //o + .io_flush (_zz_7_ ), //i + .io_occupancy (bridge_write_streamUnbuffered_queueWithOccupancy_io_occupancy[4:0] ), //o + .io_availability (bridge_write_streamUnbuffered_queueWithOccupancy_io_availability[4:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + StreamFifo uartCtrl_1__io_read_queueWithOccupancy ( + .io_push_valid (uartCtrl_1__io_read_valid ), //i + .io_push_ready (uartCtrl_1__io_read_queueWithOccupancy_io_push_ready ), //o + .io_push_payload (uartCtrl_1__io_read_payload[7:0] ), //i + .io_pop_valid (uartCtrl_1__io_read_queueWithOccupancy_io_pop_valid ), //o + .io_pop_ready (_zz_8_ ), //i + .io_pop_payload (uartCtrl_1__io_read_queueWithOccupancy_io_pop_payload[7:0] ), //o + .io_flush (_zz_9_ ), //i + .io_occupancy (uartCtrl_1__io_read_queueWithOccupancy_io_occupancy[4:0] ), //o + .io_availability (uartCtrl_1__io_read_queueWithOccupancy_io_availability[4:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + `ifndef SYNTHESIS + always @(*) begin + case(bridge_uartConfigReg_frame_stop) + `UartStopType_defaultEncoding_ONE : bridge_uartConfigReg_frame_stop_string = "ONE"; + `UartStopType_defaultEncoding_TWO : bridge_uartConfigReg_frame_stop_string = "TWO"; + default : bridge_uartConfigReg_frame_stop_string = "???"; + endcase + end + always @(*) begin + case(bridge_uartConfigReg_frame_parity) + `UartParityType_defaultEncoding_NONE : bridge_uartConfigReg_frame_parity_string = "NONE"; + `UartParityType_defaultEncoding_EVEN : bridge_uartConfigReg_frame_parity_string = "EVEN"; + `UartParityType_defaultEncoding_ODD : bridge_uartConfigReg_frame_parity_string = "ODD "; + default : bridge_uartConfigReg_frame_parity_string = "????"; + endcase + end + `endif + + assign io_uart_txd = uartCtrl_1__io_uart_txd; + assign io_apb_PREADY = 1'b1; + always @ (*) begin + io_apb_PRDATA = 32'h0; + case(io_apb_PADDR) + 5'b00000 : begin + io_apb_PRDATA[16 : 16] = (bridge_read_streamBreaked_valid ^ 1'b0); + io_apb_PRDATA[7 : 0] = bridge_read_streamBreaked_payload; + end + 5'b00100 : begin + io_apb_PRDATA[20 : 16] = _zz_22_; + io_apb_PRDATA[15 : 15] = bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid; + io_apb_PRDATA[28 : 24] = uartCtrl_1__io_read_queueWithOccupancy_io_occupancy; + io_apb_PRDATA[0 : 0] = bridge_interruptCtrl_writeIntEnable; + io_apb_PRDATA[1 : 1] = bridge_interruptCtrl_readIntEnable; + io_apb_PRDATA[8 : 8] = bridge_interruptCtrl_writeInt; + io_apb_PRDATA[9 : 9] = bridge_interruptCtrl_readInt; + end + 5'b10000 : begin + io_apb_PRDATA[0 : 0] = bridge_misc_readError; + io_apb_PRDATA[1 : 1] = bridge_misc_readOverflowError; + io_apb_PRDATA[8 : 8] = uartCtrl_1__io_readBreak; + io_apb_PRDATA[9 : 9] = bridge_misc_breakDetected; + end + default : begin + end + endcase + end + + assign busCtrl_askWrite = ((io_apb_PSEL[0] && io_apb_PENABLE) && io_apb_PWRITE); + assign busCtrl_askRead = ((io_apb_PSEL[0] && io_apb_PENABLE) && (! io_apb_PWRITE)); + assign busCtrl_doWrite = (((io_apb_PSEL[0] && io_apb_PENABLE) && io_apb_PREADY) && io_apb_PWRITE); + assign busCtrl_doRead = (((io_apb_PSEL[0] && io_apb_PENABLE) && io_apb_PREADY) && (! io_apb_PWRITE)); + assign _zz_23_ = zz_bridge_uartConfigReg_clockDivider(1'b0); + always @ (*) bridge_uartConfigReg_clockDivider = _zz_23_; + assign bridge_uartConfigReg_frame_dataLength = (3'b111); + assign bridge_uartConfigReg_frame_parity = `UartParityType_defaultEncoding_NONE; + assign bridge_uartConfigReg_frame_stop = `UartStopType_defaultEncoding_ONE; + always @ (*) begin + _zz_1_ = 1'b0; + case(io_apb_PADDR) + 5'b00000 : begin + if(busCtrl_doWrite)begin + _zz_1_ = 1'b1; + end + end + 5'b00100 : begin + end + 5'b10000 : begin + end + default : begin + end + endcase + end + + assign bridge_write_streamUnbuffered_valid = _zz_1_; + assign bridge_write_streamUnbuffered_payload = io_apb_PWDATA[7 : 0]; + assign bridge_write_streamUnbuffered_ready = bridge_write_streamUnbuffered_queueWithOccupancy_io_push_ready; + always @ (*) begin + bridge_read_streamBreaked_valid = uartCtrl_1__io_read_queueWithOccupancy_io_pop_valid; + if(uartCtrl_1__io_readBreak)begin + bridge_read_streamBreaked_valid = 1'b0; + end + end + + always @ (*) begin + _zz_8_ = bridge_read_streamBreaked_ready; + if(uartCtrl_1__io_readBreak)begin + _zz_8_ = 1'b1; + end + end + + assign bridge_read_streamBreaked_payload = uartCtrl_1__io_read_queueWithOccupancy_io_pop_payload; + always @ (*) begin + bridge_read_streamBreaked_ready = 1'b0; + case(io_apb_PADDR) + 5'b00000 : begin + if(busCtrl_doRead)begin + bridge_read_streamBreaked_ready = 1'b1; + end + end + 5'b00100 : begin + end + 5'b10000 : begin + end + default : begin + end + endcase + end + + assign bridge_interruptCtrl_readInt = (bridge_interruptCtrl_readIntEnable && bridge_read_streamBreaked_valid); + assign bridge_interruptCtrl_writeInt = (bridge_interruptCtrl_writeIntEnable && (! bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid)); + assign bridge_interruptCtrl_interrupt = (bridge_interruptCtrl_readInt || bridge_interruptCtrl_writeInt); + always @ (*) begin + _zz_2_ = 1'b0; + case(io_apb_PADDR) + 5'b00000 : begin + end + 5'b00100 : begin + end + 5'b10000 : begin + if(busCtrl_doWrite)begin + _zz_2_ = 1'b1; + end + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_3_ = 1'b0; + case(io_apb_PADDR) + 5'b00000 : begin + end + 5'b00100 : begin + end + 5'b10000 : begin + if(busCtrl_doWrite)begin + _zz_3_ = 1'b1; + end + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_4_ = 1'b0; + case(io_apb_PADDR) + 5'b00000 : begin + end + 5'b00100 : begin + end + 5'b10000 : begin + if(busCtrl_doWrite)begin + _zz_4_ = 1'b1; + end + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_5_ = 1'b0; + case(io_apb_PADDR) + 5'b00000 : begin + end + 5'b00100 : begin + end + 5'b10000 : begin + if(busCtrl_doWrite)begin + _zz_5_ = 1'b1; + end + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_6_ = 1'b0; + case(io_apb_PADDR) + 5'b00000 : begin + end + 5'b00100 : begin + end + 5'b10000 : begin + if(busCtrl_doWrite)begin + _zz_6_ = 1'b1; + end + end + default : begin + end + endcase + end + + assign io_interrupt = bridge_interruptCtrl_interrupt; + assign _zz_7_ = 1'b0; + assign _zz_9_ = 1'b0; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + bridge_interruptCtrl_writeIntEnable <= 1'b0; + bridge_interruptCtrl_readIntEnable <= 1'b0; + bridge_misc_readError <= 1'b0; + bridge_misc_readOverflowError <= 1'b0; + bridge_misc_breakDetected <= 1'b0; + bridge_misc_doBreak <= 1'b0; + end else begin + if(_zz_2_)begin + if(_zz_10_[0])begin + bridge_misc_readError <= _zz_11_[0]; + end + end + if(uartCtrl_1__io_readError)begin + bridge_misc_readError <= 1'b1; + end + if(_zz_3_)begin + if(_zz_12_[0])begin + bridge_misc_readOverflowError <= _zz_13_[0]; + end + end + if((uartCtrl_1__io_read_valid && (! uartCtrl_1__io_read_queueWithOccupancy_io_push_ready)))begin + bridge_misc_readOverflowError <= 1'b1; + end + if((uartCtrl_1__io_readBreak && (! uartCtrl_1__io_readBreak_regNext)))begin + bridge_misc_breakDetected <= 1'b1; + end + if(_zz_4_)begin + if(_zz_14_[0])begin + bridge_misc_breakDetected <= _zz_15_[0]; + end + end + if(_zz_5_)begin + if(_zz_16_[0])begin + bridge_misc_doBreak <= _zz_17_[0]; + end + end + if(_zz_6_)begin + if(_zz_18_[0])begin + bridge_misc_doBreak <= _zz_19_[0]; + end + end + case(io_apb_PADDR) + 5'b00000 : begin + end + 5'b00100 : begin + if(busCtrl_doWrite)begin + bridge_interruptCtrl_writeIntEnable <= _zz_20_[0]; + bridge_interruptCtrl_readIntEnable <= _zz_21_[0]; + end + end + 5'b10000 : begin + end + default : begin + end + endcase + end + end + + always @ (posedge mainClock) begin + uartCtrl_1__io_readBreak_regNext <= uartCtrl_1__io_readBreak; + end + + +endmodule + +module MuraxApb3Timer ( + input [7:0] io_apb_PADDR, + input [0:0] io_apb_PSEL, + input io_apb_PENABLE, + output io_apb_PREADY, + input io_apb_PWRITE, + input [31:0] io_apb_PWDATA, + output reg [31:0] io_apb_PRDATA, + output io_apb_PSLVERROR, + output io_interrupt, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_7_; + wire _zz_8_; + wire _zz_9_; + wire _zz_10_; + reg [1:0] _zz_11_; + reg [1:0] _zz_12_; + wire prescaler_1__io_overflow; + wire timerA_io_full; + wire [15:0] timerA_io_value; + wire timerB_io_full; + wire [15:0] timerB_io_value; + wire [1:0] interruptCtrl_1__io_pendings; + wire busCtrl_askWrite; + wire busCtrl_askRead; + wire busCtrl_doWrite; + wire busCtrl_doRead; + reg [15:0] _zz_1_; + reg _zz_2_; + reg [1:0] timerABridge_ticksEnable; + reg [0:0] timerABridge_clearsEnable; + reg timerABridge_busClearing; + reg [15:0] timerA_io_limit_driver; + reg _zz_3_; + reg _zz_4_; + reg [1:0] timerBBridge_ticksEnable; + reg [0:0] timerBBridge_clearsEnable; + reg timerBBridge_busClearing; + reg [15:0] timerB_io_limit_driver; + reg _zz_5_; + reg _zz_6_; + reg [1:0] interruptCtrl_1__io_masks_driver; + + Prescaler prescaler_1_ ( + .io_clear (_zz_2_ ), //i + .io_limit (_zz_1_[15:0] ), //i + .io_overflow (prescaler_1__io_overflow ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + Timer timerA ( + .io_tick (_zz_7_ ), //i + .io_clear (_zz_8_ ), //i + .io_limit (timerA_io_limit_driver[15:0] ), //i + .io_full (timerA_io_full ), //o + .io_value (timerA_io_value[15:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + Timer timerB ( + .io_tick (_zz_9_ ), //i + .io_clear (_zz_10_ ), //i + .io_limit (timerB_io_limit_driver[15:0] ), //i + .io_full (timerB_io_full ), //o + .io_value (timerB_io_value[15:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + InterruptCtrl interruptCtrl_1_ ( + .io_inputs (_zz_11_[1:0] ), //i + .io_clears (_zz_12_[1:0] ), //i + .io_masks (interruptCtrl_1__io_masks_driver[1:0] ), //i + .io_pendings (interruptCtrl_1__io_pendings[1:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + assign io_apb_PREADY = 1'b1; + always @ (*) begin + io_apb_PRDATA = 32'h0; + case(io_apb_PADDR) + 8'b00000000 : begin + io_apb_PRDATA[15 : 0] = _zz_1_; + end + 8'b01000000 : begin + io_apb_PRDATA[1 : 0] = timerABridge_ticksEnable; + io_apb_PRDATA[16 : 16] = timerABridge_clearsEnable; + end + 8'b01000100 : begin + io_apb_PRDATA[15 : 0] = timerA_io_limit_driver; + end + 8'b01001000 : begin + io_apb_PRDATA[15 : 0] = timerA_io_value; + end + 8'b01010000 : begin + io_apb_PRDATA[1 : 0] = timerBBridge_ticksEnable; + io_apb_PRDATA[16 : 16] = timerBBridge_clearsEnable; + end + 8'b01010100 : begin + io_apb_PRDATA[15 : 0] = timerB_io_limit_driver; + end + 8'b01011000 : begin + io_apb_PRDATA[15 : 0] = timerB_io_value; + end + 8'b00010000 : begin + io_apb_PRDATA[1 : 0] = interruptCtrl_1__io_pendings; + end + 8'b00010100 : begin + io_apb_PRDATA[1 : 0] = interruptCtrl_1__io_masks_driver; + end + default : begin + end + endcase + end + + assign io_apb_PSLVERROR = 1'b0; + assign busCtrl_askWrite = ((io_apb_PSEL[0] && io_apb_PENABLE) && io_apb_PWRITE); + assign busCtrl_askRead = ((io_apb_PSEL[0] && io_apb_PENABLE) && (! io_apb_PWRITE)); + assign busCtrl_doWrite = (((io_apb_PSEL[0] && io_apb_PENABLE) && io_apb_PREADY) && io_apb_PWRITE); + assign busCtrl_doRead = (((io_apb_PSEL[0] && io_apb_PENABLE) && io_apb_PREADY) && (! io_apb_PWRITE)); + always @ (*) begin + _zz_2_ = 1'b0; + case(io_apb_PADDR) + 8'b00000000 : begin + if(busCtrl_doWrite)begin + _zz_2_ = 1'b1; + end + end + 8'b01000000 : begin + end + 8'b01000100 : begin + end + 8'b01001000 : begin + end + 8'b01010000 : begin + end + 8'b01010100 : begin + end + 8'b01011000 : begin + end + 8'b00010000 : begin + end + 8'b00010100 : begin + end + default : begin + end + endcase + end + + always @ (*) begin + timerABridge_busClearing = 1'b0; + if(_zz_3_)begin + timerABridge_busClearing = 1'b1; + end + if(_zz_4_)begin + timerABridge_busClearing = 1'b1; + end + end + + always @ (*) begin + _zz_3_ = 1'b0; + case(io_apb_PADDR) + 8'b00000000 : begin + end + 8'b01000000 : begin + end + 8'b01000100 : begin + if(busCtrl_doWrite)begin + _zz_3_ = 1'b1; + end + end + 8'b01001000 : begin + end + 8'b01010000 : begin + end + 8'b01010100 : begin + end + 8'b01011000 : begin + end + 8'b00010000 : begin + end + 8'b00010100 : begin + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_4_ = 1'b0; + case(io_apb_PADDR) + 8'b00000000 : begin + end + 8'b01000000 : begin + end + 8'b01000100 : begin + end + 8'b01001000 : begin + if(busCtrl_doWrite)begin + _zz_4_ = 1'b1; + end + end + 8'b01010000 : begin + end + 8'b01010100 : begin + end + 8'b01011000 : begin + end + 8'b00010000 : begin + end + 8'b00010100 : begin + end + default : begin + end + endcase + end + + assign _zz_8_ = (((timerABridge_clearsEnable & timerA_io_full) != (1'b0)) || timerABridge_busClearing); + assign _zz_7_ = ((timerABridge_ticksEnable & {prescaler_1__io_overflow,1'b1}) != (2'b00)); + always @ (*) begin + timerBBridge_busClearing = 1'b0; + if(_zz_5_)begin + timerBBridge_busClearing = 1'b1; + end + if(_zz_6_)begin + timerBBridge_busClearing = 1'b1; + end + end + + always @ (*) begin + _zz_5_ = 1'b0; + case(io_apb_PADDR) + 8'b00000000 : begin + end + 8'b01000000 : begin + end + 8'b01000100 : begin + end + 8'b01001000 : begin + end + 8'b01010000 : begin + end + 8'b01010100 : begin + if(busCtrl_doWrite)begin + _zz_5_ = 1'b1; + end + end + 8'b01011000 : begin + end + 8'b00010000 : begin + end + 8'b00010100 : begin + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_6_ = 1'b0; + case(io_apb_PADDR) + 8'b00000000 : begin + end + 8'b01000000 : begin + end + 8'b01000100 : begin + end + 8'b01001000 : begin + end + 8'b01010000 : begin + end + 8'b01010100 : begin + end + 8'b01011000 : begin + if(busCtrl_doWrite)begin + _zz_6_ = 1'b1; + end + end + 8'b00010000 : begin + end + 8'b00010100 : begin + end + default : begin + end + endcase + end + + assign _zz_10_ = (((timerBBridge_clearsEnable & timerB_io_full) != (1'b0)) || timerBBridge_busClearing); + assign _zz_9_ = ((timerBBridge_ticksEnable & {prescaler_1__io_overflow,1'b1}) != (2'b00)); + always @ (*) begin + _zz_12_ = (2'b00); + case(io_apb_PADDR) + 8'b00000000 : begin + end + 8'b01000000 : begin + end + 8'b01000100 : begin + end + 8'b01001000 : begin + end + 8'b01010000 : begin + end + 8'b01010100 : begin + end + 8'b01011000 : begin + end + 8'b00010000 : begin + if(busCtrl_doWrite)begin + _zz_12_ = io_apb_PWDATA[1 : 0]; + end + end + 8'b00010100 : begin + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_11_[0] = timerA_io_full; + _zz_11_[1] = timerB_io_full; + end + + assign io_interrupt = (interruptCtrl_1__io_pendings != (2'b00)); + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + timerABridge_ticksEnable <= (2'b00); + timerABridge_clearsEnable <= (1'b0); + timerBBridge_ticksEnable <= (2'b00); + timerBBridge_clearsEnable <= (1'b0); + interruptCtrl_1__io_masks_driver <= (2'b00); + end else begin + case(io_apb_PADDR) + 8'b00000000 : begin + end + 8'b01000000 : begin + if(busCtrl_doWrite)begin + timerABridge_ticksEnable <= io_apb_PWDATA[1 : 0]; + timerABridge_clearsEnable <= io_apb_PWDATA[16 : 16]; + end + end + 8'b01000100 : begin + end + 8'b01001000 : begin + end + 8'b01010000 : begin + if(busCtrl_doWrite)begin + timerBBridge_ticksEnable <= io_apb_PWDATA[1 : 0]; + timerBBridge_clearsEnable <= io_apb_PWDATA[16 : 16]; + end + end + 8'b01010100 : begin + end + 8'b01011000 : begin + end + 8'b00010000 : begin + end + 8'b00010100 : begin + if(busCtrl_doWrite)begin + interruptCtrl_1__io_masks_driver <= io_apb_PWDATA[1 : 0]; + end + end + default : begin + end + endcase + end + end + + always @ (posedge mainClock) begin + case(io_apb_PADDR) + 8'b00000000 : begin + if(busCtrl_doWrite)begin + _zz_1_ <= io_apb_PWDATA[15 : 0]; + end + end + 8'b01000000 : begin + end + 8'b01000100 : begin + if(busCtrl_doWrite)begin + timerA_io_limit_driver <= io_apb_PWDATA[15 : 0]; + end + end + 8'b01001000 : begin + end + 8'b01010000 : begin + end + 8'b01010100 : begin + if(busCtrl_doWrite)begin + timerB_io_limit_driver <= io_apb_PWDATA[15 : 0]; + end + end + 8'b01011000 : begin + end + 8'b00010000 : begin + end + 8'b00010100 : begin + end + default : begin + end + endcase + end + + +endmodule + +module MyMem ( + input [19:0] io_bus_PADDR, + input [0:0] io_bus_PSEL, + input io_bus_PENABLE, + output io_bus_PREADY, + input io_bus_PWRITE, + input [31:0] io_bus_PWDATA, + output reg [31:0] io_bus_PRDATA, + output io_bus_PSLVERROR, + input mainClock, + input resetCtrl_systemClockReset +); + reg [31:0] myReg; + wire busCtrl_askWrite; + wire busCtrl_askRead; + wire busCtrl_doWrite; + wire busCtrl_doRead; + + assign io_bus_PREADY = 1'b1; + always @ (*) begin + io_bus_PRDATA = 32'h0; + case(io_bus_PADDR) + 20'b00110000000000000000 : begin + io_bus_PRDATA[31 : 0] = myReg; + end + default : begin + end + endcase + end + + assign io_bus_PSLVERROR = 1'b0; + assign busCtrl_askWrite = ((io_bus_PSEL[0] && io_bus_PENABLE) && io_bus_PWRITE); + assign busCtrl_askRead = ((io_bus_PSEL[0] && io_bus_PENABLE) && (! io_bus_PWRITE)); + assign busCtrl_doWrite = (((io_bus_PSEL[0] && io_bus_PENABLE) && io_bus_PREADY) && io_bus_PWRITE); + assign busCtrl_doRead = (((io_bus_PSEL[0] && io_bus_PENABLE) && io_bus_PREADY) && (! io_bus_PWRITE)); + always @ (posedge mainClock) begin + case(io_bus_PADDR) + 20'b00110000000000000000 : begin + if(busCtrl_doWrite)begin + myReg <= io_bus_PWDATA[31 : 0]; + end + end + default : begin + end + endcase + end + + +endmodule + +module Apb3Decoder ( + input [19:0] io_input_PADDR, + input [0:0] io_input_PSEL, + input io_input_PENABLE, + output reg io_input_PREADY, + input io_input_PWRITE, + input [31:0] io_input_PWDATA, + output [31:0] io_input_PRDATA, + output reg io_input_PSLVERROR, + output [19:0] io_output_PADDR, + output reg [2:0] io_output_PSEL, + output io_output_PENABLE, + input io_output_PREADY, + output io_output_PWRITE, + output [31:0] io_output_PWDATA, + input [31:0] io_output_PRDATA, + input io_output_PSLVERROR +); + wire _zz_1_; + + assign _zz_1_ = (io_input_PSEL[0] && (io_output_PSEL == (3'b000))); + assign io_output_PADDR = io_input_PADDR; + assign io_output_PENABLE = io_input_PENABLE; + assign io_output_PWRITE = io_input_PWRITE; + assign io_output_PWDATA = io_input_PWDATA; + always @ (*) begin + io_output_PSEL[0] = (((io_input_PADDR & (~ 20'h00fff)) == 20'h10000) && io_input_PSEL[0]); + io_output_PSEL[1] = (((io_input_PADDR & (~ 20'h00fff)) == 20'h20000) && io_input_PSEL[0]); + io_output_PSEL[2] = (((io_input_PADDR & (~ 20'h00fff)) == 20'h30000) && io_input_PSEL[0]); + end + + always @ (*) begin + io_input_PREADY = io_output_PREADY; + if(_zz_1_)begin + io_input_PREADY = 1'b1; + end + end + + assign io_input_PRDATA = io_output_PRDATA; + always @ (*) begin + io_input_PSLVERROR = io_output_PSLVERROR; + if(_zz_1_)begin + io_input_PSLVERROR = 1'b1; + end + end + + +endmodule + +module Apb3Router ( + input [19:0] io_input_PADDR, + input [2:0] io_input_PSEL, + input io_input_PENABLE, + output io_input_PREADY, + input io_input_PWRITE, + input [31:0] io_input_PWDATA, + output [31:0] io_input_PRDATA, + output io_input_PSLVERROR, + output [19:0] io_outputs_0_PADDR, + output [0:0] io_outputs_0_PSEL, + output io_outputs_0_PENABLE, + input io_outputs_0_PREADY, + output io_outputs_0_PWRITE, + output [31:0] io_outputs_0_PWDATA, + input [31:0] io_outputs_0_PRDATA, + input io_outputs_0_PSLVERROR, + output [19:0] io_outputs_1_PADDR, + output [0:0] io_outputs_1_PSEL, + output io_outputs_1_PENABLE, + input io_outputs_1_PREADY, + output io_outputs_1_PWRITE, + output [31:0] io_outputs_1_PWDATA, + input [31:0] io_outputs_1_PRDATA, + input io_outputs_1_PSLVERROR, + output [19:0] io_outputs_2_PADDR, + output [0:0] io_outputs_2_PSEL, + output io_outputs_2_PENABLE, + input io_outputs_2_PREADY, + output io_outputs_2_PWRITE, + output [31:0] io_outputs_2_PWDATA, + input [31:0] io_outputs_2_PRDATA, + input io_outputs_2_PSLVERROR, + input mainClock, + input resetCtrl_systemClockReset +); + reg _zz_3_; + reg [31:0] _zz_4_; + reg _zz_5_; + wire _zz_1_; + wire _zz_2_; + reg [1:0] selIndex; + + always @(*) begin + case(selIndex) + 2'b00 : begin + _zz_3_ = io_outputs_0_PREADY; + _zz_4_ = io_outputs_0_PRDATA; + _zz_5_ = io_outputs_0_PSLVERROR; + end + 2'b01 : begin + _zz_3_ = io_outputs_1_PREADY; + _zz_4_ = io_outputs_1_PRDATA; + _zz_5_ = io_outputs_1_PSLVERROR; + end + default : begin + _zz_3_ = io_outputs_2_PREADY; + _zz_4_ = io_outputs_2_PRDATA; + _zz_5_ = io_outputs_2_PSLVERROR; + end + endcase + end + + assign io_outputs_0_PADDR = io_input_PADDR; + assign io_outputs_0_PENABLE = io_input_PENABLE; + assign io_outputs_0_PSEL[0] = io_input_PSEL[0]; + assign io_outputs_0_PWRITE = io_input_PWRITE; + assign io_outputs_0_PWDATA = io_input_PWDATA; + assign io_outputs_1_PADDR = io_input_PADDR; + assign io_outputs_1_PENABLE = io_input_PENABLE; + assign io_outputs_1_PSEL[0] = io_input_PSEL[1]; + assign io_outputs_1_PWRITE = io_input_PWRITE; + assign io_outputs_1_PWDATA = io_input_PWDATA; + assign io_outputs_2_PADDR = io_input_PADDR; + assign io_outputs_2_PENABLE = io_input_PENABLE; + assign io_outputs_2_PSEL[0] = io_input_PSEL[2]; + assign io_outputs_2_PWRITE = io_input_PWRITE; + assign io_outputs_2_PWDATA = io_input_PWDATA; + assign _zz_1_ = io_input_PSEL[1]; + assign _zz_2_ = io_input_PSEL[2]; + assign io_input_PREADY = _zz_3_; + assign io_input_PRDATA = _zz_4_; + assign io_input_PSLVERROR = _zz_5_; + always @ (posedge mainClock) begin + selIndex <= {_zz_2_,_zz_1_}; + end + + +endmodule + +module PipelinedMemoryBusRamUlx3s ( + input io_bus_cmd_valid, + output io_bus_cmd_ready, + input io_bus_cmd_payload_write, + input [15:0] io_bus_cmd_payload_address, + input [31:0] io_bus_cmd_payload_data, + input [3:0] io_bus_cmd_payload_mask, + output io_bus_rsp_valid, + output [31:0] io_bus_rsp_payload_data, + input mainClock, + input resetCtrl_systemClockReset +); + reg [31:0] _zz_4_; + reg _zz_1_; + wire [13:0] _zz_2_; + wire [31:0] _zz_3_; + reg [7:0] ram_symbol0 [0:16383]; + reg [7:0] ram_symbol1 [0:16383]; + reg [7:0] ram_symbol2 [0:16383]; + reg [7:0] ram_symbol3 [0:16383]; + reg [7:0] _zz_5_; + reg [7:0] _zz_6_; + reg [7:0] _zz_7_; + reg [7:0] _zz_8_; + + always @ (*) begin + _zz_4_ = {_zz_8_, _zz_7_, _zz_6_, _zz_5_}; + end + always @ (posedge mainClock) begin + if(io_bus_cmd_valid) begin + _zz_5_ <= ram_symbol0[_zz_2_]; + _zz_6_ <= ram_symbol1[_zz_2_]; + _zz_7_ <= ram_symbol2[_zz_2_]; + _zz_8_ <= ram_symbol3[_zz_2_]; + end + end + + always @ (posedge mainClock) begin + if(io_bus_cmd_payload_mask[0] && io_bus_cmd_valid && io_bus_cmd_payload_write ) begin + ram_symbol0[_zz_2_] <= _zz_3_[7 : 0]; + end + if(io_bus_cmd_payload_mask[1] && io_bus_cmd_valid && io_bus_cmd_payload_write ) begin + ram_symbol1[_zz_2_] <= _zz_3_[15 : 8]; + end + if(io_bus_cmd_payload_mask[2] && io_bus_cmd_valid && io_bus_cmd_payload_write ) begin + ram_symbol2[_zz_2_] <= _zz_3_[23 : 16]; + end + if(io_bus_cmd_payload_mask[3] && io_bus_cmd_valid && io_bus_cmd_payload_write ) begin + ram_symbol3[_zz_2_] <= _zz_3_[31 : 24]; + end + end + + assign io_bus_rsp_valid = _zz_1_; + assign _zz_2_ = (io_bus_cmd_payload_address >>> 2); + assign _zz_3_ = io_bus_cmd_payload_data; + assign io_bus_rsp_payload_data = _zz_4_; + assign io_bus_cmd_ready = 1'b1; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + _zz_1_ <= 1'b0; + end else begin + _zz_1_ <= ((io_bus_cmd_valid && io_bus_cmd_ready) && (! io_bus_cmd_payload_write)); + end + end + + +endmodule +//PipelinedMemoryBusRamUlx3s_1_ replaced by PipelinedMemoryBusRamUlx3s + +module PipelinedMemoryBusDecoder ( + input io_input_cmd_valid, + output reg io_input_cmd_ready, + input io_input_cmd_payload_write, + input [31:0] io_input_cmd_payload_address, + input [31:0] io_input_cmd_payload_data, + input [3:0] io_input_cmd_payload_mask, + output io_input_rsp_valid, + output [31:0] io_input_rsp_payload_data, + output reg io_outputs_0_cmd_valid, + input io_outputs_0_cmd_ready, + output io_outputs_0_cmd_payload_write, + output [31:0] io_outputs_0_cmd_payload_address, + output [31:0] io_outputs_0_cmd_payload_data, + output [3:0] io_outputs_0_cmd_payload_mask, + input io_outputs_0_rsp_valid, + input [31:0] io_outputs_0_rsp_payload_data, + output reg io_outputs_1_cmd_valid, + input io_outputs_1_cmd_ready, + output io_outputs_1_cmd_payload_write, + output [31:0] io_outputs_1_cmd_payload_address, + output [31:0] io_outputs_1_cmd_payload_data, + output [3:0] io_outputs_1_cmd_payload_mask, + input io_outputs_1_rsp_valid, + input [31:0] io_outputs_1_rsp_payload_data, + output reg io_outputs_2_cmd_valid, + input io_outputs_2_cmd_ready, + output io_outputs_2_cmd_payload_write, + output [31:0] io_outputs_2_cmd_payload_address, + output [31:0] io_outputs_2_cmd_payload_data, + output [3:0] io_outputs_2_cmd_payload_mask, + input io_outputs_2_rsp_valid, + input [31:0] io_outputs_2_rsp_payload_data, + input mainClock, + input resetCtrl_systemClockReset +); + reg [31:0] _zz_4_; + wire [1:0] _zz_5_; + wire [0:0] _zz_6_; + wire [1:0] _zz_7_; + wire [0:0] _zz_8_; + wire [1:0] _zz_9_; + wire [1:0] _zz_10_; + wire logic_hits_0; + wire logic_hits_1; + wire logic_hits_2; + wire _zz_1_; + wire _zz_2_; + wire _zz_3_; + wire logic_noHit; + reg [1:0] logic_rspPendingCounter; + reg logic_rspHits_0; + reg logic_rspHits_1; + reg logic_rspHits_2; + wire logic_rspPending; + wire logic_rspNoHit; + wire logic_cmdWait; + + assign _zz_5_ = (logic_rspPendingCounter + _zz_7_); + assign _zz_6_ = ((io_input_cmd_valid && io_input_cmd_ready) && (! io_input_cmd_payload_write)); + assign _zz_7_ = {1'd0, _zz_6_}; + assign _zz_8_ = io_input_rsp_valid; + assign _zz_9_ = {1'd0, _zz_8_}; + assign _zz_10_ = {logic_rspHits_2,logic_rspHits_1}; + always @(*) begin + case(_zz_10_) + 2'b00 : begin + _zz_4_ = io_outputs_0_rsp_payload_data; + end + 2'b01 : begin + _zz_4_ = io_outputs_1_rsp_payload_data; + end + default : begin + _zz_4_ = io_outputs_2_rsp_payload_data; + end + endcase + end + + assign logic_hits_0 = ((io_input_cmd_payload_address & (~ 32'h000fffff)) == 32'hf0000000); + always @ (*) begin + io_outputs_0_cmd_valid = (io_input_cmd_valid && logic_hits_0); + if(logic_cmdWait)begin + io_outputs_0_cmd_valid = 1'b0; + end + end + + assign _zz_1_ = io_input_cmd_payload_write; + assign io_outputs_0_cmd_payload_write = _zz_1_; + assign io_outputs_0_cmd_payload_address = io_input_cmd_payload_address; + assign io_outputs_0_cmd_payload_data = io_input_cmd_payload_data; + assign io_outputs_0_cmd_payload_mask = io_input_cmd_payload_mask; + assign logic_hits_1 = ((io_input_cmd_payload_address & (~ 32'h0000ffff)) == 32'h80000000); + always @ (*) begin + io_outputs_1_cmd_valid = (io_input_cmd_valid && logic_hits_1); + if(logic_cmdWait)begin + io_outputs_1_cmd_valid = 1'b0; + end + end + + assign _zz_2_ = io_input_cmd_payload_write; + assign io_outputs_1_cmd_payload_write = _zz_2_; + assign io_outputs_1_cmd_payload_address = io_input_cmd_payload_address; + assign io_outputs_1_cmd_payload_data = io_input_cmd_payload_data; + assign io_outputs_1_cmd_payload_mask = io_input_cmd_payload_mask; + assign logic_hits_2 = ((io_input_cmd_payload_address & (~ 32'h0000ffff)) == 32'h80010000); + always @ (*) begin + io_outputs_2_cmd_valid = (io_input_cmd_valid && logic_hits_2); + if(logic_cmdWait)begin + io_outputs_2_cmd_valid = 1'b0; + end + end + + assign _zz_3_ = io_input_cmd_payload_write; + assign io_outputs_2_cmd_payload_write = _zz_3_; + assign io_outputs_2_cmd_payload_address = io_input_cmd_payload_address; + assign io_outputs_2_cmd_payload_data = io_input_cmd_payload_data; + assign io_outputs_2_cmd_payload_mask = io_input_cmd_payload_mask; + assign logic_noHit = (! ({logic_hits_2,{logic_hits_1,logic_hits_0}} != (3'b000))); + always @ (*) begin + io_input_cmd_ready = (({(logic_hits_2 && io_outputs_2_cmd_ready),{(logic_hits_1 && io_outputs_1_cmd_ready),(logic_hits_0 && io_outputs_0_cmd_ready)}} != (3'b000)) || logic_noHit); + if(logic_cmdWait)begin + io_input_cmd_ready = 1'b0; + end + end + + assign logic_rspPending = (logic_rspPendingCounter != (2'b00)); + assign logic_rspNoHit = (! ({logic_rspHits_2,{logic_rspHits_1,logic_rspHits_0}} != (3'b000))); + assign io_input_rsp_valid = (({io_outputs_2_rsp_valid,{io_outputs_1_rsp_valid,io_outputs_0_rsp_valid}} != (3'b000)) || (logic_rspPending && logic_rspNoHit)); + assign io_input_rsp_payload_data = _zz_4_; + assign logic_cmdWait = (((io_input_cmd_valid && logic_rspPending) && (((logic_hits_0 != logic_rspHits_0) || (logic_hits_1 != logic_rspHits_1)) || (logic_hits_2 != logic_rspHits_2))) || (logic_rspPendingCounter == (2'b11))); + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + logic_rspPendingCounter <= (2'b00); + end else begin + logic_rspPendingCounter <= (_zz_5_ - _zz_9_); + end + end + + always @ (posedge mainClock) begin + if((io_input_cmd_valid && io_input_cmd_ready))begin + logic_rspHits_0 <= logic_hits_0; + logic_rspHits_1 <= logic_hits_1; + logic_rspHits_2 <= logic_hits_2; + end + end + + +endmodule + +module PipelinedMemoryBusDecoder_1_ ( + input io_input_cmd_valid, + output reg io_input_cmd_ready, + input io_input_cmd_payload_write, + input [31:0] io_input_cmd_payload_address, + input [31:0] io_input_cmd_payload_data, + input [3:0] io_input_cmd_payload_mask, + output io_input_rsp_valid, + output [31:0] io_input_rsp_payload_data, + output reg io_outputs_0_cmd_valid, + input io_outputs_0_cmd_ready, + output io_outputs_0_cmd_payload_write, + output [31:0] io_outputs_0_cmd_payload_address, + output [31:0] io_outputs_0_cmd_payload_data, + output [3:0] io_outputs_0_cmd_payload_mask, + input io_outputs_0_rsp_valid, + input [31:0] io_outputs_0_rsp_payload_data, + output reg io_outputs_1_cmd_valid, + input io_outputs_1_cmd_ready, + output io_outputs_1_cmd_payload_write, + output [31:0] io_outputs_1_cmd_payload_address, + output [31:0] io_outputs_1_cmd_payload_data, + output [3:0] io_outputs_1_cmd_payload_mask, + input io_outputs_1_rsp_valid, + input [31:0] io_outputs_1_rsp_payload_data, + input mainClock, + input resetCtrl_systemClockReset +); + reg [31:0] _zz_3_; + wire [1:0] _zz_4_; + wire [0:0] _zz_5_; + wire [1:0] _zz_6_; + wire [0:0] _zz_7_; + wire [1:0] _zz_8_; + wire [0:0] _zz_9_; + wire logic_hits_0; + wire logic_hits_1; + wire _zz_1_; + wire _zz_2_; + wire logic_noHit; + reg [1:0] logic_rspPendingCounter; + reg logic_rspHits_0; + reg logic_rspHits_1; + wire logic_rspPending; + wire logic_rspNoHit; + wire logic_cmdWait; + + assign _zz_4_ = (logic_rspPendingCounter + _zz_6_); + assign _zz_5_ = ((io_input_cmd_valid && io_input_cmd_ready) && (! io_input_cmd_payload_write)); + assign _zz_6_ = {1'd0, _zz_5_}; + assign _zz_7_ = io_input_rsp_valid; + assign _zz_8_ = {1'd0, _zz_7_}; + assign _zz_9_ = logic_rspHits_1; + always @(*) begin + case(_zz_9_) + 1'b0 : begin + _zz_3_ = io_outputs_0_rsp_payload_data; + end + default : begin + _zz_3_ = io_outputs_1_rsp_payload_data; + end + endcase + end + + assign logic_hits_0 = ((io_input_cmd_payload_address & (~ 32'h0000ffff)) == 32'h80000000); + always @ (*) begin + io_outputs_0_cmd_valid = (io_input_cmd_valid && logic_hits_0); + if(logic_cmdWait)begin + io_outputs_0_cmd_valid = 1'b0; + end + end + + assign _zz_1_ = io_input_cmd_payload_write; + assign io_outputs_0_cmd_payload_write = _zz_1_; + assign io_outputs_0_cmd_payload_address = io_input_cmd_payload_address; + assign io_outputs_0_cmd_payload_data = io_input_cmd_payload_data; + assign io_outputs_0_cmd_payload_mask = io_input_cmd_payload_mask; + assign logic_hits_1 = ((io_input_cmd_payload_address & (~ 32'h0000ffff)) == 32'h80010000); + always @ (*) begin + io_outputs_1_cmd_valid = (io_input_cmd_valid && logic_hits_1); + if(logic_cmdWait)begin + io_outputs_1_cmd_valid = 1'b0; + end + end + + assign _zz_2_ = io_input_cmd_payload_write; + assign io_outputs_1_cmd_payload_write = _zz_2_; + assign io_outputs_1_cmd_payload_address = io_input_cmd_payload_address; + assign io_outputs_1_cmd_payload_data = io_input_cmd_payload_data; + assign io_outputs_1_cmd_payload_mask = io_input_cmd_payload_mask; + assign logic_noHit = (! ({logic_hits_1,logic_hits_0} != (2'b00))); + always @ (*) begin + io_input_cmd_ready = (({(logic_hits_1 && io_outputs_1_cmd_ready),(logic_hits_0 && io_outputs_0_cmd_ready)} != (2'b00)) || logic_noHit); + if(logic_cmdWait)begin + io_input_cmd_ready = 1'b0; + end + end + + assign logic_rspPending = (logic_rspPendingCounter != (2'b00)); + assign logic_rspNoHit = (! ({logic_rspHits_1,logic_rspHits_0} != (2'b00))); + assign io_input_rsp_valid = (({io_outputs_1_rsp_valid,io_outputs_0_rsp_valid} != (2'b00)) || (logic_rspPending && logic_rspNoHit)); + assign io_input_rsp_payload_data = _zz_3_; + assign logic_cmdWait = (((io_input_cmd_valid && logic_rspPending) && ((logic_hits_0 != logic_rspHits_0) || (logic_hits_1 != logic_rspHits_1))) || (logic_rspPendingCounter == (2'b11))); + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + logic_rspPendingCounter <= (2'b00); + end else begin + logic_rspPendingCounter <= (_zz_4_ - _zz_8_); + end + end + + always @ (posedge mainClock) begin + if((io_input_cmd_valid && io_input_cmd_ready))begin + logic_rspHits_0 <= logic_hits_0; + logic_rspHits_1 <= logic_hits_1; + end + end + + +endmodule + +module PipelinedMemoryBusArbiter ( + input io_inputs_0_cmd_valid, + output io_inputs_0_cmd_ready, + input io_inputs_0_cmd_payload_write, + input [31:0] io_inputs_0_cmd_payload_address, + input [31:0] io_inputs_0_cmd_payload_data, + input [3:0] io_inputs_0_cmd_payload_mask, + output io_inputs_0_rsp_valid, + output [31:0] io_inputs_0_rsp_payload_data, + output io_output_cmd_valid, + input io_output_cmd_ready, + output io_output_cmd_payload_write, + output [31:0] io_output_cmd_payload_address, + output [31:0] io_output_cmd_payload_data, + output [3:0] io_output_cmd_payload_mask, + input io_output_rsp_valid, + input [31:0] io_output_rsp_payload_data +); + + assign io_output_cmd_valid = io_inputs_0_cmd_valid; + assign io_output_cmd_payload_write = io_inputs_0_cmd_payload_write; + assign io_output_cmd_payload_address = io_inputs_0_cmd_payload_address; + assign io_output_cmd_payload_data = io_inputs_0_cmd_payload_data; + assign io_output_cmd_payload_mask = io_inputs_0_cmd_payload_mask; + assign io_inputs_0_cmd_ready = io_output_cmd_ready; + assign io_inputs_0_rsp_valid = io_output_rsp_valid; + assign io_inputs_0_rsp_payload_data = io_output_rsp_payload_data; + +endmodule + +module PipelinedMemoryBusArbiter_1_ ( + input io_inputs_0_cmd_valid, + output io_inputs_0_cmd_ready, + input io_inputs_0_cmd_payload_write, + input [15:0] io_inputs_0_cmd_payload_address, + input [31:0] io_inputs_0_cmd_payload_data, + input [3:0] io_inputs_0_cmd_payload_mask, + output io_inputs_0_rsp_valid, + output [31:0] io_inputs_0_rsp_payload_data, + input io_inputs_1_cmd_valid, + output io_inputs_1_cmd_ready, + input io_inputs_1_cmd_payload_write, + input [15:0] io_inputs_1_cmd_payload_address, + input [31:0] io_inputs_1_cmd_payload_data, + input [3:0] io_inputs_1_cmd_payload_mask, + output io_inputs_1_rsp_valid, + output [31:0] io_inputs_1_rsp_payload_data, + output io_output_cmd_valid, + input io_output_cmd_ready, + output io_output_cmd_payload_write, + output [15:0] io_output_cmd_payload_address, + output [31:0] io_output_cmd_payload_data, + output [3:0] io_output_cmd_payload_mask, + input io_output_rsp_valid, + input [31:0] io_output_rsp_payload_data, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_1_; + wire logic_arbiter_io_inputs_0_ready; + wire logic_arbiter_io_inputs_1_ready; + wire logic_arbiter_io_output_valid; + wire logic_arbiter_io_output_payload_write; + wire [15:0] logic_arbiter_io_output_payload_address; + wire [31:0] logic_arbiter_io_output_payload_data; + wire [3:0] logic_arbiter_io_output_payload_mask; + wire [0:0] logic_arbiter_io_chosen; + wire [1:0] logic_arbiter_io_chosenOH; + wire streamFork_2__io_input_ready; + wire streamFork_2__io_outputs_0_valid; + wire streamFork_2__io_outputs_0_payload_write; + wire [15:0] streamFork_2__io_outputs_0_payload_address; + wire [31:0] streamFork_2__io_outputs_0_payload_data; + wire [3:0] streamFork_2__io_outputs_0_payload_mask; + wire streamFork_2__io_outputs_1_valid; + wire streamFork_2__io_outputs_1_payload_write; + wire [15:0] streamFork_2__io_outputs_1_payload_address; + wire [31:0] streamFork_2__io_outputs_1_payload_data; + wire [3:0] streamFork_2__io_outputs_1_payload_mask; + wire streamFork_2__io_outputs_1_translated_thrown_fifo_io_push_ready; + wire streamFork_2__io_outputs_1_translated_thrown_fifo_io_pop_valid; + wire [1:0] streamFork_2__io_outputs_1_translated_thrown_fifo_io_pop_payload; + wire [2:0] streamFork_2__io_outputs_1_translated_thrown_fifo_io_occupancy; + wire [1:0] logic_rspRouteOh; + wire streamFork_2__io_outputs_1_translated_valid; + reg streamFork_2__io_outputs_1_translated_ready; + wire [1:0] streamFork_2__io_outputs_1_translated_payload; + reg streamFork_2__io_outputs_1_translated_thrown_valid; + wire streamFork_2__io_outputs_1_translated_thrown_ready; + wire [1:0] streamFork_2__io_outputs_1_translated_thrown_payload; + + StreamArbiter logic_arbiter ( + .io_inputs_0_valid (io_inputs_0_cmd_valid ), //i + .io_inputs_0_ready (logic_arbiter_io_inputs_0_ready ), //o + .io_inputs_0_payload_write (io_inputs_0_cmd_payload_write ), //i + .io_inputs_0_payload_address (io_inputs_0_cmd_payload_address[15:0] ), //i + .io_inputs_0_payload_data (io_inputs_0_cmd_payload_data[31:0] ), //i + .io_inputs_0_payload_mask (io_inputs_0_cmd_payload_mask[3:0] ), //i + .io_inputs_1_valid (io_inputs_1_cmd_valid ), //i + .io_inputs_1_ready (logic_arbiter_io_inputs_1_ready ), //o + .io_inputs_1_payload_write (io_inputs_1_cmd_payload_write ), //i + .io_inputs_1_payload_address (io_inputs_1_cmd_payload_address[15:0] ), //i + .io_inputs_1_payload_data (io_inputs_1_cmd_payload_data[31:0] ), //i + .io_inputs_1_payload_mask (io_inputs_1_cmd_payload_mask[3:0] ), //i + .io_output_valid (logic_arbiter_io_output_valid ), //o + .io_output_ready (streamFork_2__io_input_ready ), //i + .io_output_payload_write (logic_arbiter_io_output_payload_write ), //o + .io_output_payload_address (logic_arbiter_io_output_payload_address[15:0] ), //o + .io_output_payload_data (logic_arbiter_io_output_payload_data[31:0] ), //o + .io_output_payload_mask (logic_arbiter_io_output_payload_mask[3:0] ), //o + .io_chosen (logic_arbiter_io_chosen ), //o + .io_chosenOH (logic_arbiter_io_chosenOH[1:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + StreamFork streamFork_2_ ( + .io_input_valid (logic_arbiter_io_output_valid ), //i + .io_input_ready (streamFork_2__io_input_ready ), //o + .io_input_payload_write (logic_arbiter_io_output_payload_write ), //i + .io_input_payload_address (logic_arbiter_io_output_payload_address[15:0] ), //i + .io_input_payload_data (logic_arbiter_io_output_payload_data[31:0] ), //i + .io_input_payload_mask (logic_arbiter_io_output_payload_mask[3:0] ), //i + .io_outputs_0_valid (streamFork_2__io_outputs_0_valid ), //o + .io_outputs_0_ready (io_output_cmd_ready ), //i + .io_outputs_0_payload_write (streamFork_2__io_outputs_0_payload_write ), //o + .io_outputs_0_payload_address (streamFork_2__io_outputs_0_payload_address[15:0] ), //o + .io_outputs_0_payload_data (streamFork_2__io_outputs_0_payload_data[31:0] ), //o + .io_outputs_0_payload_mask (streamFork_2__io_outputs_0_payload_mask[3:0] ), //o + .io_outputs_1_valid (streamFork_2__io_outputs_1_valid ), //o + .io_outputs_1_ready (streamFork_2__io_outputs_1_translated_ready ), //i + .io_outputs_1_payload_write (streamFork_2__io_outputs_1_payload_write ), //o + .io_outputs_1_payload_address (streamFork_2__io_outputs_1_payload_address[15:0] ), //o + .io_outputs_1_payload_data (streamFork_2__io_outputs_1_payload_data[31:0] ), //o + .io_outputs_1_payload_mask (streamFork_2__io_outputs_1_payload_mask[3:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + StreamFifoLowLatency_1_ streamFork_2__io_outputs_1_translated_thrown_fifo ( + .io_push_valid (streamFork_2__io_outputs_1_translated_thrown_valid ), //i + .io_push_ready (streamFork_2__io_outputs_1_translated_thrown_fifo_io_push_ready ), //o + .io_push_payload (streamFork_2__io_outputs_1_translated_thrown_payload[1:0] ), //i + .io_pop_valid (streamFork_2__io_outputs_1_translated_thrown_fifo_io_pop_valid ), //o + .io_pop_ready (io_output_rsp_valid ), //i + .io_pop_payload (streamFork_2__io_outputs_1_translated_thrown_fifo_io_pop_payload[1:0] ), //o + .io_flush (_zz_1_ ), //i + .io_occupancy (streamFork_2__io_outputs_1_translated_thrown_fifo_io_occupancy[2:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + assign io_inputs_0_cmd_ready = logic_arbiter_io_inputs_0_ready; + assign io_inputs_1_cmd_ready = logic_arbiter_io_inputs_1_ready; + assign io_output_cmd_valid = streamFork_2__io_outputs_0_valid; + assign io_output_cmd_payload_write = streamFork_2__io_outputs_0_payload_write; + assign io_output_cmd_payload_address = streamFork_2__io_outputs_0_payload_address; + assign io_output_cmd_payload_data = streamFork_2__io_outputs_0_payload_data; + assign io_output_cmd_payload_mask = streamFork_2__io_outputs_0_payload_mask; + assign streamFork_2__io_outputs_1_translated_valid = streamFork_2__io_outputs_1_valid; + assign streamFork_2__io_outputs_1_translated_payload = logic_arbiter_io_chosenOH; + always @ (*) begin + streamFork_2__io_outputs_1_translated_thrown_valid = streamFork_2__io_outputs_1_translated_valid; + if(streamFork_2__io_outputs_1_payload_write)begin + streamFork_2__io_outputs_1_translated_thrown_valid = 1'b0; + end + end + + always @ (*) begin + streamFork_2__io_outputs_1_translated_ready = streamFork_2__io_outputs_1_translated_thrown_ready; + if(streamFork_2__io_outputs_1_payload_write)begin + streamFork_2__io_outputs_1_translated_ready = 1'b1; + end + end + + assign streamFork_2__io_outputs_1_translated_thrown_payload = streamFork_2__io_outputs_1_translated_payload; + assign streamFork_2__io_outputs_1_translated_thrown_ready = streamFork_2__io_outputs_1_translated_thrown_fifo_io_push_ready; + assign logic_rspRouteOh = streamFork_2__io_outputs_1_translated_thrown_fifo_io_pop_payload; + assign io_inputs_0_rsp_valid = (io_output_rsp_valid && logic_rspRouteOh[0]); + assign io_inputs_0_rsp_payload_data = io_output_rsp_payload_data; + assign io_inputs_1_rsp_valid = (io_output_rsp_valid && logic_rspRouteOh[1]); + assign io_inputs_1_rsp_payload_data = io_output_rsp_payload_data; + assign _zz_1_ = 1'b0; + +endmodule +//PipelinedMemoryBusArbiter_2_ replaced by PipelinedMemoryBusArbiter_1_ + +module PQVexRiscvUlx3s ( + input io_asyncReset, + input io_mainClock, + output io_uart_txd, + input io_uart_rxd, + input io_jtag_tms, + input io_jtag_tdi, + output io_jtag_tdo, + input io_jtag_tck +); + wire _zz_36_; + wire _zz_37_; + wire [7:0] _zz_38_; + wire _zz_39_; + wire [4:0] _zz_40_; + wire [7:0] _zz_41_; + wire _zz_42_; + wire [15:0] _zz_43_; + wire [15:0] _zz_44_; + wire [15:0] _zz_45_; + wire [15:0] _zz_46_; + wire asyncReset_buffercc_io_dataOut; + wire core_cpu_iBus_cmd_valid; + wire [31:0] core_cpu_iBus_cmd_payload_pc; + wire core_cpu_debug_bus_cmd_ready; + wire [31:0] core_cpu_debug_bus_rsp_data; + wire core_cpu_debug_resetOut; + wire core_cpu_dBus_cmd_valid; + wire core_cpu_dBus_cmd_payload_wr; + wire [31:0] core_cpu_dBus_cmd_payload_address; + wire [31:0] core_cpu_dBus_cmd_payload_data; + wire [1:0] core_cpu_dBus_cmd_payload_size; + wire jtagBridge_1__io_jtag_tdo; + wire jtagBridge_1__io_remote_cmd_valid; + wire jtagBridge_1__io_remote_cmd_payload_last; + wire [0:0] jtagBridge_1__io_remote_cmd_payload_fragment; + wire jtagBridge_1__io_remote_rsp_ready; + wire systemDebugger_1__io_remote_cmd_ready; + wire systemDebugger_1__io_remote_rsp_valid; + wire systemDebugger_1__io_remote_rsp_payload_error; + wire [31:0] systemDebugger_1__io_remote_rsp_payload_data; + wire systemDebugger_1__io_mem_cmd_valid; + wire [31:0] systemDebugger_1__io_mem_cmd_payload_address; + wire [31:0] systemDebugger_1__io_mem_cmd_payload_data; + wire systemDebugger_1__io_mem_cmd_payload_wr; + wire [1:0] systemDebugger_1__io_mem_cmd_payload_size; + wire pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_cmd_ready; + wire pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_rsp_valid; + wire [31:0] pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_rsp_payload_data; + wire [19:0] pipelinedMemoryBusToApbBridge_1__io_apb_PADDR; + wire [0:0] pipelinedMemoryBusToApbBridge_1__io_apb_PSEL; + wire pipelinedMemoryBusToApbBridge_1__io_apb_PENABLE; + wire pipelinedMemoryBusToApbBridge_1__io_apb_PWRITE; + wire [31:0] pipelinedMemoryBusToApbBridge_1__io_apb_PWDATA; + wire apb3UartCtrl_1__io_apb_PREADY; + wire [31:0] apb3UartCtrl_1__io_apb_PRDATA; + wire apb3UartCtrl_1__io_uart_txd; + wire apb3UartCtrl_1__io_interrupt; + wire muraxApb3Timer_1__io_apb_PREADY; + wire [31:0] muraxApb3Timer_1__io_apb_PRDATA; + wire muraxApb3Timer_1__io_apb_PSLVERROR; + wire muraxApb3Timer_1__io_interrupt; + wire myMem_1__io_bus_PREADY; + wire [31:0] myMem_1__io_bus_PRDATA; + wire myMem_1__io_bus_PSLVERROR; + wire io_apb_decoder_io_input_PREADY; + wire [31:0] io_apb_decoder_io_input_PRDATA; + wire io_apb_decoder_io_input_PSLVERROR; + wire [19:0] io_apb_decoder_io_output_PADDR; + wire [2:0] io_apb_decoder_io_output_PSEL; + wire io_apb_decoder_io_output_PENABLE; + wire io_apb_decoder_io_output_PWRITE; + wire [31:0] io_apb_decoder_io_output_PWDATA; + wire apb3Router_1__io_input_PREADY; + wire [31:0] apb3Router_1__io_input_PRDATA; + wire apb3Router_1__io_input_PSLVERROR; + wire [19:0] apb3Router_1__io_outputs_0_PADDR; + wire [0:0] apb3Router_1__io_outputs_0_PSEL; + wire apb3Router_1__io_outputs_0_PENABLE; + wire apb3Router_1__io_outputs_0_PWRITE; + wire [31:0] apb3Router_1__io_outputs_0_PWDATA; + wire [19:0] apb3Router_1__io_outputs_1_PADDR; + wire [0:0] apb3Router_1__io_outputs_1_PSEL; + wire apb3Router_1__io_outputs_1_PENABLE; + wire apb3Router_1__io_outputs_1_PWRITE; + wire [31:0] apb3Router_1__io_outputs_1_PWDATA; + wire [19:0] apb3Router_1__io_outputs_2_PADDR; + wire [0:0] apb3Router_1__io_outputs_2_PSEL; + wire apb3Router_1__io_outputs_2_PENABLE; + wire apb3Router_1__io_outputs_2_PWRITE; + wire [31:0] apb3Router_1__io_outputs_2_PWDATA; + wire memory_ramBlocks_0_io_bus_cmd_ready; + wire memory_ramBlocks_0_io_bus_rsp_valid; + wire [31:0] memory_ramBlocks_0_io_bus_rsp_payload_data; + wire memory_ramBlocks_1_io_bus_cmd_ready; + wire memory_ramBlocks_1_io_bus_rsp_valid; + wire [31:0] memory_ramBlocks_1_io_bus_rsp_payload_data; + wire core_dbus_decoder_io_input_cmd_ready; + wire core_dbus_decoder_io_input_rsp_valid; + wire [31:0] core_dbus_decoder_io_input_rsp_payload_data; + wire core_dbus_decoder_io_outputs_0_cmd_valid; + wire core_dbus_decoder_io_outputs_0_cmd_payload_write; + wire [31:0] core_dbus_decoder_io_outputs_0_cmd_payload_address; + wire [31:0] core_dbus_decoder_io_outputs_0_cmd_payload_data; + wire [3:0] core_dbus_decoder_io_outputs_0_cmd_payload_mask; + wire core_dbus_decoder_io_outputs_1_cmd_valid; + wire core_dbus_decoder_io_outputs_1_cmd_payload_write; + wire [31:0] core_dbus_decoder_io_outputs_1_cmd_payload_address; + wire [31:0] core_dbus_decoder_io_outputs_1_cmd_payload_data; + wire [3:0] core_dbus_decoder_io_outputs_1_cmd_payload_mask; + wire core_dbus_decoder_io_outputs_2_cmd_valid; + wire core_dbus_decoder_io_outputs_2_cmd_payload_write; + wire [31:0] core_dbus_decoder_io_outputs_2_cmd_payload_address; + wire [31:0] core_dbus_decoder_io_outputs_2_cmd_payload_data; + wire [3:0] core_dbus_decoder_io_outputs_2_cmd_payload_mask; + wire core_ibus_decoder_io_input_cmd_ready; + wire core_ibus_decoder_io_input_rsp_valid; + wire [31:0] core_ibus_decoder_io_input_rsp_payload_data; + wire core_ibus_decoder_io_outputs_0_cmd_valid; + wire core_ibus_decoder_io_outputs_0_cmd_payload_write; + wire [31:0] core_ibus_decoder_io_outputs_0_cmd_payload_address; + wire [31:0] core_ibus_decoder_io_outputs_0_cmd_payload_data; + wire [3:0] core_ibus_decoder_io_outputs_0_cmd_payload_mask; + wire core_ibus_decoder_io_outputs_1_cmd_valid; + wire core_ibus_decoder_io_outputs_1_cmd_payload_write; + wire [31:0] core_ibus_decoder_io_outputs_1_cmd_payload_address; + wire [31:0] core_ibus_decoder_io_outputs_1_cmd_payload_data; + wire [3:0] core_ibus_decoder_io_outputs_1_cmd_payload_mask; + wire pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_cmd_ready; + wire pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_rsp_valid; + wire [31:0] pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_rsp_payload_data; + wire pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_valid; + wire pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_write; + wire [31:0] pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_address; + wire [31:0] pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_data; + wire [3:0] pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_mask; + wire memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_cmd_ready; + wire memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_rsp_valid; + wire [31:0] memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_rsp_payload_data; + wire memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_cmd_ready; + wire memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_rsp_valid; + wire [31:0] memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_rsp_payload_data; + wire memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_valid; + wire memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_write; + wire [15:0] memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_address; + wire [31:0] memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_data; + wire [3:0] memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_mask; + wire memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_cmd_ready; + wire memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_rsp_valid; + wire [31:0] memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_rsp_payload_data; + wire memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_cmd_ready; + wire memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_rsp_valid; + wire [31:0] memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_rsp_payload_data; + wire memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_valid; + wire memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_write; + wire [15:0] memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_address; + wire [31:0] memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_data; + wire [3:0] memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_mask; + wire _zz_47_; + wire _zz_48_; + wire asyncReset; + wire mainClock; + wire resetCtrl_bufferedReset; + reg resetCtrl_mainClockReset; + reg resetCtrl_systemClockReset; + reg core_timerInterrupt; + reg core_externalInterrupt; + wire core_ibus_cmd_valid; + wire core_ibus_cmd_ready; + wire core_ibus_cmd_payload_write; + wire [31:0] core_ibus_cmd_payload_address; + wire [31:0] core_ibus_cmd_payload_data; + wire [3:0] core_ibus_cmd_payload_mask; + wire core_ibus_rsp_valid; + wire [31:0] core_ibus_rsp_payload_data; + wire core_dbus_cmd_valid; + wire core_dbus_cmd_ready; + wire core_dbus_cmd_payload_write; + wire [31:0] core_dbus_cmd_payload_address; + wire [31:0] core_dbus_cmd_payload_data; + wire [3:0] core_dbus_cmd_payload_mask; + wire core_dbus_rsp_valid; + wire [31:0] core_dbus_rsp_payload_data; + wire _zz_1_; + wire _zz_2_; + wire [31:0] _zz_3_; + wire _zz_4_; + reg _zz_5_; + reg _zz_6_; + reg [31:0] _zz_7_; + reg [31:0] _zz_8_; + reg [3:0] _zz_9_; + wire _zz_10_; + reg _zz_11_; + reg _zz_12_; + reg [31:0] _zz_13_; + reg [31:0] _zz_14_; + reg [3:0] _zz_15_; + wire _zz_16_; + wire _zz_17_; + wire _zz_18_; + wire [31:0] _zz_19_; + wire [31:0] _zz_20_; + wire [3:0] _zz_21_; + reg [3:0] _zz_22_; + wire _zz_23_; + reg _zz_24_; + reg _zz_25_; + reg [31:0] _zz_26_; + reg [31:0] _zz_27_; + reg [3:0] _zz_28_; + wire _zz_29_; + reg _zz_30_; + reg _zz_31_; + reg [31:0] _zz_32_; + reg [31:0] _zz_33_; + reg [3:0] _zz_34_; + reg core_cpu_debug_resetOut_regNext; + reg _zz_35_; + + assign _zz_47_ = (_zz_2_ && (! _zz_4_)); + assign _zz_48_ = (_zz_17_ && (! _zz_23_)); + BufferCC_2_ asyncReset_buffercc ( + .io_dataIn (asyncReset ), //i + .io_dataOut (asyncReset_buffercc_io_dataOut ), //o + .mainClock (mainClock ) //i + ); + VexRiscv core_cpu ( + .iBus_cmd_valid (core_cpu_iBus_cmd_valid ), //o + .iBus_cmd_ready (_zz_2_ ), //i + .iBus_cmd_payload_pc (core_cpu_iBus_cmd_payload_pc[31:0] ), //o + .iBus_rsp_valid (core_ibus_rsp_valid ), //i + .iBus_rsp_payload_error (_zz_36_ ), //i + .iBus_rsp_payload_inst (core_ibus_rsp_payload_data[31:0] ), //i + .timerInterrupt (core_timerInterrupt ), //i + .externalInterrupt (core_externalInterrupt ), //i + .softwareInterrupt (_zz_37_ ), //i + .debug_bus_cmd_valid (systemDebugger_1__io_mem_cmd_valid ), //i + .debug_bus_cmd_ready (core_cpu_debug_bus_cmd_ready ), //o + .debug_bus_cmd_payload_wr (systemDebugger_1__io_mem_cmd_payload_wr ), //i + .debug_bus_cmd_payload_address (_zz_38_[7:0] ), //i + .debug_bus_cmd_payload_data (systemDebugger_1__io_mem_cmd_payload_data[31:0] ), //i + .debug_bus_rsp_data (core_cpu_debug_bus_rsp_data[31:0] ), //o + .debug_resetOut (core_cpu_debug_resetOut ), //o + .dBus_cmd_valid (core_cpu_dBus_cmd_valid ), //o + .dBus_cmd_ready (_zz_17_ ), //i + .dBus_cmd_payload_wr (core_cpu_dBus_cmd_payload_wr ), //o + .dBus_cmd_payload_address (core_cpu_dBus_cmd_payload_address[31:0] ), //o + .dBus_cmd_payload_data (core_cpu_dBus_cmd_payload_data[31:0] ), //o + .dBus_cmd_payload_size (core_cpu_dBus_cmd_payload_size[1:0] ), //o + .dBus_rsp_ready (core_dbus_rsp_valid ), //i + .dBus_rsp_error (_zz_39_ ), //i + .dBus_rsp_data (core_dbus_rsp_payload_data[31:0] ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ), //i + .resetCtrl_mainClockReset (resetCtrl_mainClockReset ) //i + ); + JtagBridge jtagBridge_1_ ( + .io_jtag_tms (io_jtag_tms ), //i + .io_jtag_tdi (io_jtag_tdi ), //i + .io_jtag_tdo (jtagBridge_1__io_jtag_tdo ), //o + .io_jtag_tck (io_jtag_tck ), //i + .io_remote_cmd_valid (jtagBridge_1__io_remote_cmd_valid ), //o + .io_remote_cmd_ready (systemDebugger_1__io_remote_cmd_ready ), //i + .io_remote_cmd_payload_last (jtagBridge_1__io_remote_cmd_payload_last ), //o + .io_remote_cmd_payload_fragment (jtagBridge_1__io_remote_cmd_payload_fragment ), //o + .io_remote_rsp_valid (systemDebugger_1__io_remote_rsp_valid ), //i + .io_remote_rsp_ready (jtagBridge_1__io_remote_rsp_ready ), //o + .io_remote_rsp_payload_error (systemDebugger_1__io_remote_rsp_payload_error ), //i + .io_remote_rsp_payload_data (systemDebugger_1__io_remote_rsp_payload_data[31:0] ), //i + .mainClock (mainClock ), //i + .resetCtrl_mainClockReset (resetCtrl_mainClockReset ) //i + ); + SystemDebugger systemDebugger_1_ ( + .io_remote_cmd_valid (jtagBridge_1__io_remote_cmd_valid ), //i + .io_remote_cmd_ready (systemDebugger_1__io_remote_cmd_ready ), //o + .io_remote_cmd_payload_last (jtagBridge_1__io_remote_cmd_payload_last ), //i + .io_remote_cmd_payload_fragment (jtagBridge_1__io_remote_cmd_payload_fragment ), //i + .io_remote_rsp_valid (systemDebugger_1__io_remote_rsp_valid ), //o + .io_remote_rsp_ready (jtagBridge_1__io_remote_rsp_ready ), //i + .io_remote_rsp_payload_error (systemDebugger_1__io_remote_rsp_payload_error ), //o + .io_remote_rsp_payload_data (systemDebugger_1__io_remote_rsp_payload_data[31:0] ), //o + .io_mem_cmd_valid (systemDebugger_1__io_mem_cmd_valid ), //o + .io_mem_cmd_ready (core_cpu_debug_bus_cmd_ready ), //i + .io_mem_cmd_payload_address (systemDebugger_1__io_mem_cmd_payload_address[31:0] ), //o + .io_mem_cmd_payload_data (systemDebugger_1__io_mem_cmd_payload_data[31:0] ), //o + .io_mem_cmd_payload_wr (systemDebugger_1__io_mem_cmd_payload_wr ), //o + .io_mem_cmd_payload_size (systemDebugger_1__io_mem_cmd_payload_size[1:0] ), //o + .io_mem_rsp_valid (_zz_35_ ), //i + .io_mem_rsp_payload (core_cpu_debug_bus_rsp_data[31:0] ), //i + .mainClock (mainClock ), //i + .resetCtrl_mainClockReset (resetCtrl_mainClockReset ) //i + ); + PipelinedMemoryBusToApbBridge pipelinedMemoryBusToApbBridge_1_ ( + .io_pipelinedMemoryBus_cmd_valid (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_valid ), //i + .io_pipelinedMemoryBus_cmd_ready (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_cmd_ready ), //o + .io_pipelinedMemoryBus_cmd_payload_write (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_write ), //i + .io_pipelinedMemoryBus_cmd_payload_address (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_address[31:0] ), //i + .io_pipelinedMemoryBus_cmd_payload_data (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_data[31:0] ), //i + .io_pipelinedMemoryBus_cmd_payload_mask (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_mask[3:0] ), //i + .io_pipelinedMemoryBus_rsp_valid (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_rsp_valid ), //o + .io_pipelinedMemoryBus_rsp_payload_data (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_rsp_payload_data[31:0] ), //o + .io_apb_PADDR (pipelinedMemoryBusToApbBridge_1__io_apb_PADDR[19:0] ), //o + .io_apb_PSEL (pipelinedMemoryBusToApbBridge_1__io_apb_PSEL ), //o + .io_apb_PENABLE (pipelinedMemoryBusToApbBridge_1__io_apb_PENABLE ), //o + .io_apb_PREADY (io_apb_decoder_io_input_PREADY ), //i + .io_apb_PWRITE (pipelinedMemoryBusToApbBridge_1__io_apb_PWRITE ), //o + .io_apb_PWDATA (pipelinedMemoryBusToApbBridge_1__io_apb_PWDATA[31:0] ), //o + .io_apb_PRDATA (io_apb_decoder_io_input_PRDATA[31:0] ), //i + .io_apb_PSLVERROR (io_apb_decoder_io_input_PSLVERROR ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + Apb3UartCtrl apb3UartCtrl_1_ ( + .io_apb_PADDR (_zz_40_[4:0] ), //i + .io_apb_PSEL (apb3Router_1__io_outputs_0_PSEL ), //i + .io_apb_PENABLE (apb3Router_1__io_outputs_0_PENABLE ), //i + .io_apb_PREADY (apb3UartCtrl_1__io_apb_PREADY ), //o + .io_apb_PWRITE (apb3Router_1__io_outputs_0_PWRITE ), //i + .io_apb_PWDATA (apb3Router_1__io_outputs_0_PWDATA[31:0] ), //i + .io_apb_PRDATA (apb3UartCtrl_1__io_apb_PRDATA[31:0] ), //o + .io_uart_txd (apb3UartCtrl_1__io_uart_txd ), //o + .io_uart_rxd (io_uart_rxd ), //i + .io_interrupt (apb3UartCtrl_1__io_interrupt ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + MuraxApb3Timer muraxApb3Timer_1_ ( + .io_apb_PADDR (_zz_41_[7:0] ), //i + .io_apb_PSEL (apb3Router_1__io_outputs_1_PSEL ), //i + .io_apb_PENABLE (apb3Router_1__io_outputs_1_PENABLE ), //i + .io_apb_PREADY (muraxApb3Timer_1__io_apb_PREADY ), //o + .io_apb_PWRITE (apb3Router_1__io_outputs_1_PWRITE ), //i + .io_apb_PWDATA (apb3Router_1__io_outputs_1_PWDATA[31:0] ), //i + .io_apb_PRDATA (muraxApb3Timer_1__io_apb_PRDATA[31:0] ), //o + .io_apb_PSLVERROR (muraxApb3Timer_1__io_apb_PSLVERROR ), //o + .io_interrupt (muraxApb3Timer_1__io_interrupt ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + MyMem myMem_1_ ( + .io_bus_PADDR (apb3Router_1__io_outputs_2_PADDR[19:0] ), //i + .io_bus_PSEL (apb3Router_1__io_outputs_2_PSEL ), //i + .io_bus_PENABLE (apb3Router_1__io_outputs_2_PENABLE ), //i + .io_bus_PREADY (myMem_1__io_bus_PREADY ), //o + .io_bus_PWRITE (apb3Router_1__io_outputs_2_PWRITE ), //i + .io_bus_PWDATA (apb3Router_1__io_outputs_2_PWDATA[31:0] ), //i + .io_bus_PRDATA (myMem_1__io_bus_PRDATA[31:0] ), //o + .io_bus_PSLVERROR (myMem_1__io_bus_PSLVERROR ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + Apb3Decoder io_apb_decoder ( + .io_input_PADDR (pipelinedMemoryBusToApbBridge_1__io_apb_PADDR[19:0] ), //i + .io_input_PSEL (pipelinedMemoryBusToApbBridge_1__io_apb_PSEL ), //i + .io_input_PENABLE (pipelinedMemoryBusToApbBridge_1__io_apb_PENABLE ), //i + .io_input_PREADY (io_apb_decoder_io_input_PREADY ), //o + .io_input_PWRITE (pipelinedMemoryBusToApbBridge_1__io_apb_PWRITE ), //i + .io_input_PWDATA (pipelinedMemoryBusToApbBridge_1__io_apb_PWDATA[31:0] ), //i + .io_input_PRDATA (io_apb_decoder_io_input_PRDATA[31:0] ), //o + .io_input_PSLVERROR (io_apb_decoder_io_input_PSLVERROR ), //o + .io_output_PADDR (io_apb_decoder_io_output_PADDR[19:0] ), //o + .io_output_PSEL (io_apb_decoder_io_output_PSEL[2:0] ), //o + .io_output_PENABLE (io_apb_decoder_io_output_PENABLE ), //o + .io_output_PREADY (apb3Router_1__io_input_PREADY ), //i + .io_output_PWRITE (io_apb_decoder_io_output_PWRITE ), //o + .io_output_PWDATA (io_apb_decoder_io_output_PWDATA[31:0] ), //o + .io_output_PRDATA (apb3Router_1__io_input_PRDATA[31:0] ), //i + .io_output_PSLVERROR (apb3Router_1__io_input_PSLVERROR ) //i + ); + Apb3Router apb3Router_1_ ( + .io_input_PADDR (io_apb_decoder_io_output_PADDR[19:0] ), //i + .io_input_PSEL (io_apb_decoder_io_output_PSEL[2:0] ), //i + .io_input_PENABLE (io_apb_decoder_io_output_PENABLE ), //i + .io_input_PREADY (apb3Router_1__io_input_PREADY ), //o + .io_input_PWRITE (io_apb_decoder_io_output_PWRITE ), //i + .io_input_PWDATA (io_apb_decoder_io_output_PWDATA[31:0] ), //i + .io_input_PRDATA (apb3Router_1__io_input_PRDATA[31:0] ), //o + .io_input_PSLVERROR (apb3Router_1__io_input_PSLVERROR ), //o + .io_outputs_0_PADDR (apb3Router_1__io_outputs_0_PADDR[19:0] ), //o + .io_outputs_0_PSEL (apb3Router_1__io_outputs_0_PSEL ), //o + .io_outputs_0_PENABLE (apb3Router_1__io_outputs_0_PENABLE ), //o + .io_outputs_0_PREADY (apb3UartCtrl_1__io_apb_PREADY ), //i + .io_outputs_0_PWRITE (apb3Router_1__io_outputs_0_PWRITE ), //o + .io_outputs_0_PWDATA (apb3Router_1__io_outputs_0_PWDATA[31:0] ), //o + .io_outputs_0_PRDATA (apb3UartCtrl_1__io_apb_PRDATA[31:0] ), //i + .io_outputs_0_PSLVERROR (_zz_42_ ), //i + .io_outputs_1_PADDR (apb3Router_1__io_outputs_1_PADDR[19:0] ), //o + .io_outputs_1_PSEL (apb3Router_1__io_outputs_1_PSEL ), //o + .io_outputs_1_PENABLE (apb3Router_1__io_outputs_1_PENABLE ), //o + .io_outputs_1_PREADY (muraxApb3Timer_1__io_apb_PREADY ), //i + .io_outputs_1_PWRITE (apb3Router_1__io_outputs_1_PWRITE ), //o + .io_outputs_1_PWDATA (apb3Router_1__io_outputs_1_PWDATA[31:0] ), //o + .io_outputs_1_PRDATA (muraxApb3Timer_1__io_apb_PRDATA[31:0] ), //i + .io_outputs_1_PSLVERROR (muraxApb3Timer_1__io_apb_PSLVERROR ), //i + .io_outputs_2_PADDR (apb3Router_1__io_outputs_2_PADDR[19:0] ), //o + .io_outputs_2_PSEL (apb3Router_1__io_outputs_2_PSEL ), //o + .io_outputs_2_PENABLE (apb3Router_1__io_outputs_2_PENABLE ), //o + .io_outputs_2_PREADY (myMem_1__io_bus_PREADY ), //i + .io_outputs_2_PWRITE (apb3Router_1__io_outputs_2_PWRITE ), //o + .io_outputs_2_PWDATA (apb3Router_1__io_outputs_2_PWDATA[31:0] ), //o + .io_outputs_2_PRDATA (myMem_1__io_bus_PRDATA[31:0] ), //i + .io_outputs_2_PSLVERROR (myMem_1__io_bus_PSLVERROR ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + PipelinedMemoryBusRamUlx3s memory_ramBlocks_0 ( + .io_bus_cmd_valid (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_valid ), //i + .io_bus_cmd_ready (memory_ramBlocks_0_io_bus_cmd_ready ), //o + .io_bus_cmd_payload_write (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_write ), //i + .io_bus_cmd_payload_address (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_address[15:0] ), //i + .io_bus_cmd_payload_data (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_data[31:0] ), //i + .io_bus_cmd_payload_mask (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_mask[3:0] ), //i + .io_bus_rsp_valid (memory_ramBlocks_0_io_bus_rsp_valid ), //o + .io_bus_rsp_payload_data (memory_ramBlocks_0_io_bus_rsp_payload_data[31:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + PipelinedMemoryBusRamUlx3s memory_ramBlocks_1 ( + .io_bus_cmd_valid (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_valid ), //i + .io_bus_cmd_ready (memory_ramBlocks_1_io_bus_cmd_ready ), //o + .io_bus_cmd_payload_write (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_write ), //i + .io_bus_cmd_payload_address (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_address[15:0] ), //i + .io_bus_cmd_payload_data (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_data[31:0] ), //i + .io_bus_cmd_payload_mask (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_mask[3:0] ), //i + .io_bus_rsp_valid (memory_ramBlocks_1_io_bus_rsp_valid ), //o + .io_bus_rsp_payload_data (memory_ramBlocks_1_io_bus_rsp_payload_data[31:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + PipelinedMemoryBusDecoder core_dbus_decoder ( + .io_input_cmd_valid (core_dbus_cmd_valid ), //i + .io_input_cmd_ready (core_dbus_decoder_io_input_cmd_ready ), //o + .io_input_cmd_payload_write (core_dbus_cmd_payload_write ), //i + .io_input_cmd_payload_address (core_dbus_cmd_payload_address[31:0] ), //i + .io_input_cmd_payload_data (core_dbus_cmd_payload_data[31:0] ), //i + .io_input_cmd_payload_mask (core_dbus_cmd_payload_mask[3:0] ), //i + .io_input_rsp_valid (core_dbus_decoder_io_input_rsp_valid ), //o + .io_input_rsp_payload_data (core_dbus_decoder_io_input_rsp_payload_data[31:0] ), //o + .io_outputs_0_cmd_valid (core_dbus_decoder_io_outputs_0_cmd_valid ), //o + .io_outputs_0_cmd_ready (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_cmd_ready ), //i + .io_outputs_0_cmd_payload_write (core_dbus_decoder_io_outputs_0_cmd_payload_write ), //o + .io_outputs_0_cmd_payload_address (core_dbus_decoder_io_outputs_0_cmd_payload_address[31:0] ), //o + .io_outputs_0_cmd_payload_data (core_dbus_decoder_io_outputs_0_cmd_payload_data[31:0] ), //o + .io_outputs_0_cmd_payload_mask (core_dbus_decoder_io_outputs_0_cmd_payload_mask[3:0] ), //o + .io_outputs_0_rsp_valid (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_rsp_valid ), //i + .io_outputs_0_rsp_payload_data (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_rsp_payload_data[31:0] ), //i + .io_outputs_1_cmd_valid (core_dbus_decoder_io_outputs_1_cmd_valid ), //o + .io_outputs_1_cmd_ready (memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_cmd_ready ), //i + .io_outputs_1_cmd_payload_write (core_dbus_decoder_io_outputs_1_cmd_payload_write ), //o + .io_outputs_1_cmd_payload_address (core_dbus_decoder_io_outputs_1_cmd_payload_address[31:0] ), //o + .io_outputs_1_cmd_payload_data (core_dbus_decoder_io_outputs_1_cmd_payload_data[31:0] ), //o + .io_outputs_1_cmd_payload_mask (core_dbus_decoder_io_outputs_1_cmd_payload_mask[3:0] ), //o + .io_outputs_1_rsp_valid (memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_rsp_valid ), //i + .io_outputs_1_rsp_payload_data (memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_rsp_payload_data[31:0] ), //i + .io_outputs_2_cmd_valid (core_dbus_decoder_io_outputs_2_cmd_valid ), //o + .io_outputs_2_cmd_ready (memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_cmd_ready ), //i + .io_outputs_2_cmd_payload_write (core_dbus_decoder_io_outputs_2_cmd_payload_write ), //o + .io_outputs_2_cmd_payload_address (core_dbus_decoder_io_outputs_2_cmd_payload_address[31:0] ), //o + .io_outputs_2_cmd_payload_data (core_dbus_decoder_io_outputs_2_cmd_payload_data[31:0] ), //o + .io_outputs_2_cmd_payload_mask (core_dbus_decoder_io_outputs_2_cmd_payload_mask[3:0] ), //o + .io_outputs_2_rsp_valid (memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_rsp_valid ), //i + .io_outputs_2_rsp_payload_data (memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_rsp_payload_data[31:0] ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + PipelinedMemoryBusDecoder_1_ core_ibus_decoder ( + .io_input_cmd_valid (core_ibus_cmd_valid ), //i + .io_input_cmd_ready (core_ibus_decoder_io_input_cmd_ready ), //o + .io_input_cmd_payload_write (core_ibus_cmd_payload_write ), //i + .io_input_cmd_payload_address (core_ibus_cmd_payload_address[31:0] ), //i + .io_input_cmd_payload_data (core_ibus_cmd_payload_data[31:0] ), //i + .io_input_cmd_payload_mask (core_ibus_cmd_payload_mask[3:0] ), //i + .io_input_rsp_valid (core_ibus_decoder_io_input_rsp_valid ), //o + .io_input_rsp_payload_data (core_ibus_decoder_io_input_rsp_payload_data[31:0] ), //o + .io_outputs_0_cmd_valid (core_ibus_decoder_io_outputs_0_cmd_valid ), //o + .io_outputs_0_cmd_ready (memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_cmd_ready ), //i + .io_outputs_0_cmd_payload_write (core_ibus_decoder_io_outputs_0_cmd_payload_write ), //o + .io_outputs_0_cmd_payload_address (core_ibus_decoder_io_outputs_0_cmd_payload_address[31:0] ), //o + .io_outputs_0_cmd_payload_data (core_ibus_decoder_io_outputs_0_cmd_payload_data[31:0] ), //o + .io_outputs_0_cmd_payload_mask (core_ibus_decoder_io_outputs_0_cmd_payload_mask[3:0] ), //o + .io_outputs_0_rsp_valid (memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_rsp_valid ), //i + .io_outputs_0_rsp_payload_data (memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_rsp_payload_data[31:0] ), //i + .io_outputs_1_cmd_valid (core_ibus_decoder_io_outputs_1_cmd_valid ), //o + .io_outputs_1_cmd_ready (memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_cmd_ready ), //i + .io_outputs_1_cmd_payload_write (core_ibus_decoder_io_outputs_1_cmd_payload_write ), //o + .io_outputs_1_cmd_payload_address (core_ibus_decoder_io_outputs_1_cmd_payload_address[31:0] ), //o + .io_outputs_1_cmd_payload_data (core_ibus_decoder_io_outputs_1_cmd_payload_data[31:0] ), //o + .io_outputs_1_cmd_payload_mask (core_ibus_decoder_io_outputs_1_cmd_payload_mask[3:0] ), //o + .io_outputs_1_rsp_valid (memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_rsp_valid ), //i + .io_outputs_1_rsp_payload_data (memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_rsp_payload_data[31:0] ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + PipelinedMemoryBusArbiter pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter ( + .io_inputs_0_cmd_valid (core_dbus_decoder_io_outputs_0_cmd_valid ), //i + .io_inputs_0_cmd_ready (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_cmd_ready ), //o + .io_inputs_0_cmd_payload_write (core_dbus_decoder_io_outputs_0_cmd_payload_write ), //i + .io_inputs_0_cmd_payload_address (core_dbus_decoder_io_outputs_0_cmd_payload_address[31:0] ), //i + .io_inputs_0_cmd_payload_data (core_dbus_decoder_io_outputs_0_cmd_payload_data[31:0] ), //i + .io_inputs_0_cmd_payload_mask (core_dbus_decoder_io_outputs_0_cmd_payload_mask[3:0] ), //i + .io_inputs_0_rsp_valid (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_rsp_valid ), //o + .io_inputs_0_rsp_payload_data (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_rsp_payload_data[31:0] ), //o + .io_output_cmd_valid (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_valid ), //o + .io_output_cmd_ready (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_cmd_ready ), //i + .io_output_cmd_payload_write (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_write ), //o + .io_output_cmd_payload_address (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_address[31:0] ), //o + .io_output_cmd_payload_data (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_data[31:0] ), //o + .io_output_cmd_payload_mask (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_mask[3:0] ), //o + .io_output_rsp_valid (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_rsp_valid ), //i + .io_output_rsp_payload_data (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_rsp_payload_data[31:0] ) //i + ); + PipelinedMemoryBusArbiter_1_ memory_ramBlocks_0_io_bus_arbiter ( + .io_inputs_0_cmd_valid (core_dbus_decoder_io_outputs_1_cmd_valid ), //i + .io_inputs_0_cmd_ready (memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_cmd_ready ), //o + .io_inputs_0_cmd_payload_write (core_dbus_decoder_io_outputs_1_cmd_payload_write ), //i + .io_inputs_0_cmd_payload_address (_zz_43_[15:0] ), //i + .io_inputs_0_cmd_payload_data (core_dbus_decoder_io_outputs_1_cmd_payload_data[31:0] ), //i + .io_inputs_0_cmd_payload_mask (core_dbus_decoder_io_outputs_1_cmd_payload_mask[3:0] ), //i + .io_inputs_0_rsp_valid (memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_rsp_valid ), //o + .io_inputs_0_rsp_payload_data (memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_rsp_payload_data[31:0] ), //o + .io_inputs_1_cmd_valid (core_ibus_decoder_io_outputs_0_cmd_valid ), //i + .io_inputs_1_cmd_ready (memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_cmd_ready ), //o + .io_inputs_1_cmd_payload_write (core_ibus_decoder_io_outputs_0_cmd_payload_write ), //i + .io_inputs_1_cmd_payload_address (_zz_44_[15:0] ), //i + .io_inputs_1_cmd_payload_data (core_ibus_decoder_io_outputs_0_cmd_payload_data[31:0] ), //i + .io_inputs_1_cmd_payload_mask (core_ibus_decoder_io_outputs_0_cmd_payload_mask[3:0] ), //i + .io_inputs_1_rsp_valid (memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_rsp_valid ), //o + .io_inputs_1_rsp_payload_data (memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_rsp_payload_data[31:0] ), //o + .io_output_cmd_valid (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_valid ), //o + .io_output_cmd_ready (memory_ramBlocks_0_io_bus_cmd_ready ), //i + .io_output_cmd_payload_write (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_write ), //o + .io_output_cmd_payload_address (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_address[15:0] ), //o + .io_output_cmd_payload_data (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_data[31:0] ), //o + .io_output_cmd_payload_mask (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_mask[3:0] ), //o + .io_output_rsp_valid (memory_ramBlocks_0_io_bus_rsp_valid ), //i + .io_output_rsp_payload_data (memory_ramBlocks_0_io_bus_rsp_payload_data[31:0] ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + PipelinedMemoryBusArbiter_1_ memory_ramBlocks_1_io_bus_arbiter ( + .io_inputs_0_cmd_valid (core_dbus_decoder_io_outputs_2_cmd_valid ), //i + .io_inputs_0_cmd_ready (memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_cmd_ready ), //o + .io_inputs_0_cmd_payload_write (core_dbus_decoder_io_outputs_2_cmd_payload_write ), //i + .io_inputs_0_cmd_payload_address (_zz_45_[15:0] ), //i + .io_inputs_0_cmd_payload_data (core_dbus_decoder_io_outputs_2_cmd_payload_data[31:0] ), //i + .io_inputs_0_cmd_payload_mask (core_dbus_decoder_io_outputs_2_cmd_payload_mask[3:0] ), //i + .io_inputs_0_rsp_valid (memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_rsp_valid ), //o + .io_inputs_0_rsp_payload_data (memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_rsp_payload_data[31:0] ), //o + .io_inputs_1_cmd_valid (core_ibus_decoder_io_outputs_1_cmd_valid ), //i + .io_inputs_1_cmd_ready (memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_cmd_ready ), //o + .io_inputs_1_cmd_payload_write (core_ibus_decoder_io_outputs_1_cmd_payload_write ), //i + .io_inputs_1_cmd_payload_address (_zz_46_[15:0] ), //i + .io_inputs_1_cmd_payload_data (core_ibus_decoder_io_outputs_1_cmd_payload_data[31:0] ), //i + .io_inputs_1_cmd_payload_mask (core_ibus_decoder_io_outputs_1_cmd_payload_mask[3:0] ), //i + .io_inputs_1_rsp_valid (memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_rsp_valid ), //o + .io_inputs_1_rsp_payload_data (memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_rsp_payload_data[31:0] ), //o + .io_output_cmd_valid (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_valid ), //o + .io_output_cmd_ready (memory_ramBlocks_1_io_bus_cmd_ready ), //i + .io_output_cmd_payload_write (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_write ), //o + .io_output_cmd_payload_address (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_address[15:0] ), //o + .io_output_cmd_payload_data (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_data[31:0] ), //o + .io_output_cmd_payload_mask (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_mask[3:0] ), //o + .io_output_rsp_valid (memory_ramBlocks_1_io_bus_rsp_valid ), //i + .io_output_rsp_payload_data (memory_ramBlocks_1_io_bus_rsp_payload_data[31:0] ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + assign resetCtrl_bufferedReset = asyncReset_buffercc_io_dataOut; + always @ (*) begin + core_timerInterrupt = 1'b0; + if(muraxApb3Timer_1__io_interrupt)begin + core_timerInterrupt = 1'b1; + end + end + + always @ (*) begin + core_externalInterrupt = 1'b0; + if(apb3UartCtrl_1__io_interrupt)begin + core_externalInterrupt = 1'b1; + end + end + + assign _zz_1_ = core_cpu_iBus_cmd_valid; + assign _zz_3_ = core_cpu_iBus_cmd_payload_pc; + assign _zz_36_ = 1'b0; + assign _zz_2_ = (! _zz_5_); + assign _zz_4_ = ((1'b1 && (! _zz_10_)) || core_ibus_cmd_ready); + assign _zz_10_ = _zz_11_; + assign core_ibus_cmd_valid = _zz_10_; + assign core_ibus_cmd_payload_write = _zz_12_; + assign core_ibus_cmd_payload_address = _zz_13_; + assign core_ibus_cmd_payload_data = _zz_14_; + assign core_ibus_cmd_payload_mask = _zz_15_; + assign _zz_16_ = core_cpu_dBus_cmd_valid; + assign _zz_18_ = core_cpu_dBus_cmd_payload_wr; + assign _zz_19_ = core_cpu_dBus_cmd_payload_address; + assign _zz_20_ = core_cpu_dBus_cmd_payload_data; + always @ (*) begin + case(core_cpu_dBus_cmd_payload_size) + 2'b00 : begin + _zz_22_ = (4'b0001); + end + 2'b01 : begin + _zz_22_ = (4'b0011); + end + default : begin + _zz_22_ = (4'b1111); + end + endcase + end + + assign _zz_21_ = (_zz_22_ <<< core_cpu_dBus_cmd_payload_address[1 : 0]); + assign _zz_17_ = (! _zz_24_); + assign _zz_23_ = ((1'b1 && (! _zz_29_)) || core_dbus_cmd_ready); + assign _zz_29_ = _zz_30_; + assign core_dbus_cmd_valid = _zz_29_; + assign core_dbus_cmd_payload_write = _zz_31_; + assign core_dbus_cmd_payload_address = _zz_32_; + assign core_dbus_cmd_payload_data = _zz_33_; + assign core_dbus_cmd_payload_mask = _zz_34_; + assign _zz_39_ = 1'b0; + assign _zz_38_ = systemDebugger_1__io_mem_cmd_payload_address[7:0]; + assign _zz_40_ = apb3Router_1__io_outputs_0_PADDR[4:0]; + assign _zz_42_ = 1'b0; + assign _zz_41_ = apb3Router_1__io_outputs_1_PADDR[7:0]; + assign asyncReset = io_asyncReset; + assign mainClock = io_mainClock; + assign io_uart_txd = apb3UartCtrl_1__io_uart_txd; + assign io_jtag_tdo = jtagBridge_1__io_jtag_tdo; + assign core_dbus_cmd_ready = core_dbus_decoder_io_input_cmd_ready; + assign core_dbus_rsp_valid = core_dbus_decoder_io_input_rsp_valid; + assign core_dbus_rsp_payload_data = core_dbus_decoder_io_input_rsp_payload_data; + assign core_ibus_cmd_ready = core_ibus_decoder_io_input_cmd_ready; + assign core_ibus_rsp_valid = core_ibus_decoder_io_input_rsp_valid; + assign core_ibus_rsp_payload_data = core_ibus_decoder_io_input_rsp_payload_data; + assign _zz_43_ = core_dbus_decoder_io_outputs_1_cmd_payload_address[15:0]; + assign _zz_45_ = core_dbus_decoder_io_outputs_2_cmd_payload_address[15:0]; + assign _zz_44_ = core_ibus_decoder_io_outputs_0_cmd_payload_address[15:0]; + assign _zz_46_ = core_ibus_decoder_io_outputs_1_cmd_payload_address[15:0]; + assign _zz_37_ = 1'b0; + always @ (posedge mainClock) begin + resetCtrl_mainClockReset <= resetCtrl_bufferedReset; + resetCtrl_systemClockReset <= resetCtrl_bufferedReset; + if(core_cpu_debug_resetOut_regNext)begin + resetCtrl_systemClockReset <= 1'b1; + end + end + + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + _zz_5_ <= 1'b0; + _zz_11_ <= 1'b0; + _zz_24_ <= 1'b0; + _zz_30_ <= 1'b0; + end else begin + if(_zz_4_)begin + _zz_5_ <= 1'b0; + end + if(_zz_47_)begin + _zz_5_ <= _zz_1_; + end + if(_zz_4_)begin + _zz_11_ <= (_zz_1_ || _zz_5_); + end + if(_zz_23_)begin + _zz_24_ <= 1'b0; + end + if(_zz_48_)begin + _zz_24_ <= _zz_16_; + end + if(_zz_23_)begin + _zz_30_ <= (_zz_16_ || _zz_24_); + end + end + end + + always @ (posedge mainClock) begin + if(_zz_47_)begin + _zz_6_ <= 1'b0; + _zz_7_ <= _zz_3_; + _zz_8_ <= 32'h0; + _zz_9_ <= (4'bxxxx); + end + if(_zz_4_)begin + _zz_12_ <= (_zz_5_ ? _zz_6_ : 1'b0); + _zz_13_ <= (_zz_5_ ? _zz_7_ : _zz_3_); + _zz_14_ <= (_zz_5_ ? _zz_8_ : 32'h0); + _zz_15_ <= (_zz_5_ ? _zz_9_ : (4'bxxxx)); + end + if(_zz_48_)begin + _zz_25_ <= _zz_18_; + _zz_26_ <= _zz_19_; + _zz_27_ <= _zz_20_; + _zz_28_ <= _zz_21_; + end + if(_zz_23_)begin + _zz_31_ <= (_zz_24_ ? _zz_25_ : _zz_18_); + _zz_32_ <= (_zz_24_ ? _zz_26_ : _zz_19_); + _zz_33_ <= (_zz_24_ ? _zz_27_ : _zz_20_); + _zz_34_ <= (_zz_24_ ? _zz_28_ : _zz_21_); + end + end + + always @ (posedge mainClock) begin + core_cpu_debug_resetOut_regNext <= core_cpu_debug_resetOut; + end + + always @ (posedge mainClock or posedge resetCtrl_mainClockReset) begin + if (resetCtrl_mainClockReset) begin + _zz_35_ <= 1'b0; + end else begin + _zz_35_ <= (systemDebugger_1__io_mem_cmd_valid && core_cpu_debug_bus_cmd_ready); + end + end + + +endmodule diff --git a/ulx3s/PQVexRiscvUlx3s.ys b/ulx3s/PQVexRiscvUlx3s.ys new file mode 100644 index 0000000..438c9a8 --- /dev/null +++ b/ulx3s/PQVexRiscvUlx3s.ys @@ -0,0 +1,2 @@ +read_verilog PQVexRiscvUlx3s.v +synth_ecp5 -json PQVexRiscvUlx3s.json diff --git a/ulx3s/PQVexRiscvUlx3s_yosys.log b/ulx3s/PQVexRiscvUlx3s_yosys.log new file mode 100644 index 0000000..1640408 --- /dev/null +++ b/ulx3s/PQVexRiscvUlx3s_yosys.log @@ -0,0 +1,7803 @@ + + /----------------------------------------------------------------------------\ + | | + | yosys -- Yosys Open SYnthesis Suite | + | | + | Copyright (C) 2012 - 2020 Claire Wolf | + | | + | Permission to use, copy, modify, and/or distribute this software for any | + | purpose with or without fee is hereby granted, provided that the above | + | copyright notice and this permission notice appear in all copies. | + | | + | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | + | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | + | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | + | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | + | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | + | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | + | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | + | | + \----------------------------------------------------------------------------/ + + Yosys 0.9+3855 (git sha1 54294957, clang 10.0.0-4ubuntu1 -fPIC -Os) + + +-- Parsing `PQVexRiscvUlx3s.v' using frontend `verilog' -- + +1. Executing Verilog-2005 frontend: PQVexRiscvUlx3s.v +Parsing Verilog input from `PQVexRiscvUlx3s.v' to AST representation. +Generating RTLIL representation for module `\BufferCC'. +Generating RTLIL representation for module `\BufferCC_1_'. +Generating RTLIL representation for module `\UartCtrlTx'. +Generating RTLIL representation for module `\UartCtrlRx'. +Generating RTLIL representation for module `\StreamFifoLowLatency'. +Generating RTLIL representation for module `\FlowCCByToggle'. +Generating RTLIL representation for module `\UartCtrl'. +Generating RTLIL representation for module `\StreamFifo'. +Generating RTLIL representation for module `\Prescaler'. +Generating RTLIL representation for module `\Timer'. +Generating RTLIL representation for module `\InterruptCtrl'. +Generating RTLIL representation for module `\StreamArbiter'. +Generating RTLIL representation for module `\StreamFork'. +Generating RTLIL representation for module `\StreamFifoLowLatency_1_'. +Generating RTLIL representation for module `\BufferCC_2_'. +Generating RTLIL representation for module `\VexRiscv'. +Generating RTLIL representation for module `\JtagBridge'. +Generating RTLIL representation for module `\SystemDebugger'. +Generating RTLIL representation for module `\PipelinedMemoryBusToApbBridge'. +Generating RTLIL representation for module `\Apb3UartCtrl'. +Generating RTLIL representation for module `\MuraxApb3Timer'. +Generating RTLIL representation for module `\MyMem'. +Generating RTLIL representation for module `\Apb3Decoder'. +Generating RTLIL representation for module `\Apb3Router'. +Generating RTLIL representation for module `\PipelinedMemoryBusRamUlx3s'. +Generating RTLIL representation for module `\PipelinedMemoryBusDecoder'. +Generating RTLIL representation for module `\PipelinedMemoryBusDecoder_1_'. +Generating RTLIL representation for module `\PipelinedMemoryBusArbiter'. +Generating RTLIL representation for module `\PipelinedMemoryBusArbiter_1_'. +Generating RTLIL representation for module `\PQVexRiscvUlx3s'. +Successfully finished Verilog frontend. + +-- Running command `synth_ecp5 -top PQVexRiscvUlx3s -json PQVexRiscvUlx3s.json' -- + +2. Executing SYNTH_ECP5 pass. + +2.1. Executing Verilog-2005 frontend: /usr/local/bin/../share/yosys/ecp5/cells_sim.v +Parsing Verilog input from `/usr/local/bin/../share/yosys/ecp5/cells_sim.v' to AST representation. +Generating RTLIL representation for module `\LUT4'. +Generating RTLIL representation for module `\$__ABC9_LUT5'. +Generating RTLIL representation for module `\$__ABC9_LUT6'. +Generating RTLIL representation for module `\$__ABC9_LUT7'. +Generating RTLIL representation for module `\L6MUX21'. +Generating RTLIL representation for module `\CCU2C'. +Generating RTLIL representation for module `\TRELLIS_RAM16X2'. +Generating RTLIL representation for module `\PFUMX'. +Generating RTLIL representation for module `\TRELLIS_DPR16X4'. +Generating RTLIL representation for module `\DPR16X4C'. +Generating RTLIL representation for module `\LUT2'. +Generating RTLIL representation for module `\TRELLIS_FF'. +Generating RTLIL representation for module `\TRELLIS_IO'. +Generating RTLIL representation for module `\INV'. +Generating RTLIL representation for module `\TRELLIS_SLICE'. +Generating RTLIL representation for module `\DP16KD'. +Generating RTLIL representation for module `\FD1P3AX'. +Generating RTLIL representation for module `\FD1P3AY'. +Generating RTLIL representation for module `\FD1P3BX'. +Generating RTLIL representation for module `\FD1P3DX'. +Generating RTLIL representation for module `\FD1P3IX'. +Generating RTLIL representation for module `\FD1P3JX'. +Generating RTLIL representation for module `\FD1S3AX'. +Generating RTLIL representation for module `\FD1S3AY'. +Generating RTLIL representation for module `\FD1S3BX'. +Generating RTLIL representation for module `\FD1S3DX'. +Generating RTLIL representation for module `\FD1S3IX'. +Generating RTLIL representation for module `\FD1S3JX'. +Generating RTLIL representation for module `\IFS1P3BX'. +Generating RTLIL representation for module `\IFS1P3DX'. +Generating RTLIL representation for module `\IFS1P3IX'. +Generating RTLIL representation for module `\IFS1P3JX'. +Generating RTLIL representation for module `\OFS1P3BX'. +Generating RTLIL representation for module `\OFS1P3DX'. +Generating RTLIL representation for module `\OFS1P3IX'. +Generating RTLIL representation for module `\OFS1P3JX'. +Generating RTLIL representation for module `\IB'. +Generating RTLIL representation for module `\IBPU'. +Generating RTLIL representation for module `\IBPD'. +Generating RTLIL representation for module `\OB'. +Generating RTLIL representation for module `\OBZ'. +Generating RTLIL representation for module `\OBZPU'. +Generating RTLIL representation for module `\OBZPD'. +Generating RTLIL representation for module `\OBCO'. +Generating RTLIL representation for module `\BB'. +Generating RTLIL representation for module `\BBPU'. +Generating RTLIL representation for module `\BBPD'. +Generating RTLIL representation for module `\ILVDS'. +Generating RTLIL representation for module `\OLVDS'. +Successfully finished Verilog frontend. + +2.2. Executing Verilog-2005 frontend: /usr/local/bin/../share/yosys/ecp5/cells_bb.v +Parsing Verilog input from `/usr/local/bin/../share/yosys/ecp5/cells_bb.v' to AST representation. +Generating RTLIL representation for module `\MULT18X18D'. +Generating RTLIL representation for module `\ALU54B'. +Generating RTLIL representation for module `\EHXPLLL'. +Generating RTLIL representation for module `\DTR'. +Generating RTLIL representation for module `\OSCG'. +Generating RTLIL representation for module `\USRMCLK'. +Generating RTLIL representation for module `\JTAGG'. +Generating RTLIL representation for module `\DELAYF'. +Generating RTLIL representation for module `\DELAYG'. +Generating RTLIL representation for module `\IDDRX1F'. +Generating RTLIL representation for module `\IDDRX2F'. +Generating RTLIL representation for module `\IDDR71B'. +Generating RTLIL representation for module `\IDDRX2DQA'. +Generating RTLIL representation for module `\ODDRX1F'. +Generating RTLIL representation for module `\ODDRX2F'. +Generating RTLIL representation for module `\ODDR71B'. +Generating RTLIL representation for module `\OSHX2A'. +Generating RTLIL representation for module `\ODDRX2DQA'. +Generating RTLIL representation for module `\ODDRX2DQSB'. +Generating RTLIL representation for module `\TSHX2DQA'. +Generating RTLIL representation for module `\TSHX2DQSA'. +Generating RTLIL representation for module `\DQSBUFM'. +Generating RTLIL representation for module `\DDRDLLA'. +Generating RTLIL representation for module `\CLKDIVF'. +Generating RTLIL representation for module `\ECLKSYNCB'. +Generating RTLIL representation for module `\ECLKBRIDGECS'. +Generating RTLIL representation for module `\DCCA'. +Generating RTLIL representation for module `\DCUA'. +Generating RTLIL representation for module `\EXTREFB'. +Generating RTLIL representation for module `\PCSCLKDIV'. +Generating RTLIL representation for module `\PUR'. +Generating RTLIL representation for module `\GSR'. +Generating RTLIL representation for module `\SGSR'. +Generating RTLIL representation for module `\PDPW16KD'. +Successfully finished Verilog frontend. + +2.3. Executing HIERARCHY pass (managing design hierarchy). + +2.3.1. Analyzing design hierarchy.. +Top module: \PQVexRiscvUlx3s +Used module: \PipelinedMemoryBusArbiter_1_ +Used module: \StreamFifoLowLatency_1_ +Used module: \StreamFork +Used module: \StreamArbiter +Used module: \PipelinedMemoryBusArbiter +Used module: \PipelinedMemoryBusDecoder_1_ +Used module: \PipelinedMemoryBusDecoder +Used module: \PipelinedMemoryBusRamUlx3s +Used module: \Apb3Router +Used module: \Apb3Decoder +Used module: \MyMem +Used module: \MuraxApb3Timer +Used module: \InterruptCtrl +Used module: \Timer +Used module: \Prescaler +Used module: \Apb3UartCtrl +Used module: \StreamFifo +Used module: \UartCtrl +Used module: \UartCtrlRx +Used module: \BufferCC +Used module: \UartCtrlTx +Used module: \PipelinedMemoryBusToApbBridge +Used module: \SystemDebugger +Used module: \JtagBridge +Used module: \FlowCCByToggle +Used module: \BufferCC_1_ +Used module: \VexRiscv +Used module: \StreamFifoLowLatency +Used module: \BufferCC_2_ + +2.3.2. Analyzing design hierarchy.. +Top module: \PQVexRiscvUlx3s +Used module: \PipelinedMemoryBusArbiter_1_ +Used module: \StreamFifoLowLatency_1_ +Used module: \StreamFork +Used module: \StreamArbiter +Used module: \PipelinedMemoryBusArbiter +Used module: \PipelinedMemoryBusDecoder_1_ +Used module: \PipelinedMemoryBusDecoder +Used module: \PipelinedMemoryBusRamUlx3s +Used module: \Apb3Router +Used module: \Apb3Decoder +Used module: \MyMem +Used module: \MuraxApb3Timer +Used module: \InterruptCtrl +Used module: \Timer +Used module: \Prescaler +Used module: \Apb3UartCtrl +Used module: \StreamFifo +Used module: \UartCtrl +Used module: \UartCtrlRx +Used module: \BufferCC +Used module: \UartCtrlTx +Used module: \PipelinedMemoryBusToApbBridge +Used module: \SystemDebugger +Used module: \JtagBridge +Used module: \FlowCCByToggle +Used module: \BufferCC_1_ +Used module: \VexRiscv +Used module: \StreamFifoLowLatency +Used module: \BufferCC_2_ +Removed 0 unused modules. + +2.4. Executing PROC pass (convert processes to netlists). + +2.4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Found and cleaned up 1 empty switch in `\TRELLIS_DPR16X4.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:213$1413'. +Cleaned up 1 empty switch. + +2.4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +Marked 1 switch rules as full_case in process $proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:350$1494 in module TRELLIS_FF. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:8216$1266 in module PQVexRiscvUlx3s. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:8157$1253 in module PQVexRiscvUlx3s. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:8106$1246 in module PQVexRiscvUlx3s. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:8084$1241 in module PQVexRiscvUlx3s. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:8077$1240 in module PQVexRiscvUlx3s. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:7489$1233 in module PipelinedMemoryBusArbiter_1_. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:7482$1232 in module PipelinedMemoryBusArbiter_1_. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:7306$1228 in module PipelinedMemoryBusDecoder_1_. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:7294$1210 in module PipelinedMemoryBusDecoder_1_. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:7281$1206 in module PipelinedMemoryBusDecoder_1_. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:7268$1202 in module PipelinedMemoryBusDecoder_1_. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:7256$1199 in module PipelinedMemoryBusDecoder_1_. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:7184$1191 in module PipelinedMemoryBusDecoder. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:7172$1170 in module PipelinedMemoryBusDecoder. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:7159$1166 in module PipelinedMemoryBusDecoder. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:7146$1162 in module PipelinedMemoryBusDecoder. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:7133$1158 in module PipelinedMemoryBusDecoder. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:7118$1155 in module PipelinedMemoryBusDecoder. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:7042$1143 in module PipelinedMemoryBusRamUlx3s. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6938$1109 in module Apb3Router. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6885$1108 in module Apb3Decoder. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6877$1107 in module Apb3Decoder. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6815$1081 in module MyMem. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6715$1079 in module MuraxApb3Timer. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6680$1076 in module MuraxApb3Timer. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6649$1070 in module MuraxApb3Timer. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6620$1069 in module MuraxApb3Timer. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6610$1068 in module MuraxApb3Timer. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6579$1062 in module MuraxApb3Timer. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6550$1061 in module MuraxApb3Timer. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6540$1060 in module MuraxApb3Timer. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6511$1059 in module MuraxApb3Timer. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6469$1046 in module MuraxApb3Timer. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6323$1037 in module Apb3UartCtrl. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6303$1036 in module Apb3UartCtrl. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6286$1035 in module Apb3UartCtrl. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6269$1034 in module Apb3UartCtrl. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6252$1033 in module Apb3UartCtrl. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6235$1032 in module Apb3UartCtrl. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6215$1027 in module Apb3UartCtrl. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6207$1026 in module Apb3UartCtrl. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6200$1025 in module Apb3UartCtrl. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6180$1024 in module Apb3UartCtrl. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:6144$1009 in module Apb3UartCtrl. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:5954$1004 in module PipelinedMemoryBusToApbBridge. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:5944$1001 in module PipelinedMemoryBusToApbBridge. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:5930$999 in module PipelinedMemoryBusToApbBridge. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:5875$995 in module SystemDebugger. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:5849$991 in module SystemDebugger. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:5738$974 in module JtagBridge. +Marked 5 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:5710$971 in module JtagBridge. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:5656$954 in module JtagBridge. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:5432$946 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4817$790 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4808$781 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4801$780 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4794$779 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4787$778 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4779$777 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4771$776 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4762$775 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4753$774 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4744$773 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4725$772 in module VexRiscv. +Marked 3 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4657$718 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4646$716 in module VexRiscv. +Marked 3 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4623$715 in module VexRiscv. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4599$703 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4590$700 in module VexRiscv. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4581$699 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4564$694 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4550$693 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4521$688 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4441$682 in module VexRiscv. +Marked 3 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4429$675 in module VexRiscv. +Marked 10 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4393$666 in module VexRiscv. +Marked 10 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4365$664 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4285$656 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4268$655 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4203$652 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4189$651 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4175$647 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4166$645 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4130$624 in module VexRiscv. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4116$608 in module VexRiscv. +Marked 16 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4069$603 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4056$601 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4045$600 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4035$597 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4020$591 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:4006$590 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3939$583 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3923$581 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3908$580 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3898$570 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3874$561 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3839$549 in module VexRiscv. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3818$543 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3792$525 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3774$519 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3765$517 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3758$516 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3750$514 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3739$510 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3732$508 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3725$507 in module VexRiscv. +Marked 3 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3709$506 in module VexRiscv. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3699$505 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3692$504 in module VexRiscv. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3681$502 in module VexRiscv. +Marked 6 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3660$501 in module VexRiscv. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3646$500 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3638$499 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3629$498 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3621$497 in module VexRiscv. +Marked 3 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3608$487 in module VexRiscv. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3599$486 in module VexRiscv. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3590$485 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3583$484 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3576$483 in module VexRiscv. +Marked 3 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3564$475 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3555$474 in module VexRiscv. +Marked 3 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3542$464 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3523$463 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3512$462 in module VexRiscv. +Marked 3 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3484$459 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3469$458 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3462$456 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3454$454 in module VexRiscv. +Marked 3 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3416$453 in module VexRiscv. +Marked 11 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3385$451 in module VexRiscv. +Marked 11 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:3355$449 in module VexRiscv. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1421$231 in module StreamFifoLowLatency_1_. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1413$227 in module StreamFifoLowLatency_1_. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1393$216 in module StreamFifoLowLatency_1_. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1384$213 in module StreamFifoLowLatency_1_. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1377$212 in module StreamFifoLowLatency_1_. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1366$210 in module StreamFifoLowLatency_1_. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1357$207 in module StreamFifoLowLatency_1_. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1350$206 in module StreamFifoLowLatency_1_. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1343$205 in module StreamFifoLowLatency_1_. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1270$195 in module StreamFork. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1250$188 in module StreamFork. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1202$185 in module StreamArbiter. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1138$167 in module InterruptCtrl. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1101$163 in module Timer. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1038$150 in module StreamFifo. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1020$132 in module StreamFifo. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1011$129 in module StreamFifo. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:1004$128 in module StreamFifo. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:997$126 in module StreamFifo. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:988$123 in module StreamFifo. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:981$122 in module StreamFifo. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:974$121 in module StreamFifo. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:899$111 in module UartCtrl. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:884$110 in module UartCtrl. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:877$109 in module UartCtrl. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:780$106 in module FlowCCByToggle. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:706$99 in module StreamFifoLowLatency. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:697$97 in module StreamFifoLowLatency. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:689$96 in module StreamFifoLowLatency. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:680$95 in module StreamFifoLowLatency. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:665$87 in module StreamFifoLowLatency. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:658$86 in module StreamFifoLowLatency. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:649$84 in module StreamFifoLowLatency. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:642$83 in module StreamFifoLowLatency. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:635$82 in module StreamFifoLowLatency. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:553$61 in module UartCtrlRx. +Marked 6 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:474$43 in module UartCtrlRx. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:461$41 in module UartCtrlRx. +Marked 5 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:431$39 in module UartCtrlRx. +Marked 3 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:260$14 in module UartCtrlTx. +Marked 3 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:238$13 in module UartCtrlTx. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:219$11 in module UartCtrlTx. +Marked 2 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:208$9 in module UartCtrlTx. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:198$6 in module UartCtrlTx. +Marked 1 switch rules as full_case in process $proc$PQVexRiscvUlx3s.v:97$1 in module BufferCC. +Removed a total of 0 dead cases. + +2.4.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +Removed 30 redundant assignments. +Promoted 417 assignments to connections. + +2.4.4. Executing PROC_INIT pass (extract init attributes). +Found init rule in `\TRELLIS_FF.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1498'. + Set init value: \Q = 1'0 +Found init rule in `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5544$986'. + Set init value: \jtag_tap_fsm_state = 4'0000 +Found init rule in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:2122$951'. + Set init value: \CsrPlugin_minstret = 64'0000000000000000000000000000000000000000000000000000000000000000 +Found init rule in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:2121$950'. + Set init value: \CsrPlugin_mcycle = 64'0000000000000000000000000000000000000000000000000000000000000000 +Found init rule in `\FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:741$107'. + Set init value: \inputArea_target = 1'0 + +2.4.5. Executing PROC_ARST pass (detect async resets in processes). +Found async reset \resetCtrl_mainClockReset in `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8216$1266'. +Found async reset \resetCtrl_systemClockReset in `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8157$1253'. +Found async reset \resetCtrl_systemClockReset in `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7306$1228'. +Found async reset \resetCtrl_systemClockReset in `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7184$1191'. +Found async reset \resetCtrl_systemClockReset in `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7042$1143'. +Found async reset \resetCtrl_systemClockReset in `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6715$1079'. +Found async reset \resetCtrl_systemClockReset in `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6323$1037'. +Found async reset \resetCtrl_systemClockReset in `\PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5954$1004'. +Found async reset \resetCtrl_mainClockReset in `\SystemDebugger.$proc$PQVexRiscvUlx3s.v:5849$991'. +Found async reset \resetCtrl_mainClockReset in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5432$946'. +Found async reset \resetCtrl_systemClockReset in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. +Found async reset \resetCtrl_systemClockReset in `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1421$231'. +Found async reset \resetCtrl_systemClockReset in `\StreamFork.$proc$PQVexRiscvUlx3s.v:1270$195'. +Found async reset \resetCtrl_systemClockReset in `\StreamArbiter.$proc$PQVexRiscvUlx3s.v:1202$185'. +Found async reset \resetCtrl_systemClockReset in `\InterruptCtrl.$proc$PQVexRiscvUlx3s.v:1138$167'. +Found async reset \resetCtrl_systemClockReset in `\Timer.$proc$PQVexRiscvUlx3s.v:1101$163'. +Found async reset \resetCtrl_systemClockReset in `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1038$150'. +Found async reset \resetCtrl_systemClockReset in `\UartCtrl.$proc$PQVexRiscvUlx3s.v:899$111'. +Found async reset \resetCtrl_mainClockReset in `\FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:780$106'. +Found async reset \resetCtrl_systemClockReset in `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:706$99'. +Found async reset \resetCtrl_systemClockReset in `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:474$43'. +Found async reset \resetCtrl_systemClockReset in `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:260$14'. +Found async reset \resetCtrl_systemClockReset in `\BufferCC.$proc$PQVexRiscvUlx3s.v:97$1'. + +2.4.6. Executing PROC_MUX pass (convert decision trees to multiplexers). +Creating decoders for process `\TRELLIS_FF.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1498'. +Creating decoders for process `\TRELLIS_FF.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:350$1494'. + 1/1: $0\Q[0:0] +Creating decoders for process `\DPR16X4C.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1491'. +Creating decoders for process `\DPR16X4C.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:285$1470'. + 1/3: $0$memwr$\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:287$1469_EN[3:0]$1473 + 2/3: $0$memwr$\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:287$1469_DATA[3:0]$1472 + 3/3: $0$memwr$\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:287$1469_ADDR[3:0]$1471 +Creating decoders for process `\TRELLIS_DPR16X4.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1436'. +Creating decoders for process `\TRELLIS_DPR16X4.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:221$1414'. + 1/3: $0$memwr$\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:223$1412_EN[3:0]$1417 + 2/3: $0$memwr$\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:223$1412_DATA[3:0]$1416 + 3/3: $0$memwr$\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:223$1412_ADDR[3:0]$1415 +Creating decoders for process `\TRELLIS_DPR16X4.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:213$1413'. +Creating decoders for process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8216$1266'. + 1/1: $0\_zz_35_[0:0] +Creating decoders for process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8212$1265'. +Creating decoders for process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + 1/16: $0\_zz_34_[3:0] + 2/16: $0\_zz_33_[31:0] + 3/16: $0\_zz_32_[31:0] + 4/16: $0\_zz_31_[0:0] + 5/16: $0\_zz_28_[3:0] + 6/16: $0\_zz_27_[31:0] + 7/16: $0\_zz_26_[31:0] + 8/16: $0\_zz_25_[0:0] + 9/16: $0\_zz_15_[3:0] + 10/16: $0\_zz_14_[31:0] + 11/16: $0\_zz_13_[31:0] + 12/16: $0\_zz_12_[0:0] + 13/16: $0\_zz_9_[3:0] + 14/16: $0\_zz_8_[31:0] + 15/16: $0\_zz_7_[31:0] + 16/16: $0\_zz_6_[0:0] +Creating decoders for process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8157$1253'. + 1/4: $0\_zz_30_[0:0] + 2/4: $0\_zz_24_[0:0] + 3/4: $0\_zz_11_[0:0] + 4/4: $0\_zz_5_[0:0] +Creating decoders for process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8149$1252'. + 1/1: $0\resetCtrl_systemClockReset[0:0] +Creating decoders for process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8106$1246'. + 1/1: $1\_zz_22_[3:0] +Creating decoders for process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8084$1241'. + 1/1: $1\core_externalInterrupt[0:0] +Creating decoders for process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8077$1240'. + 1/1: $1\core_timerInterrupt[0:0] +Creating decoders for process `\PipelinedMemoryBusArbiter_1_.$proc$PQVexRiscvUlx3s.v:7489$1233'. + 1/1: $1\streamFork_2__io_outputs_1_translated_ready[0:0] +Creating decoders for process `\PipelinedMemoryBusArbiter_1_.$proc$PQVexRiscvUlx3s.v:7482$1232'. + 1/1: $1\streamFork_2__io_outputs_1_translated_thrown_valid[0:0] +Creating decoders for process `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7314$1230'. + 1/2: $0\logic_rspHits_1[0:0] + 2/2: $0\logic_rspHits_0[0:0] +Creating decoders for process `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7306$1228'. + 1/1: $0\logic_rspPendingCounter[1:0] +Creating decoders for process `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7294$1210'. + 1/1: $1\io_input_cmd_ready[0:0] +Creating decoders for process `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7281$1206'. + 1/1: $1\io_outputs_1_cmd_valid[0:0] +Creating decoders for process `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7268$1202'. + 1/1: $1\io_outputs_0_cmd_valid[0:0] +Creating decoders for process `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7256$1199'. + 1/1: $1\_zz_3_[31:0] +Creating decoders for process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7192$1193'. + 1/3: $0\logic_rspHits_2[0:0] + 2/3: $0\logic_rspHits_1[0:0] + 3/3: $0\logic_rspHits_0[0:0] +Creating decoders for process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7184$1191'. + 1/1: $0\logic_rspPendingCounter[1:0] +Creating decoders for process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7172$1170'. + 1/1: $1\io_input_cmd_ready[0:0] +Creating decoders for process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7159$1166'. + 1/1: $1\io_outputs_2_cmd_valid[0:0] +Creating decoders for process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7146$1162'. + 1/1: $1\io_outputs_1_cmd_valid[0:0] +Creating decoders for process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7133$1158'. + 1/1: $1\io_outputs_0_cmd_valid[0:0] +Creating decoders for process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7118$1155'. + 1/1: $1\_zz_4_[31:0] +Creating decoders for process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7042$1143'. + 1/1: $0\_zz_1_[0:0] +Creating decoders for process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7022$1121'. + 1/12: $0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 + 2/12: $0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_DATA[7:0]$1123 + 3/12: $0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_ADDR[13:0]$1122 + 4/12: $0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 + 5/12: $0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_DATA[7:0]$1126 + 6/12: $0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_ADDR[13:0]$1125 + 7/12: $0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 + 8/12: $0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_DATA[7:0]$1129 + 9/12: $0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_ADDR[13:0]$1128 + 10/12: $0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 + 11/12: $0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_DATA[7:0]$1132 + 12/12: $0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_ADDR[13:0]$1131 +Creating decoders for process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7013$1116'. + 1/4: $0\_zz_8_[7:0] + 2/4: $0\_zz_7_[7:0] + 3/4: $0\_zz_6_[7:0] + 4/4: $0\_zz_5_[7:0] +Creating decoders for process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7010$1115'. +Creating decoders for process `\Apb3Router.$proc$PQVexRiscvUlx3s.v:6978$1110'. +Creating decoders for process `\Apb3Router.$proc$PQVexRiscvUlx3s.v:6938$1109'. + 1/3: $1\_zz_5_[0:0] + 2/3: $1\_zz_4_[31:0] + 3/3: $1\_zz_3_[0:0] +Creating decoders for process `\Apb3Decoder.$proc$PQVexRiscvUlx3s.v:6885$1108'. + 1/1: $1\io_input_PSLVERROR[0:0] +Creating decoders for process `\Apb3Decoder.$proc$PQVexRiscvUlx3s.v:6877$1107'. + 1/1: $1\io_input_PREADY[0:0] +Creating decoders for process `\Apb3Decoder.$proc$PQVexRiscvUlx3s.v:6871$1097'. +Creating decoders for process `\MyMem.$proc$PQVexRiscvUlx3s.v:6831$1094'. + 1/1: $0\myReg[31:0] +Creating decoders for process `\MyMem.$proc$PQVexRiscvUlx3s.v:6815$1081'. + 1/1: $1\io_bus_PRDATA[31:0] +Creating decoders for process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6759$1080'. + 1/3: $0\timerB_io_limit_driver[15:0] + 2/3: $0\timerA_io_limit_driver[15:0] + 3/3: $0\_zz_1_[15:0] +Creating decoders for process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6715$1079'. + 1/5: $0\interruptCtrl_1__io_masks_driver[1:0] + 2/5: $0\timerBBridge_clearsEnable[0:0] + 3/5: $0\timerBBridge_ticksEnable[1:0] + 4/5: $0\timerABridge_clearsEnable[0:0] + 5/5: $0\timerABridge_ticksEnable[1:0] +Creating decoders for process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6709$1077'. +Creating decoders for process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6680$1076'. + 1/2: $2\_zz_12_[1:0] + 2/2: $1\_zz_12_[1:0] +Creating decoders for process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6649$1070'. + 1/2: $2\_zz_6_[0:0] + 2/2: $1\_zz_6_[0:0] +Creating decoders for process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6620$1069'. + 1/2: $2\_zz_5_[0:0] + 2/2: $1\_zz_5_[0:0] +Creating decoders for process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6610$1068'. + 1/2: $2\timerBBridge_busClearing[0:0] + 2/2: $1\timerBBridge_busClearing[0:0] +Creating decoders for process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6579$1062'. + 1/2: $2\_zz_4_[0:0] + 2/2: $1\_zz_4_[0:0] +Creating decoders for process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6550$1061'. + 1/2: $2\_zz_3_[0:0] + 2/2: $1\_zz_3_[0:0] +Creating decoders for process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6540$1060'. + 1/2: $2\timerABridge_busClearing[0:0] + 2/2: $1\timerABridge_busClearing[0:0] +Creating decoders for process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6511$1059'. + 1/2: $2\_zz_2_[0:0] + 2/2: $1\_zz_2_[0:0] +Creating decoders for process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6469$1046'. + 1/3: $1\io_apb_PRDATA[16:0] [16] + 2/3: $1\io_apb_PRDATA[16:0] [15:2] + 3/3: $1\io_apb_PRDATA[16:0] [1:0] +Creating decoders for process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:0$1043'. +Creating decoders for process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6383$1042'. +Creating decoders for process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6323$1037'. + 1/6: $0\bridge_misc_doBreak[0:0] + 2/6: $0\bridge_misc_breakDetected[0:0] + 3/6: $0\bridge_misc_readOverflowError[0:0] + 4/6: $0\bridge_misc_readError[0:0] + 5/6: $0\bridge_interruptCtrl_readIntEnable[0:0] + 6/6: $0\bridge_interruptCtrl_writeIntEnable[0:0] +Creating decoders for process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6303$1036'. + 1/2: $2\_zz_6_[0:0] + 2/2: $1\_zz_6_[0:0] +Creating decoders for process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6286$1035'. + 1/2: $2\_zz_5_[0:0] + 2/2: $1\_zz_5_[0:0] +Creating decoders for process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6269$1034'. + 1/2: $2\_zz_4_[0:0] + 2/2: $1\_zz_4_[0:0] +Creating decoders for process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6252$1033'. + 1/2: $2\_zz_3_[0:0] + 2/2: $1\_zz_3_[0:0] +Creating decoders for process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6235$1032'. + 1/2: $2\_zz_2_[0:0] + 2/2: $1\_zz_2_[0:0] +Creating decoders for process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6215$1027'. + 1/2: $2\bridge_read_streamBreaked_ready[0:0] + 2/2: $1\bridge_read_streamBreaked_ready[0:0] +Creating decoders for process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6207$1026'. + 1/1: $1\_zz_8_[0:0] +Creating decoders for process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6200$1025'. + 1/1: $1\bridge_read_streamBreaked_valid[0:0] +Creating decoders for process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6180$1024'. + 1/2: $2\_zz_1_[0:0] + 2/2: $1\_zz_1_[0:0] +Creating decoders for process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6176$1023'. +Creating decoders for process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6144$1009'. + 1/9: $2\io_apb_PRDATA[20:15] [5:2] + 2/9: $1\io_apb_PRDATA[9:0] [7:2] + 3/9: $2\io_apb_PRDATA[20:15] [1] + 4/9: $1\io_apb_PRDATA[9:0] [8] + 5/9: $2\io_apb_PRDATA[20:15] [0] + 6/9: $1\io_apb_PRDATA[9:0] [1] + 7/9: $3\io_apb_PRDATA[28:24] + 8/9: $1\io_apb_PRDATA[9:0] [9] + 9/9: $1\io_apb_PRDATA[9:0] [0] +Creating decoders for process `\PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5970$1005'. +Creating decoders for process `\PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5954$1004'. + 1/2: $0\pipelinedMemoryBusStage_rsp_regNext_valid[0:0] + 2/2: $0\state[0:0] +Creating decoders for process `\PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5944$1001'. + 1/2: $2\pipelinedMemoryBusStage_rsp_valid[0:0] + 2/2: $1\pipelinedMemoryBusStage_rsp_valid[0:0] +Creating decoders for process `\PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5930$999'. + 1/2: $2\pipelinedMemoryBusStage_cmd_ready[0:0] + 2/2: $1\pipelinedMemoryBusStage_cmd_ready[0:0] +Creating decoders for process `\SystemDebugger.$proc$PQVexRiscvUlx3s.v:5875$995'. + 1/2: $0\dispatcher_headerShifter[7:0] + 2/2: $0\dispatcher_dataShifter[66:0] +Creating decoders for process `\SystemDebugger.$proc$PQVexRiscvUlx3s.v:5849$991'. + 1/3: $0\dispatcher_counter[2:0] + 2/3: $0\dispatcher_headerLoaded[0:0] + 3/3: $0\dispatcher_dataLoaded[0:0] +Creating decoders for process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5544$986'. +Creating decoders for process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5799$985'. +Creating decoders for process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5764$979'. + 1/4: $0\jtag_readArea_shifter[33:0] + 2/4: $0\jtag_idcodeArea_shifter[31:0] + 3/4: $0\jtag_tap_instructionShift[3:0] + 4/4: $0\jtag_tap_instruction[3:0] +Creating decoders for process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5753$977'. + 1/3: $0\system_rsp_payload_data[31:0] + 2/3: $0\system_rsp_payload_error[0:0] + 3/3: $0\system_rsp_valid[0:0] +Creating decoders for process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5738$974'. + 1/2: $2\jtag_writeArea_source_valid[0:0] + 2/2: $1\jtag_writeArea_source_valid[0:0] +Creating decoders for process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5710$971'. + 1/5: $5\jtag_tap_tdoUnbufferd[0:0] + 2/5: $4\jtag_tap_tdoUnbufferd[0:0] + 3/5: $3\jtag_tap_tdoUnbufferd[0:0] + 4/5: $2\jtag_tap_tdoUnbufferd[0:0] + 5/5: $1\jtag_tap_tdoUnbufferd[0:0] +Creating decoders for process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5656$954'. + 1/1: $1\_zz_1_[3:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:2122$951'. +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:2121$950'. +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5432$946'. + 1/8: $0\DebugPlugin_hardwareBreakpoints_2_valid[0:0] + 2/8: $0\DebugPlugin_hardwareBreakpoints_1_valid[0:0] + 3/8: $0\DebugPlugin_hardwareBreakpoints_0_valid[0:0] + 4/8: $0\DebugPlugin_haltedByBreak[0:0] + 5/8: $0\DebugPlugin_godmode[0:0] + 6/8: $0\DebugPlugin_stepIt[0:0] + 7/8: $0\DebugPlugin_haltIt[0:0] + 8/8: $0\DebugPlugin_resetIt[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5390$943'. + 1/5: $0\DebugPlugin_firstCycle[0:0] + 2/5: $0\DebugPlugin_busReadDataReg[31:0] + 3/5: $0\DebugPlugin_hardwareBreakpoints_2_pc[30:0] + 4/5: $0\DebugPlugin_hardwareBreakpoints_1_pc[30:0] + 5/5: $0\DebugPlugin_hardwareBreakpoints_0_pc[30:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + 1/92: $0\memory_MulDivIterativePlugin_rs1[32:0] [32] + 2/92: $0\memory_MulDivIterativePlugin_accumulator[64:0] [31:0] + 3/92: $0\memory_MulDivIterativePlugin_accumulator[64:0] [64:32] + 4/92: $0\execute_CsrPlugin_csr_2946[0:0] + 5/92: $0\execute_CsrPlugin_csr_2818[0:0] + 6/92: $0\execute_CsrPlugin_csr_2944[0:0] + 7/92: $0\execute_CsrPlugin_csr_2816[0:0] + 8/92: $0\execute_CsrPlugin_csr_834[0:0] + 9/92: $0\execute_CsrPlugin_csr_773[0:0] + 10/92: $0\execute_CsrPlugin_csr_772[0:0] + 11/92: $0\execute_CsrPlugin_csr_836[0:0] + 12/92: $0\execute_CsrPlugin_csr_768[0:0] + 13/92: $0\decode_to_execute_RS1[31:0] + 14/92: $0\memory_to_writeBack_MEMORY_ENABLE[0:0] + 15/92: $0\execute_to_memory_MEMORY_ENABLE[0:0] + 16/92: $0\decode_to_execute_MEMORY_ENABLE[0:0] + 17/92: $0\execute_to_memory_BRANCH_DO[0:0] + 18/92: $0\decode_to_execute_IS_RS2_SIGNED[0:0] + 19/92: $0\execute_to_memory_MUL_HH[31:0] + 20/92: $0\memory_to_writeBack_FORMAL_PC_NEXT[31:0] + 21/92: $0\execute_to_memory_FORMAL_PC_NEXT[31:0] + 22/92: $0\decode_to_execute_FORMAL_PC_NEXT[31:0] + 23/92: $0\decode_to_execute_ALU_CTRL[1:0] + 24/92: $0\decode_to_execute_IS_CSR[0:0] + 25/92: $0\decode_to_execute_ALU_BITWISE_CTRL[1:0] + 26/92: $0\decode_to_execute_SRC2_FORCE_ZERO[0:0] + 27/92: $0\execute_to_memory_IS_DIV[0:0] + 28/92: $0\decode_to_execute_IS_DIV[0:0] + 29/92: $0\execute_to_memory_MUL_LH[31:0] + 30/92: $0\memory_to_writeBack_MEMORY_STORE[0:0] + 31/92: $0\execute_to_memory_MEMORY_STORE[0:0] + 32/92: $0\decode_to_execute_MEMORY_STORE[0:0] + 33/92: $0\execute_to_memory_MUL_HL[31:0] + 34/92: $0\memory_to_writeBack_REGFILE_WRITE_VALID[0:0] + 35/92: $0\execute_to_memory_REGFILE_WRITE_VALID[0:0] + 36/92: $0\decode_to_execute_REGFILE_WRITE_VALID[0:0] + 37/92: $0\execute_to_memory_BRANCH_CALC[31:0] + 38/92: $0\decode_to_execute_CSR_WRITE_OPCODE[0:0] + 39/92: $0\execute_to_memory_SHIFT_RIGHT[31:0] + 40/92: $0\memory_to_writeBack_PC[31:0] + 41/92: $0\execute_to_memory_PC[31:0] + 42/92: $0\decode_to_execute_PC[31:0] + 43/92: $0\decode_to_execute_IS_RS1_SIGNED[0:0] + 44/92: $0\decode_to_execute_BYPASSABLE_EXECUTE_STAGE[0:0] + 45/92: $0\decode_to_execute_SRC_USE_SUB_LESS[0:0] + 46/92: $0\memory_to_writeBack_MUL[63:0] + 47/92: $0\memory_to_writeBack_SRC2[31:0] + 48/92: $0\execute_to_memory_SRC2[31:0] + 49/92: $0\decode_to_execute_SRC2[31:0] + 50/92: $0\execute_to_memory_INSTRUCTION[31:0] + 51/92: $0\decode_to_execute_INSTRUCTION[31:0] + 52/92: $0\memory_to_writeBack_IS_MUL[0:0] + 53/92: $0\execute_to_memory_IS_MUL[0:0] + 54/92: $0\decode_to_execute_IS_MUL[0:0] + 55/92: $0\execute_to_memory_BYPASSABLE_MEMORY_STAGE[0:0] + 56/92: $0\decode_to_execute_BYPASSABLE_MEMORY_STAGE[0:0] + 57/92: $0\decode_to_execute_BRANCH_CTRL[1:0] + 58/92: $0\decode_to_execute_DO_EBREAK[0:0] + 59/92: $0\memory_to_writeBack_SRC1[31:0] + 60/92: $0\execute_to_memory_SRC1[31:0] + 61/92: $0\decode_to_execute_SRC1[31:0] + 62/92: $0\decode_to_execute_SRC_LESS_UNSIGNED[0:0] + 63/92: $0\memory_to_writeBack_MEMORY_ADDRESS_LOW[1:0] + 64/92: $0\execute_to_memory_MEMORY_ADDRESS_LOW[1:0] + 65/92: $0\decode_to_execute_CSR_READ_OPCODE[0:0] + 66/92: $0\memory_to_writeBack_MEMORY_READ_DATA[31:0] + 67/92: $0\decode_to_execute_RS2[31:0] + 68/92: $0\execute_to_memory_REGFILE_WRITE_DATA[31:0] + 69/92: $0\execute_to_memory_SHIFT_CTRL[1:0] + 70/92: $0\decode_to_execute_SHIFT_CTRL[1:0] + 71/92: $0\memory_to_writeBack_ENV_CTRL[0:0] + 72/92: $0\execute_to_memory_ENV_CTRL[0:0] + 73/92: $0\decode_to_execute_ENV_CTRL[0:0] + 74/92: $0\execute_to_memory_MUL_LL[31:0] + 75/92: $0\memory_MulDivIterativePlugin_div_result[31:0] + 76/92: $0\memory_MulDivIterativePlugin_div_done[0:0] + 77/92: $0\memory_MulDivIterativePlugin_div_needRevert[0:0] + 78/92: $0\memory_MulDivIterativePlugin_rs1[32:0] [31:0] + 79/92: $0\memory_MulDivIterativePlugin_rs2[31:0] + 80/92: $0\CsrPlugin_mip_MSIP[0:0] + 81/92: $0\CsrPlugin_interrupt_targetPrivilege[1:0] + 82/92: $0\CsrPlugin_interrupt_code[3:0] + 83/92: $0\CsrPlugin_minstret[63:0] + 84/92: $0\CsrPlugin_mcause_exceptionCode[3:0] + 85/92: $0\CsrPlugin_mcause_interrupt[0:0] + 86/92: $0\CsrPlugin_mepc[31:0] + 87/92: $0\IBusSimplePlugin_injector_formal_rawInDecode[31:0] + 88/92: $0\_zz_63_[0:0] + 89/92: $0\_zz_62_[31:0] + 90/92: $0\_zz_61_[0:0] + 91/92: $0\_zz_60_[31:0] + 92/92: $0\_zz_58_[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + 1/38: $0\memory_MulDivIterativePlugin_div_counter_value[5:0] + 2/38: $0\_zz_100_[0:0] + 3/38: $0\_zz_88_[0:0] + 4/38: $0\execute_CsrPlugin_wfiWake[0:0] + 5/38: $0\CsrPlugin_hadException[0:0] + 6/38: $0\CsrPlugin_interrupt_valid[0:0] + 7/38: $0\IBusSimplePlugin_rspJoin_rspBuffer_discardCounter[2:0] + 8/38: $0\IBusSimplePlugin_pending_value[2:0] + 9/38: $0\IBusSimplePlugin_fetchPc_booted[0:0] + 10/38: $0\_zz_125_[2:0] + 11/38: $0\memory_to_writeBack_INSTRUCTION[31:0] + 12/38: $0\memory_to_writeBack_REGFILE_WRITE_DATA[31:0] + 13/38: $0\CsrPlugin_pipelineLiberator_pcValids_2[0:0] + 14/38: $0\CsrPlugin_pipelineLiberator_pcValids_1[0:0] + 15/38: $0\CsrPlugin_pipelineLiberator_pcValids_0[0:0] + 16/38: $0\CsrPlugin_mie_MSIE[0:0] + 17/38: $0\CsrPlugin_mie_MTIE[0:0] + 18/38: $0\CsrPlugin_mie_MEIE[0:0] + 19/38: $0\CsrPlugin_mstatus_MPP[1:0] + 20/38: $0\CsrPlugin_mstatus_MPIE[0:0] + 21/38: $0\CsrPlugin_mstatus_MIE[0:0] + 22/38: $0\CsrPlugin_mtvec_base[29:0] + 23/38: $0\CsrPlugin_mtvec_mode[1:0] + 24/38: $0\IBusSimplePlugin_injector_nextPcCalc_valids_5[0:0] + 25/38: $0\IBusSimplePlugin_injector_nextPcCalc_valids_4[0:0] + 26/38: $0\IBusSimplePlugin_injector_nextPcCalc_valids_3[0:0] + 27/38: $0\IBusSimplePlugin_injector_nextPcCalc_valids_2[0:0] + 28/38: $0\IBusSimplePlugin_injector_nextPcCalc_valids_1[0:0] + 29/38: $0\IBusSimplePlugin_injector_nextPcCalc_valids_0[0:0] + 30/38: $0\_zz_59_[0:0] + 31/38: $0\_zz_57_[0:0] + 32/38: $0\_zz_55_[0:0] + 33/38: $0\IBusSimplePlugin_fetchPc_inc[0:0] + 34/38: $0\IBusSimplePlugin_fetchPc_correctionReg[0:0] + 35/38: $0\IBusSimplePlugin_fetchPc_pcReg[31:0] + 36/38: $0\writeBack_arbitration_isValid[0:0] + 37/38: $0\memory_arbitration_isValid[0:0] + 38/38: $0\execute_arbitration_isValid[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4808$781'. + 1/1: $1\_zz_134_[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4801$780'. + 1/1: $1\_zz_133_[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4794$779'. + 1/1: $1\_zz_132_[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4787$778'. + 1/1: $1\_zz_131_[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4779$777'. + 1/2: $1\_zz_130_[3:0] + 2/2: $2\_zz_130_[31:31] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4771$776'. + 1/2: $1\_zz_129_[31:0] [31:2] + 2/2: $1\_zz_129_[31:0] [1:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4762$775'. + 1/3: $1\_zz_128_[3:3] + 2/3: $2\_zz_128_[7:7] + 3/3: $3\_zz_128_[11:11] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4753$774'. + 1/3: $1\_zz_127_[3:3] + 2/3: $2\_zz_127_[7:7] + 3/3: $3\_zz_127_[11:11] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4744$773'. + 1/3: $1\_zz_126_[3:3] + 2/3: $2\_zz_126_[7:7] + 3/3: $3\_zz_126_[12:11] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4725$772'. + 1/1: $1\IBusSimplePlugin_injectionPort_ready[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4657$718'. + 1/3: $3\IBusSimplePlugin_injectionPort_valid[0:0] + 2/3: $2\IBusSimplePlugin_injectionPort_valid[0:0] + 3/3: $1\IBusSimplePlugin_injectionPort_valid[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4646$716'. + 1/5: $1\debug_bus_rsp_data[4:0] [4] + 2/5: $1\debug_bus_rsp_data[4:0] [2] + 3/5: $1\debug_bus_rsp_data[4:0] [1] + 4/5: $1\debug_bus_rsp_data[4:0] [0] + 5/5: $1\debug_bus_rsp_data[4:0] [3] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4623$715'. + 1/3: $3\debug_bus_cmd_ready[0:0] + 2/3: $2\debug_bus_cmd_ready[0:0] + 3/3: $1\debug_bus_cmd_ready[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4618$713'. +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4599$703'. + 1/2: $2\memory_MulDivIterativePlugin_div_counter_valueNext[5:0] + 2/2: $1\memory_MulDivIterativePlugin_div_counter_valueNext[5:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4590$700'. + 1/1: $1\memory_MulDivIterativePlugin_div_counter_willClear[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4581$699'. + 1/2: $2\memory_MulDivIterativePlugin_div_counter_willIncrement[0:0] + 2/2: $1\memory_MulDivIterativePlugin_div_counter_willIncrement[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4564$694'. + 1/1: $1\writeBack_Mul16Plugin_bSigned[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4550$693'. + 1/1: $1\writeBack_Mul16Plugin_aSigned[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4521$688'. + 1/1: $1\_zz_118_[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4499$687'. +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4475$686'. +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4460$685'. +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4441$682'. + 1/1: $1\_zz_111_[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4429$675'. + 1/3: $3\_zz_110_[0:0] + 2/3: $2\_zz_110_[0:0] + 3/3: $1\_zz_110_[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4393$666'. + 1/10: $10\_zz_99_[0:0] + 2/10: $9\_zz_99_[0:0] + 3/10: $8\_zz_99_[0:0] + 4/10: $7\_zz_99_[0:0] + 5/10: $6\_zz_99_[0:0] + 6/10: $5\_zz_99_[0:0] + 7/10: $4\_zz_99_[0:0] + 8/10: $3\_zz_99_[0:0] + 9/10: $2\_zz_99_[0:0] + 10/10: $1\_zz_99_[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4365$664'. + 1/10: $10\_zz_98_[0:0] + 2/10: $9\_zz_98_[0:0] + 3/10: $8\_zz_98_[0:0] + 4/10: $7\_zz_98_[0:0] + 5/10: $6\_zz_98_[0:0] + 6/10: $5\_zz_98_[0:0] + 7/10: $4\_zz_98_[0:0] + 8/10: $3\_zz_98_[0:0] + 9/10: $2\_zz_98_[0:0] + 10/10: $1\_zz_98_[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4330$663'. +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4294$660'. +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4285$656'. + 1/1: $1\execute_SrcPlugin_addSub[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4268$655'. + 1/1: $1\_zz_95_[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4245$654'. +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4221$653'. +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4203$652'. + 1/1: $1\_zz_90_[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4189$651'. + 1/1: $1\_zz_89_[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4175$647'. + 1/1: $1\execute_IntAluPlugin_bitwise[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4166$645'. + 1/1: $1\lastStageRegFileWrite_valid[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4130$624'. + 1/1: $1\execute_CsrPlugin_writeData[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4116$608'. + 1/2: $2\execute_CsrPlugin_illegalInstruction[0:0] + 2/2: $1\execute_CsrPlugin_illegalInstruction[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4069$603'. + 1/16: $16\execute_CsrPlugin_illegalAccess[0:0] + 2/16: $15\execute_CsrPlugin_illegalAccess[0:0] + 3/16: $14\execute_CsrPlugin_illegalAccess[0:0] + 4/16: $13\execute_CsrPlugin_illegalAccess[0:0] + 5/16: $12\execute_CsrPlugin_illegalAccess[0:0] + 6/16: $11\execute_CsrPlugin_illegalAccess[0:0] + 7/16: $10\execute_CsrPlugin_illegalAccess[0:0] + 8/16: $9\execute_CsrPlugin_illegalAccess[0:0] + 9/16: $8\execute_CsrPlugin_illegalAccess[0:0] + 10/16: $7\execute_CsrPlugin_illegalAccess[0:0] + 11/16: $6\execute_CsrPlugin_illegalAccess[0:0] + 12/16: $5\execute_CsrPlugin_illegalAccess[0:0] + 13/16: $4\execute_CsrPlugin_illegalAccess[0:0] + 14/16: $3\execute_CsrPlugin_illegalAccess[0:0] + 15/16: $2\execute_CsrPlugin_illegalAccess[0:0] + 16/16: $1\execute_CsrPlugin_illegalAccess[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4056$601'. + 1/1: $1\CsrPlugin_xtvec_base[29:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4045$600'. + 1/1: $1\CsrPlugin_xtvec_mode[1:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4035$597'. + 1/1: $1\CsrPlugin_pipelineLiberator_done[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4020$591'. + 1/1: $1\CsrPlugin_privilege[1:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4006$590'. + 1/1: $1\writeBack_DBusSimplePlugin_rspFormated[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3986$589'. +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3957$586'. +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3939$583'. + 1/2: $1\writeBack_DBusSimplePlugin_rspShifted[15:0] [15:8] + 2/2: $1\writeBack_DBusSimplePlugin_rspShifted[15:0] [7:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3923$581'. + 1/1: $1\_zz_67_[3:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3908$580'. + 1/1: $1\_zz_66_[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3898$570'. + 1/1: $1\execute_DBusSimplePlugin_skipCmd[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3874$561'. + 1/1: $1\IBusSimplePlugin_rspJoin_fetchRsp_rsp_error[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3839$549'. + 1/1: $1\decode_arbitration_isValid[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3818$543'. + 1/2: $2\IBusSimplePlugin_iBusRsp_readyForError[0:0] + 2/2: $1\IBusSimplePlugin_iBusRsp_readyForError[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3792$525'. + 1/1: $1\IBusSimplePlugin_iBusRsp_stages_1_halt[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3774$519'. + 1/1: $1\IBusSimplePlugin_fetchPc_flushed[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3765$517'. + 1/1: $1\IBusSimplePlugin_fetchPc_pc[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3758$516'. + 1/1: $1\IBusSimplePlugin_fetchPc_pcRegPropagate[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3750$514'. + 1/1: $1\IBusSimplePlugin_fetchPc_correction[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3739$510'. + 1/1: $1\CsrPlugin_allowException[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3732$508'. + 1/1: $1\CsrPlugin_allowInterrupts[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3725$507'. + 1/1: $1\CsrPlugin_forceMachineWire[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3709$506'. + 1/3: $3\CsrPlugin_jumpInterface_payload[31:0] + 2/3: $2\CsrPlugin_jumpInterface_payload[31:0] + 3/3: $1\CsrPlugin_jumpInterface_payload[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3699$505'. + 1/2: $2\CsrPlugin_jumpInterface_valid[0:0] + 2/2: $1\CsrPlugin_jumpInterface_valid[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3692$504'. + 1/1: $1\CsrPlugin_thirdPartyWake[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3681$502'. + 1/2: $2\IBusSimplePlugin_incomingInstruction[0:0] + 2/2: $1\IBusSimplePlugin_incomingInstruction[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3660$501'. + 1/6: $6\IBusSimplePlugin_fetcherHalt[0:0] + 2/6: $5\IBusSimplePlugin_fetcherHalt[0:0] + 3/6: $4\IBusSimplePlugin_fetcherHalt[0:0] + 4/6: $3\IBusSimplePlugin_fetcherHalt[0:0] + 5/6: $2\IBusSimplePlugin_fetcherHalt[0:0] + 6/6: $1\IBusSimplePlugin_fetcherHalt[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3646$500'. + 1/2: $2\writeBack_arbitration_flushNext[0:0] + 2/2: $1\writeBack_arbitration_flushNext[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3638$499'. + 1/1: $1\writeBack_arbitration_removeIt[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3629$498'. + 1/1: $1\memory_arbitration_flushNext[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3621$497'. + 1/1: $1\memory_arbitration_removeIt[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3608$487'. + 1/3: $3\memory_arbitration_haltItself[0:0] + 2/3: $2\memory_arbitration_haltItself[0:0] + 3/3: $1\memory_arbitration_haltItself[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3599$486'. + 1/2: $2\execute_arbitration_flushNext[0:0] + 2/2: $1\execute_arbitration_flushNext[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3590$485'. + 1/2: $2\execute_arbitration_flushIt[0:0] + 2/2: $1\execute_arbitration_flushIt[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3583$484'. + 1/1: $1\execute_arbitration_removeIt[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3576$483'. + 1/1: $1\execute_arbitration_haltByOther[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3564$475'. + 1/3: $3\execute_arbitration_haltItself[0:0] + 2/3: $2\execute_arbitration_haltItself[0:0] + 3/3: $1\execute_arbitration_haltItself[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3555$474'. + 1/1: $1\decode_arbitration_removeIt[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3542$464'. + 1/3: $3\decode_arbitration_haltByOther[0:0] + 2/3: $2\decode_arbitration_haltByOther[0:0] + 3/3: $1\decode_arbitration_haltByOther[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3523$463'. + 1/1: $1\decode_arbitration_haltItself[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3512$462'. + 1/1: $1\_zz_48_[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3484$459'. + 1/3: $3\_zz_47_[31:0] + 2/3: $2\_zz_47_[31:0] + 3/3: $1\_zz_47_[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3469$458'. + 1/1: $1\_zz_43_[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3462$456'. + 1/1: $1\decode_REGFILE_WRITE_VALID[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3454$454'. + 1/1: $1\_zz_35_[0:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3416$453'. + 1/3: $3\_zz_23_[31:0] + 2/3: $2\_zz_23_[31:0] + 3/3: $1\_zz_23_[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3385$451'. + 1/11: $11\decode_RS1[31:0] + 2/11: $10\decode_RS1[31:0] + 3/11: $9\decode_RS1[31:0] + 4/11: $8\decode_RS1[31:0] + 5/11: $7\decode_RS1[31:0] + 6/11: $6\decode_RS1[31:0] + 7/11: $5\decode_RS1[31:0] + 8/11: $4\decode_RS1[31:0] + 9/11: $3\decode_RS1[31:0] + 10/11: $2\decode_RS1[31:0] + 11/11: $1\decode_RS1[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3355$449'. + 1/11: $11\decode_RS2[31:0] + 2/11: $10\decode_RS2[31:0] + 3/11: $9\decode_RS2[31:0] + 4/11: $8\decode_RS2[31:0] + 5/11: $7\decode_RS2[31:0] + 6/11: $6\decode_RS2[31:0] + 7/11: $5\decode_RS2[31:0] + 8/11: $4\decode_RS2[31:0] + 9/11: $3\decode_RS2[31:0] + 10/11: $2\decode_RS2[31:0] + 11/11: $1\decode_RS2[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:2696$417'. + 1/3: $0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 + 2/3: $0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_DATA[31:0]$419 + 3/3: $0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_ADDR[4:0]$418 +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:2690$415'. + 1/1: $0\_zz_138_[31:0] +Creating decoders for process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:2684$413'. + 1/1: $0\_zz_137_[31:0] +Creating decoders for process `\BufferCC_2_.$proc$PQVexRiscvUlx3s.v:1453$234'. +Creating decoders for process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1421$231'. + 1/3: $0\popPtr_value[2:0] + 2/3: $0\pushPtr_value[2:0] + 3/3: $0\risingOccupancy[0:0] +Creating decoders for process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1413$227'. + 1/1: $1\io_occupancy[2:0] +Creating decoders for process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1393$216'. + 1/2: $2\popPtr_valueNext[2:0] + 2/2: $1\popPtr_valueNext[2:0] +Creating decoders for process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1384$213'. + 1/1: $1\popPtr_willClear[0:0] +Creating decoders for process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1377$212'. + 1/1: $1\popPtr_willIncrement[0:0] +Creating decoders for process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1366$210'. + 1/2: $2\pushPtr_valueNext[2:0] + 2/2: $1\pushPtr_valueNext[2:0] +Creating decoders for process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1357$207'. + 1/1: $1\pushPtr_willClear[0:0] +Creating decoders for process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1350$206'. + 1/1: $1\pushPtr_willIncrement[0:0] +Creating decoders for process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1343$205'. + 1/1: $1\_zz_1_[0:0] +Creating decoders for process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1337$201'. + 1/3: $0$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_EN[1:0]$204 + 2/3: $0$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_DATA[1:0]$203 + 3/3: $0$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_ADDR[2:0]$202 +Creating decoders for process `\StreamFork.$proc$PQVexRiscvUlx3s.v:1270$195'. + 1/2: $0\_zz_1_[0:0] + 2/2: $0\_zz_2_[0:0] +Creating decoders for process `\StreamFork.$proc$PQVexRiscvUlx3s.v:1250$188'. + 1/2: $2\io_input_ready[0:0] + 2/2: $1\io_input_ready[0:0] +Creating decoders for process `\StreamArbiter.$proc$PQVexRiscvUlx3s.v:1215$187'. + 1/2: $0\maskLocked_1[0:0] + 2/2: $0\maskLocked_0[0:0] +Creating decoders for process `\StreamArbiter.$proc$PQVexRiscvUlx3s.v:1202$185'. + 1/1: $0\locked[0:0] +Creating decoders for process `\InterruptCtrl.$proc$PQVexRiscvUlx3s.v:1138$167'. + 1/1: $0\pendings[1:0] +Creating decoders for process `\Timer.$proc$PQVexRiscvUlx3s.v:1114$164'. + 1/1: $0\counter[15:0] +Creating decoders for process `\Timer.$proc$PQVexRiscvUlx3s.v:1101$163'. + 1/1: $0\inhibitFull[0:0] +Creating decoders for process `\Prescaler.$proc$PQVexRiscvUlx3s.v:1071$155'. + 1/1: $0\counter[15:0] +Creating decoders for process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1038$150'. + 1/4: $0\_zz_2_[0:0] + 2/4: $0\logic_popPtr_value[3:0] + 3/4: $0\logic_pushPtr_value[3:0] + 4/4: $0\logic_risingOccupancy[0:0] +Creating decoders for process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1020$132'. + 1/1: $1\logic_popPtr_valueNext[3:0] +Creating decoders for process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1011$129'. + 1/1: $1\logic_popPtr_willClear[0:0] +Creating decoders for process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1004$128'. + 1/1: $1\logic_popPtr_willIncrement[0:0] +Creating decoders for process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:997$126'. + 1/1: $1\logic_pushPtr_valueNext[3:0] +Creating decoders for process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:988$123'. + 1/1: $1\logic_pushPtr_willClear[0:0] +Creating decoders for process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:981$122'. + 1/1: $1\logic_pushPtr_willIncrement[0:0] +Creating decoders for process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:974$121'. + 1/1: $1\_zz_1_[0:0] +Creating decoders for process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:968$117'. + 1/3: $0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 + 2/3: $0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_DATA[7:0]$119 + 3/3: $0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_ADDR[3:0]$118 +Creating decoders for process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:962$115'. + 1/1: $0\_zz_3_[7:0] +Creating decoders for process `\UartCtrl.$proc$PQVexRiscvUlx3s.v:899$111'. + 1/1: $0\clockDivider_counter[19:0] +Creating decoders for process `\UartCtrl.$proc$PQVexRiscvUlx3s.v:884$110'. + 1/1: $1\io_write_ready[0:0] +Creating decoders for process `\UartCtrl.$proc$PQVexRiscvUlx3s.v:877$109'. + 1/1: $1\io_write_thrown_valid[0:0] +Creating decoders for process `\FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:741$107'. +Creating decoders for process `\FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:780$106'. + 1/1: $0\outputArea_flow_regNext_valid[0:0] +Creating decoders for process `\FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:774$105'. +Creating decoders for process `\FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:766$103'. + 1/3: $0\inputArea_data_fragment[0:0] + 2/3: $0\inputArea_data_last[0:0] + 3/3: $0\inputArea_target[0:0] +Creating decoders for process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:719$101'. + 1/1: $0\_zz_3_[32:0] +Creating decoders for process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:706$99'. + 1/1: $0\risingOccupancy[0:0] +Creating decoders for process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:697$97'. + 1/1: $1\io_pop_payload_inst[31:0] +Creating decoders for process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:689$96'. + 1/1: $1\io_pop_payload_error[0:0] +Creating decoders for process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:680$95'. + 1/1: $1\io_pop_valid[0:0] +Creating decoders for process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:665$87'. + 1/1: $1\popPtr_willClear[0:0] +Creating decoders for process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:658$86'. + 1/1: $1\popPtr_willIncrement[0:0] +Creating decoders for process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:649$84'. + 1/1: $1\pushPtr_willClear[0:0] +Creating decoders for process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:642$83'. + 1/1: $1\pushPtr_willIncrement[0:0] +Creating decoders for process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:635$82'. + 1/1: $1\_zz_1_[0:0] +Creating decoders for process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:553$61'. + 1/9: $2$lookahead\stateMachine_shifter$60[7:0]$74 + 2/9: $2$bitselwrite$data$PQVexRiscvUlx3s.v:580$28[7:0]$73 + 3/9: $2$bitselwrite$mask$PQVexRiscvUlx3s.v:580$27[7:0]$72 + 4/9: $1$lookahead\stateMachine_shifter$60[7:0]$70 + 5/9: $1$bitselwrite$data$PQVexRiscvUlx3s.v:580$28[7:0]$69 + 6/9: $1$bitselwrite$mask$PQVexRiscvUlx3s.v:580$27[7:0]$68 + 7/9: $0\bitCounter_value[2:0] + 8/9: $0\bitTimer_counter[2:0] + 9/9: $0\stateMachine_parity[0:0] +Creating decoders for process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:474$43'. + 1/8: $0\stateMachine_validReg[0:0] + 2/8: $0\sampler_tick[0:0] + 3/8: $0\sampler_value[0:0] + 4/8: $0\_zz_1_[0:0] + 5/8: $0\break_counter[6:0] + 6/8: $0\sampler_samples_2[0:0] + 7/8: $0\sampler_samples_1[0:0] + 8/8: $0\stateMachine_state[2:0] +Creating decoders for process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:461$41'. + 1/2: $2\bitTimer_tick[0:0] + 2/2: $1\bitTimer_tick[0:0] +Creating decoders for process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:431$39'. + 1/5: $5\io_error[0:0] + 2/5: $4\io_error[0:0] + 3/5: $3\io_error[0:0] + 4/5: $2\io_error[0:0] + 5/5: $1\io_error[0:0] +Creating decoders for process `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:306$23'. + 1/2: $0\stateMachine_parity[0:0] + 2/2: $0\tickCounter_value[2:0] +Creating decoders for process `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:260$14'. + 1/3: $0\_zz_1_[0:0] + 2/3: $0\clockDivider_counter_value[2:0] + 3/3: $0\stateMachine_state[2:0] +Creating decoders for process `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:238$13'. + 1/3: $3\io_write_ready[0:0] + 2/3: $2\io_write_ready[0:0] + 3/3: $1\io_write_ready[0:0] +Creating decoders for process `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:219$11'. + 1/1: $1\stateMachine_txd[0:0] +Creating decoders for process `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:208$9'. + 1/2: $2\clockDivider_counter_valueNext[2:0] + 2/2: $1\clockDivider_counter_valueNext[2:0] +Creating decoders for process `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:198$6'. + 1/1: $1\clockDivider_counter_willIncrement[0:0] +Creating decoders for process `\BufferCC_1_.$proc$PQVexRiscvUlx3s.v:120$2'. +Creating decoders for process `\BufferCC.$proc$PQVexRiscvUlx3s.v:97$1'. + 1/2: $0\buffers_1[0:0] + 2/2: $0\buffers_0[0:0] + +2.4.7. Executing PROC_DLATCH pass (convert process syncs to latches). +No latch inferred for signal `\PQVexRiscvUlx3s.\_zz_22_' from process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8106$1246'. +No latch inferred for signal `\PQVexRiscvUlx3s.\core_externalInterrupt' from process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8084$1241'. +No latch inferred for signal `\PQVexRiscvUlx3s.\core_timerInterrupt' from process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8077$1240'. +No latch inferred for signal `\PipelinedMemoryBusArbiter_1_.\streamFork_2__io_outputs_1_translated_ready' from process `\PipelinedMemoryBusArbiter_1_.$proc$PQVexRiscvUlx3s.v:7489$1233'. +No latch inferred for signal `\PipelinedMemoryBusArbiter_1_.\streamFork_2__io_outputs_1_translated_thrown_valid' from process `\PipelinedMemoryBusArbiter_1_.$proc$PQVexRiscvUlx3s.v:7482$1232'. +No latch inferred for signal `\PipelinedMemoryBusDecoder_1_.\io_input_cmd_ready' from process `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7294$1210'. +No latch inferred for signal `\PipelinedMemoryBusDecoder_1_.\io_outputs_1_cmd_valid' from process `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7281$1206'. +No latch inferred for signal `\PipelinedMemoryBusDecoder_1_.\io_outputs_0_cmd_valid' from process `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7268$1202'. +No latch inferred for signal `\PipelinedMemoryBusDecoder_1_.\_zz_3_' from process `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7256$1199'. +No latch inferred for signal `\PipelinedMemoryBusDecoder.\io_input_cmd_ready' from process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7172$1170'. +No latch inferred for signal `\PipelinedMemoryBusDecoder.\io_outputs_2_cmd_valid' from process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7159$1166'. +No latch inferred for signal `\PipelinedMemoryBusDecoder.\io_outputs_1_cmd_valid' from process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7146$1162'. +No latch inferred for signal `\PipelinedMemoryBusDecoder.\io_outputs_0_cmd_valid' from process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7133$1158'. +No latch inferred for signal `\PipelinedMemoryBusDecoder.\_zz_4_' from process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7118$1155'. +No latch inferred for signal `\PipelinedMemoryBusRamUlx3s.\_zz_4_' from process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7010$1115'. +No latch inferred for signal `\Apb3Router.\_zz_3_' from process `\Apb3Router.$proc$PQVexRiscvUlx3s.v:6938$1109'. +No latch inferred for signal `\Apb3Router.\_zz_4_' from process `\Apb3Router.$proc$PQVexRiscvUlx3s.v:6938$1109'. +No latch inferred for signal `\Apb3Router.\_zz_5_' from process `\Apb3Router.$proc$PQVexRiscvUlx3s.v:6938$1109'. +No latch inferred for signal `\Apb3Decoder.\io_input_PSLVERROR' from process `\Apb3Decoder.$proc$PQVexRiscvUlx3s.v:6885$1108'. +No latch inferred for signal `\Apb3Decoder.\io_input_PREADY' from process `\Apb3Decoder.$proc$PQVexRiscvUlx3s.v:6877$1107'. +No latch inferred for signal `\Apb3Decoder.\io_output_PSEL' from process `\Apb3Decoder.$proc$PQVexRiscvUlx3s.v:6871$1097'. +No latch inferred for signal `\MyMem.\io_bus_PRDATA' from process `\MyMem.$proc$PQVexRiscvUlx3s.v:6815$1081'. +No latch inferred for signal `\MuraxApb3Timer.\_zz_11_' from process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6709$1077'. +No latch inferred for signal `\MuraxApb3Timer.\_zz_12_' from process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6680$1076'. +No latch inferred for signal `\MuraxApb3Timer.\_zz_6_' from process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6649$1070'. +No latch inferred for signal `\MuraxApb3Timer.\_zz_5_' from process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6620$1069'. +No latch inferred for signal `\MuraxApb3Timer.\timerBBridge_busClearing' from process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6610$1068'. +No latch inferred for signal `\MuraxApb3Timer.\_zz_4_' from process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6579$1062'. +No latch inferred for signal `\MuraxApb3Timer.\_zz_3_' from process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6550$1061'. +No latch inferred for signal `\MuraxApb3Timer.\timerABridge_busClearing' from process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6540$1060'. +No latch inferred for signal `\MuraxApb3Timer.\_zz_2_' from process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6511$1059'. +No latch inferred for signal `\MuraxApb3Timer.\io_apb_PRDATA' from process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6469$1046'. +No latch inferred for signal `\Apb3UartCtrl.$func$\zz_bridge_uartConfigReg_clockDivider$PQVexRiscvUlx3s.v:6175$1006$\zz_bridge_uartConfigReg_clockDivider' from process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:0$1043'. +No latch inferred for signal `\Apb3UartCtrl.$func$\zz_bridge_uartConfigReg_clockDivider$PQVexRiscvUlx3s.v:6175$1007$\zz_bridge_uartConfigReg_clockDivider' from process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:0$1043'. +No latch inferred for signal `\Apb3UartCtrl.\_zz_6_' from process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6303$1036'. +No latch inferred for signal `\Apb3UartCtrl.\_zz_5_' from process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6286$1035'. +No latch inferred for signal `\Apb3UartCtrl.\_zz_4_' from process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6269$1034'. +No latch inferred for signal `\Apb3UartCtrl.\_zz_3_' from process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6252$1033'. +No latch inferred for signal `\Apb3UartCtrl.\_zz_2_' from process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6235$1032'. +No latch inferred for signal `\Apb3UartCtrl.\bridge_read_streamBreaked_ready' from process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6215$1027'. +No latch inferred for signal `\Apb3UartCtrl.\_zz_8_' from process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6207$1026'. +No latch inferred for signal `\Apb3UartCtrl.\bridge_read_streamBreaked_valid' from process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6200$1025'. +No latch inferred for signal `\Apb3UartCtrl.\_zz_1_' from process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6180$1024'. +No latch inferred for signal `\Apb3UartCtrl.\bridge_uartConfigReg_clockDivider' from process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6176$1023'. +No latch inferred for signal `\Apb3UartCtrl.\io_apb_PRDATA' from process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6144$1009'. +No latch inferred for signal `\PipelinedMemoryBusToApbBridge.\pipelinedMemoryBusStage_rsp_valid' from process `\PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5944$1001'. +No latch inferred for signal `\PipelinedMemoryBusToApbBridge.\pipelinedMemoryBusStage_cmd_ready' from process `\PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5930$999'. +No latch inferred for signal `\JtagBridge.\jtag_writeArea_source_valid' from process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5738$974'. +No latch inferred for signal `\JtagBridge.\jtag_tap_tdoUnbufferd' from process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5710$971'. +No latch inferred for signal `\JtagBridge.\_zz_1_' from process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5656$954'. +No latch inferred for signal `\VexRiscv.\_zz_134_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4808$781'. +No latch inferred for signal `\VexRiscv.\_zz_133_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4801$780'. +No latch inferred for signal `\VexRiscv.\_zz_132_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4794$779'. +No latch inferred for signal `\VexRiscv.\_zz_131_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4787$778'. +No latch inferred for signal `\VexRiscv.\_zz_130_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4779$777'. +No latch inferred for signal `\VexRiscv.\_zz_129_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4771$776'. +No latch inferred for signal `\VexRiscv.\_zz_128_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4762$775'. +No latch inferred for signal `\VexRiscv.\_zz_127_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4753$774'. +No latch inferred for signal `\VexRiscv.\_zz_126_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4744$773'. +No latch inferred for signal `\VexRiscv.\IBusSimplePlugin_injectionPort_ready' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4725$772'. +No latch inferred for signal `\VexRiscv.\IBusSimplePlugin_injectionPort_valid' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4657$718'. +No latch inferred for signal `\VexRiscv.\debug_bus_rsp_data' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4646$716'. +No latch inferred for signal `\VexRiscv.\debug_bus_cmd_ready' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4623$715'. +No latch inferred for signal `\VexRiscv.\_zz_123_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4618$713'. +No latch inferred for signal `\VexRiscv.\memory_MulDivIterativePlugin_div_counter_valueNext' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4599$703'. +No latch inferred for signal `\VexRiscv.\memory_MulDivIterativePlugin_div_counter_willClear' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4590$700'. +No latch inferred for signal `\VexRiscv.\memory_MulDivIterativePlugin_div_counter_willIncrement' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4581$699'. +No latch inferred for signal `\VexRiscv.\writeBack_Mul16Plugin_bSigned' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4564$694'. +No latch inferred for signal `\VexRiscv.\writeBack_Mul16Plugin_aSigned' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4550$693'. +No latch inferred for signal `\VexRiscv.\_zz_118_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4521$688'. +No latch inferred for signal `\VexRiscv.\_zz_117_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4499$687'. +No latch inferred for signal `\VexRiscv.\_zz_115_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4475$686'. +No latch inferred for signal `\VexRiscv.\_zz_113_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4460$685'. +No latch inferred for signal `\VexRiscv.\_zz_111_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4441$682'. +No latch inferred for signal `\VexRiscv.\_zz_110_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4429$675'. +No latch inferred for signal `\VexRiscv.\_zz_99_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4393$666'. +No latch inferred for signal `\VexRiscv.\_zz_98_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4365$664'. +No latch inferred for signal `\VexRiscv.\_zz_97_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4330$663'. +No latch inferred for signal `\VexRiscv.\_zz_96_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4294$660'. +No latch inferred for signal `\VexRiscv.\execute_SrcPlugin_addSub' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4285$656'. +No latch inferred for signal `\VexRiscv.\_zz_95_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4268$655'. +No latch inferred for signal `\VexRiscv.\_zz_94_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4245$654'. +No latch inferred for signal `\VexRiscv.\_zz_92_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4221$653'. +No latch inferred for signal `\VexRiscv.\_zz_90_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4203$652'. +No latch inferred for signal `\VexRiscv.\_zz_89_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4189$651'. +No latch inferred for signal `\VexRiscv.\execute_IntAluPlugin_bitwise' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4175$647'. +No latch inferred for signal `\VexRiscv.\lastStageRegFileWrite_valid' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4166$645'. +No latch inferred for signal `\VexRiscv.\execute_CsrPlugin_writeData' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4130$624'. +No latch inferred for signal `\VexRiscv.\execute_CsrPlugin_illegalInstruction' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4116$608'. +No latch inferred for signal `\VexRiscv.\execute_CsrPlugin_illegalAccess' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4069$603'. +No latch inferred for signal `\VexRiscv.\CsrPlugin_xtvec_base' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4056$601'. +No latch inferred for signal `\VexRiscv.\CsrPlugin_xtvec_mode' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4045$600'. +No latch inferred for signal `\VexRiscv.\CsrPlugin_pipelineLiberator_done' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4035$597'. +No latch inferred for signal `\VexRiscv.\CsrPlugin_privilege' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4020$591'. +No latch inferred for signal `\VexRiscv.\writeBack_DBusSimplePlugin_rspFormated' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4006$590'. +No latch inferred for signal `\VexRiscv.\_zz_71_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3986$589'. +No latch inferred for signal `\VexRiscv.\_zz_69_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3957$586'. +No latch inferred for signal `\VexRiscv.\writeBack_DBusSimplePlugin_rspShifted' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3939$583'. +No latch inferred for signal `\VexRiscv.\_zz_67_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3923$581'. +No latch inferred for signal `\VexRiscv.\_zz_66_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3908$580'. +No latch inferred for signal `\VexRiscv.\execute_DBusSimplePlugin_skipCmd' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3898$570'. +No latch inferred for signal `\VexRiscv.\IBusSimplePlugin_rspJoin_fetchRsp_rsp_error' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3874$561'. +No latch inferred for signal `\VexRiscv.\decode_arbitration_isValid' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3839$549'. +No latch inferred for signal `\VexRiscv.\IBusSimplePlugin_iBusRsp_readyForError' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3818$543'. +No latch inferred for signal `\VexRiscv.\IBusSimplePlugin_iBusRsp_stages_1_halt' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3792$525'. +No latch inferred for signal `\VexRiscv.\IBusSimplePlugin_fetchPc_flushed' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3774$519'. +No latch inferred for signal `\VexRiscv.\IBusSimplePlugin_fetchPc_pc' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3765$517'. +No latch inferred for signal `\VexRiscv.\IBusSimplePlugin_fetchPc_pcRegPropagate' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3758$516'. +No latch inferred for signal `\VexRiscv.\IBusSimplePlugin_fetchPc_correction' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3750$514'. +No latch inferred for signal `\VexRiscv.\CsrPlugin_allowException' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3739$510'. +No latch inferred for signal `\VexRiscv.\CsrPlugin_allowInterrupts' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3732$508'. +No latch inferred for signal `\VexRiscv.\CsrPlugin_forceMachineWire' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3725$507'. +No latch inferred for signal `\VexRiscv.\CsrPlugin_jumpInterface_payload' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3709$506'. +No latch inferred for signal `\VexRiscv.\CsrPlugin_jumpInterface_valid' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3699$505'. +No latch inferred for signal `\VexRiscv.\CsrPlugin_thirdPartyWake' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3692$504'. +No latch inferred for signal `\VexRiscv.\IBusSimplePlugin_incomingInstruction' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3681$502'. +No latch inferred for signal `\VexRiscv.\IBusSimplePlugin_fetcherHalt' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3660$501'. +No latch inferred for signal `\VexRiscv.\writeBack_arbitration_flushNext' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3646$500'. +No latch inferred for signal `\VexRiscv.\writeBack_arbitration_removeIt' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3638$499'. +No latch inferred for signal `\VexRiscv.\memory_arbitration_flushNext' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3629$498'. +No latch inferred for signal `\VexRiscv.\memory_arbitration_removeIt' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3621$497'. +No latch inferred for signal `\VexRiscv.\memory_arbitration_haltItself' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3608$487'. +No latch inferred for signal `\VexRiscv.\execute_arbitration_flushNext' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3599$486'. +No latch inferred for signal `\VexRiscv.\execute_arbitration_flushIt' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3590$485'. +No latch inferred for signal `\VexRiscv.\execute_arbitration_removeIt' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3583$484'. +No latch inferred for signal `\VexRiscv.\execute_arbitration_haltByOther' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3576$483'. +No latch inferred for signal `\VexRiscv.\execute_arbitration_haltItself' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3564$475'. +No latch inferred for signal `\VexRiscv.\decode_arbitration_removeIt' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3555$474'. +No latch inferred for signal `\VexRiscv.\decode_arbitration_haltByOther' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3542$464'. +No latch inferred for signal `\VexRiscv.\decode_arbitration_haltItself' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3523$463'. +No latch inferred for signal `\VexRiscv.\_zz_48_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3512$462'. +No latch inferred for signal `\VexRiscv.\_zz_47_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3484$459'. +No latch inferred for signal `\VexRiscv.\_zz_43_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3469$458'. +No latch inferred for signal `\VexRiscv.\decode_REGFILE_WRITE_VALID' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3462$456'. +No latch inferred for signal `\VexRiscv.\_zz_35_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3454$454'. +No latch inferred for signal `\VexRiscv.\_zz_23_' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3416$453'. +No latch inferred for signal `\VexRiscv.\decode_RS1' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3385$451'. +No latch inferred for signal `\VexRiscv.\decode_RS2' from process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3355$449'. +No latch inferred for signal `\StreamFifoLowLatency_1_.\io_occupancy' from process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1413$227'. +No latch inferred for signal `\StreamFifoLowLatency_1_.\popPtr_valueNext' from process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1393$216'. +No latch inferred for signal `\StreamFifoLowLatency_1_.\popPtr_willClear' from process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1384$213'. +No latch inferred for signal `\StreamFifoLowLatency_1_.\popPtr_willIncrement' from process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1377$212'. +No latch inferred for signal `\StreamFifoLowLatency_1_.\pushPtr_valueNext' from process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1366$210'. +No latch inferred for signal `\StreamFifoLowLatency_1_.\pushPtr_willClear' from process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1357$207'. +No latch inferred for signal `\StreamFifoLowLatency_1_.\pushPtr_willIncrement' from process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1350$206'. +No latch inferred for signal `\StreamFifoLowLatency_1_.\_zz_1_' from process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1343$205'. +No latch inferred for signal `\StreamFork.\io_input_ready' from process `\StreamFork.$proc$PQVexRiscvUlx3s.v:1250$188'. +No latch inferred for signal `\StreamFifo.\logic_popPtr_valueNext' from process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1020$132'. +No latch inferred for signal `\StreamFifo.\logic_popPtr_willClear' from process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1011$129'. +No latch inferred for signal `\StreamFifo.\logic_popPtr_willIncrement' from process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1004$128'. +No latch inferred for signal `\StreamFifo.\logic_pushPtr_valueNext' from process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:997$126'. +No latch inferred for signal `\StreamFifo.\logic_pushPtr_willClear' from process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:988$123'. +No latch inferred for signal `\StreamFifo.\logic_pushPtr_willIncrement' from process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:981$122'. +No latch inferred for signal `\StreamFifo.\_zz_1_' from process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:974$121'. +No latch inferred for signal `\UartCtrl.\io_write_ready' from process `\UartCtrl.$proc$PQVexRiscvUlx3s.v:884$110'. +No latch inferred for signal `\UartCtrl.\io_write_thrown_valid' from process `\UartCtrl.$proc$PQVexRiscvUlx3s.v:877$109'. +No latch inferred for signal `\StreamFifoLowLatency.\io_pop_payload_inst' from process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:697$97'. +No latch inferred for signal `\StreamFifoLowLatency.\io_pop_payload_error' from process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:689$96'. +No latch inferred for signal `\StreamFifoLowLatency.\io_pop_valid' from process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:680$95'. +No latch inferred for signal `\StreamFifoLowLatency.\popPtr_willClear' from process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:665$87'. +No latch inferred for signal `\StreamFifoLowLatency.\popPtr_willIncrement' from process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:658$86'. +No latch inferred for signal `\StreamFifoLowLatency.\pushPtr_willClear' from process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:649$84'. +No latch inferred for signal `\StreamFifoLowLatency.\pushPtr_willIncrement' from process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:642$83'. +No latch inferred for signal `\StreamFifoLowLatency.\_zz_1_' from process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:635$82'. +No latch inferred for signal `\UartCtrlRx.\bitTimer_tick' from process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:461$41'. +No latch inferred for signal `\UartCtrlRx.\io_error' from process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:431$39'. +No latch inferred for signal `\UartCtrlTx.\io_write_ready' from process `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:238$13'. +No latch inferred for signal `\UartCtrlTx.\stateMachine_txd' from process `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:219$11'. +No latch inferred for signal `\UartCtrlTx.\clockDivider_counter_valueNext' from process `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:208$9'. +No latch inferred for signal `\UartCtrlTx.\clockDivider_counter_willIncrement' from process `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:198$6'. + +2.4.8. Executing PROC_DFF pass (convert process syncs to FFs). +Creating register for signal `\TRELLIS_FF.\Q' using process `\TRELLIS_FF.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:350$1494'. + created $dff cell `$procdff$3812' with positive edge clock. +Creating register for signal `\DPR16X4C.\i' using process `\DPR16X4C.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1491'. + created direct connection (no actual register cell created). +Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:287$1469_ADDR' using process `\DPR16X4C.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:285$1470'. + created $dff cell `$procdff$3813' with positive edge clock. +Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:287$1469_DATA' using process `\DPR16X4C.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:285$1470'. + created $dff cell `$procdff$3814' with positive edge clock. +Creating register for signal `\DPR16X4C.$memwr$\ram$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:287$1469_EN' using process `\DPR16X4C.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:285$1470'. + created $dff cell `$procdff$3815' with positive edge clock. +Creating register for signal `\TRELLIS_DPR16X4.\i' using process `\TRELLIS_DPR16X4.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1436'. + created direct connection (no actual register cell created). +Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:223$1412_ADDR' using process `\TRELLIS_DPR16X4.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:221$1414'. + created $dff cell `$procdff$3816' with positive edge clock. +Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:223$1412_DATA' using process `\TRELLIS_DPR16X4.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:221$1414'. + created $dff cell `$procdff$3817' with positive edge clock. +Creating register for signal `\TRELLIS_DPR16X4.$memwr$\mem$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:223$1412_EN' using process `\TRELLIS_DPR16X4.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:221$1414'. + created $dff cell `$procdff$3818' with positive edge clock. +Creating register for signal `\TRELLIS_DPR16X4.\muxwre' using process `\TRELLIS_DPR16X4.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:213$1413'. + created direct connection (no actual register cell created). +Creating register for signal `\PQVexRiscvUlx3s.\_zz_35_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8216$1266'. + created $adff cell `$procdff$3819' with positive edge clock and positive level reset. +Creating register for signal `\PQVexRiscvUlx3s.\core_cpu_debug_resetOut_regNext' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8212$1265'. + created $dff cell `$procdff$3820' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_6_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3821' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_7_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3822' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_8_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3823' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_9_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3824' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_12_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3825' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_13_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3826' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_14_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3827' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_15_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3828' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_25_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3829' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_26_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3830' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_27_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3831' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_28_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3832' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_31_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3833' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_32_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3834' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_33_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3835' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_34_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. + created $dff cell `$procdff$3836' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_5_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8157$1253'. + created $adff cell `$procdff$3837' with positive edge clock and positive level reset. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_11_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8157$1253'. + created $adff cell `$procdff$3838' with positive edge clock and positive level reset. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_24_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8157$1253'. + created $adff cell `$procdff$3839' with positive edge clock and positive level reset. +Creating register for signal `\PQVexRiscvUlx3s.\_zz_30_' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8157$1253'. + created $adff cell `$procdff$3840' with positive edge clock and positive level reset. +Creating register for signal `\PQVexRiscvUlx3s.\resetCtrl_systemClockReset' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8149$1252'. + created $dff cell `$procdff$3841' with positive edge clock. +Creating register for signal `\PQVexRiscvUlx3s.\resetCtrl_mainClockReset' using process `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8149$1252'. + created $dff cell `$procdff$3842' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusDecoder_1_.\logic_rspHits_0' using process `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7314$1230'. + created $dff cell `$procdff$3843' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusDecoder_1_.\logic_rspHits_1' using process `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7314$1230'. + created $dff cell `$procdff$3844' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusDecoder_1_.\logic_rspPendingCounter' using process `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7306$1228'. + created $adff cell `$procdff$3845' with positive edge clock and positive level reset. +Creating register for signal `\PipelinedMemoryBusDecoder.\logic_rspHits_0' using process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7192$1193'. + created $dff cell `$procdff$3846' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusDecoder.\logic_rspHits_1' using process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7192$1193'. + created $dff cell `$procdff$3847' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusDecoder.\logic_rspHits_2' using process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7192$1193'. + created $dff cell `$procdff$3848' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusDecoder.\logic_rspPendingCounter' using process `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7184$1191'. + created $adff cell `$procdff$3849' with positive edge clock and positive level reset. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.\_zz_1_' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7042$1143'. + created $adff cell `$procdff$3850' with positive edge clock and positive level reset. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_ADDR' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7022$1121'. + created $dff cell `$procdff$3851' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_DATA' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7022$1121'. + created $dff cell `$procdff$3852' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7022$1121'. + created $dff cell `$procdff$3853' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_ADDR' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7022$1121'. + created $dff cell `$procdff$3854' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_DATA' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7022$1121'. + created $dff cell `$procdff$3855' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7022$1121'. + created $dff cell `$procdff$3856' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_ADDR' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7022$1121'. + created $dff cell `$procdff$3857' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_DATA' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7022$1121'. + created $dff cell `$procdff$3858' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7022$1121'. + created $dff cell `$procdff$3859' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_ADDR' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7022$1121'. + created $dff cell `$procdff$3860' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_DATA' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7022$1121'. + created $dff cell `$procdff$3861' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7022$1121'. + created $dff cell `$procdff$3862' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.\_zz_5_' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7013$1116'. + created $dff cell `$procdff$3863' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.\_zz_6_' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7013$1116'. + created $dff cell `$procdff$3864' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.\_zz_7_' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7013$1116'. + created $dff cell `$procdff$3865' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusRamUlx3s.\_zz_8_' using process `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7013$1116'. + created $dff cell `$procdff$3866' with positive edge clock. +Creating register for signal `\Apb3Router.\selIndex' using process `\Apb3Router.$proc$PQVexRiscvUlx3s.v:6978$1110'. + created $dff cell `$procdff$3867' with positive edge clock. +Creating register for signal `\MyMem.\myReg' using process `\MyMem.$proc$PQVexRiscvUlx3s.v:6831$1094'. + created $dff cell `$procdff$3868' with positive edge clock. +Creating register for signal `\MuraxApb3Timer.\_zz_1_' using process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6759$1080'. + created $dff cell `$procdff$3869' with positive edge clock. +Creating register for signal `\MuraxApb3Timer.\timerA_io_limit_driver' using process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6759$1080'. + created $dff cell `$procdff$3870' with positive edge clock. +Creating register for signal `\MuraxApb3Timer.\timerB_io_limit_driver' using process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6759$1080'. + created $dff cell `$procdff$3871' with positive edge clock. +Creating register for signal `\MuraxApb3Timer.\timerABridge_ticksEnable' using process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6715$1079'. + created $adff cell `$procdff$3872' with positive edge clock and positive level reset. +Creating register for signal `\MuraxApb3Timer.\timerABridge_clearsEnable' using process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6715$1079'. + created $adff cell `$procdff$3873' with positive edge clock and positive level reset. +Creating register for signal `\MuraxApb3Timer.\timerBBridge_ticksEnable' using process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6715$1079'. + created $adff cell `$procdff$3874' with positive edge clock and positive level reset. +Creating register for signal `\MuraxApb3Timer.\timerBBridge_clearsEnable' using process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6715$1079'. + created $adff cell `$procdff$3875' with positive edge clock and positive level reset. +Creating register for signal `\MuraxApb3Timer.\interruptCtrl_1__io_masks_driver' using process `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6715$1079'. + created $adff cell `$procdff$3876' with positive edge clock and positive level reset. +Creating register for signal `\Apb3UartCtrl.\uartCtrl_1__io_readBreak_regNext' using process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6383$1042'. + created $dff cell `$procdff$3877' with positive edge clock. +Creating register for signal `\Apb3UartCtrl.\bridge_interruptCtrl_writeIntEnable' using process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6323$1037'. + created $adff cell `$procdff$3878' with positive edge clock and positive level reset. +Creating register for signal `\Apb3UartCtrl.\bridge_interruptCtrl_readIntEnable' using process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6323$1037'. + created $adff cell `$procdff$3879' with positive edge clock and positive level reset. +Creating register for signal `\Apb3UartCtrl.\bridge_misc_readError' using process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6323$1037'. + created $adff cell `$procdff$3880' with positive edge clock and positive level reset. +Creating register for signal `\Apb3UartCtrl.\bridge_misc_readOverflowError' using process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6323$1037'. + created $adff cell `$procdff$3881' with positive edge clock and positive level reset. +Creating register for signal `\Apb3UartCtrl.\bridge_misc_breakDetected' using process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6323$1037'. + created $adff cell `$procdff$3882' with positive edge clock and positive level reset. +Creating register for signal `\Apb3UartCtrl.\bridge_misc_doBreak' using process `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6323$1037'. + created $adff cell `$procdff$3883' with positive edge clock and positive level reset. +Creating register for signal `\PipelinedMemoryBusToApbBridge.\pipelinedMemoryBusStage_rsp_regNext_payload_data' using process `\PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5970$1005'. + created $dff cell `$procdff$3884' with positive edge clock. +Creating register for signal `\PipelinedMemoryBusToApbBridge.\pipelinedMemoryBusStage_rsp_regNext_valid' using process `\PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5954$1004'. + created $adff cell `$procdff$3885' with positive edge clock and positive level reset. +Creating register for signal `\PipelinedMemoryBusToApbBridge.\state' using process `\PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5954$1004'. + created $adff cell `$procdff$3886' with positive edge clock and positive level reset. +Creating register for signal `\SystemDebugger.\dispatcher_dataShifter' using process `\SystemDebugger.$proc$PQVexRiscvUlx3s.v:5875$995'. + created $dff cell `$procdff$3887' with positive edge clock. +Creating register for signal `\SystemDebugger.\dispatcher_headerShifter' using process `\SystemDebugger.$proc$PQVexRiscvUlx3s.v:5875$995'. + created $dff cell `$procdff$3888' with positive edge clock. +Creating register for signal `\SystemDebugger.\dispatcher_dataLoaded' using process `\SystemDebugger.$proc$PQVexRiscvUlx3s.v:5849$991'. + created $adff cell `$procdff$3889' with positive edge clock and positive level reset. +Creating register for signal `\SystemDebugger.\dispatcher_headerLoaded' using process `\SystemDebugger.$proc$PQVexRiscvUlx3s.v:5849$991'. + created $adff cell `$procdff$3890' with positive edge clock and positive level reset. +Creating register for signal `\SystemDebugger.\dispatcher_counter' using process `\SystemDebugger.$proc$PQVexRiscvUlx3s.v:5849$991'. + created $adff cell `$procdff$3891' with positive edge clock and positive level reset. +Creating register for signal `\JtagBridge.\jtag_tap_tdoUnbufferd_regNext' using process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5799$985'. + created $dff cell `$procdff$3892' with negative edge clock. +Creating register for signal `\JtagBridge.\jtag_tap_fsm_state' using process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5764$979'. + created $dff cell `$procdff$3893' with positive edge clock. +Creating register for signal `\JtagBridge.\jtag_tap_instruction' using process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5764$979'. + created $dff cell `$procdff$3894' with positive edge clock. +Creating register for signal `\JtagBridge.\jtag_tap_instructionShift' using process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5764$979'. + created $dff cell `$procdff$3895' with positive edge clock. +Creating register for signal `\JtagBridge.\jtag_tap_bypass' using process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5764$979'. + created $dff cell `$procdff$3896' with positive edge clock. +Creating register for signal `\JtagBridge.\jtag_idcodeArea_shifter' using process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5764$979'. + created $dff cell `$procdff$3897' with positive edge clock. +Creating register for signal `\JtagBridge.\jtag_readArea_shifter' using process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5764$979'. + created $dff cell `$procdff$3898' with positive edge clock. +Creating register for signal `\JtagBridge.\system_rsp_valid' using process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5753$977'. + created $dff cell `$procdff$3899' with positive edge clock. +Creating register for signal `\JtagBridge.\system_rsp_payload_error' using process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5753$977'. + created $dff cell `$procdff$3900' with positive edge clock. +Creating register for signal `\JtagBridge.\system_rsp_payload_data' using process `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5753$977'. + created $dff cell `$procdff$3901' with positive edge clock. +Creating register for signal `\VexRiscv.\DebugPlugin_resetIt' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5432$946'. + created $adff cell `$procdff$3902' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\DebugPlugin_haltIt' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5432$946'. + created $adff cell `$procdff$3903' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\DebugPlugin_stepIt' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5432$946'. + created $adff cell `$procdff$3904' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\DebugPlugin_godmode' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5432$946'. + created $adff cell `$procdff$3905' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\DebugPlugin_haltedByBreak' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5432$946'. + created $adff cell `$procdff$3906' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\DebugPlugin_hardwareBreakpoints_0_valid' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5432$946'. + created $adff cell `$procdff$3907' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\DebugPlugin_hardwareBreakpoints_1_valid' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5432$946'. + created $adff cell `$procdff$3908' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\DebugPlugin_hardwareBreakpoints_2_valid' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5432$946'. + created $adff cell `$procdff$3909' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\DebugPlugin_firstCycle' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5390$943'. + created $dff cell `$procdff$3910' with positive edge clock. +Creating register for signal `\VexRiscv.\DebugPlugin_secondCycle' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5390$943'. + created $dff cell `$procdff$3911' with positive edge clock. +Creating register for signal `\VexRiscv.\DebugPlugin_isPipBusy' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5390$943'. + created $dff cell `$procdff$3912' with positive edge clock. +Creating register for signal `\VexRiscv.\DebugPlugin_hardwareBreakpoints_0_pc' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5390$943'. + created $dff cell `$procdff$3913' with positive edge clock. +Creating register for signal `\VexRiscv.\DebugPlugin_hardwareBreakpoints_1_pc' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5390$943'. + created $dff cell `$procdff$3914' with positive edge clock. +Creating register for signal `\VexRiscv.\DebugPlugin_hardwareBreakpoints_2_pc' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5390$943'. + created $dff cell `$procdff$3915' with positive edge clock. +Creating register for signal `\VexRiscv.\DebugPlugin_busReadDataReg' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5390$943'. + created $dff cell `$procdff$3916' with positive edge clock. +Creating register for signal `\VexRiscv.\_zz_124_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5390$943'. + created $dff cell `$procdff$3917' with positive edge clock. +Creating register for signal `\VexRiscv.\DebugPlugin_resetIt_regNext' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5390$943'. + created $dff cell `$procdff$3918' with positive edge clock. +Creating register for signal `\VexRiscv.\_zz_58_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3919' with positive edge clock. +Creating register for signal `\VexRiscv.\_zz_60_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3920' with positive edge clock. +Creating register for signal `\VexRiscv.\_zz_61_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3921' with positive edge clock. +Creating register for signal `\VexRiscv.\_zz_62_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3922' with positive edge clock. +Creating register for signal `\VexRiscv.\_zz_63_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3923' with positive edge clock. +Creating register for signal `\VexRiscv.\IBusSimplePlugin_injector_formal_rawInDecode' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3924' with positive edge clock. +Creating register for signal `\VexRiscv.\CsrPlugin_mepc' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3925' with positive edge clock. +Creating register for signal `\VexRiscv.\CsrPlugin_mip_MEIP' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3926' with positive edge clock. +Creating register for signal `\VexRiscv.\CsrPlugin_mip_MTIP' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3927' with positive edge clock. +Creating register for signal `\VexRiscv.\CsrPlugin_mip_MSIP' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3928' with positive edge clock. +Creating register for signal `\VexRiscv.\CsrPlugin_mcause_interrupt' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3929' with positive edge clock. +Creating register for signal `\VexRiscv.\CsrPlugin_mcause_exceptionCode' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3930' with positive edge clock. +Creating register for signal `\VexRiscv.\CsrPlugin_mcycle' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3931' with positive edge clock. +Creating register for signal `\VexRiscv.\CsrPlugin_minstret' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3932' with positive edge clock. +Creating register for signal `\VexRiscv.\CsrPlugin_interrupt_code' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3933' with positive edge clock. +Creating register for signal `\VexRiscv.\CsrPlugin_interrupt_targetPrivilege' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3934' with positive edge clock. +Creating register for signal `\VexRiscv.\_zz_101_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3935' with positive edge clock. +Creating register for signal `\VexRiscv.\_zz_102_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3936' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_MulDivIterativePlugin_rs1' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3937' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_MulDivIterativePlugin_rs2' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3938' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_MulDivIterativePlugin_accumulator' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3939' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_MulDivIterativePlugin_div_needRevert' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3940' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_MulDivIterativePlugin_div_done' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3941' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_MulDivIterativePlugin_div_result' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3942' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_MUL_LL' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3943' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_ENV_CTRL' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3944' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_ENV_CTRL' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3945' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_to_writeBack_ENV_CTRL' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3946' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_SHIFT_CTRL' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3947' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_SHIFT_CTRL' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3948' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_REGFILE_WRITE_DATA' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3949' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_RS2' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3950' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_to_writeBack_MEMORY_READ_DATA' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3951' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_CSR_READ_OPCODE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3952' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_MEMORY_ADDRESS_LOW' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3953' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_to_writeBack_MEMORY_ADDRESS_LOW' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3954' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_SRC_LESS_UNSIGNED' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3955' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_SRC1' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3956' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_SRC1' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3957' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_to_writeBack_SRC1' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3958' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_DO_EBREAK' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3959' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_BRANCH_CTRL' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3960' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_BYPASSABLE_MEMORY_STAGE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3961' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_BYPASSABLE_MEMORY_STAGE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3962' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_IS_MUL' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3963' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_IS_MUL' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3964' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_to_writeBack_IS_MUL' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3965' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_INSTRUCTION' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3966' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_INSTRUCTION' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3967' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_SRC2' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3968' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_SRC2' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3969' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_to_writeBack_SRC2' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3970' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_to_writeBack_MUL' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3971' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_SRC_USE_SUB_LESS' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3972' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_BYPASSABLE_EXECUTE_STAGE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3973' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_IS_RS1_SIGNED' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3974' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_PC' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3975' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_PC' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3976' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_to_writeBack_PC' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3977' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_SHIFT_RIGHT' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3978' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_CSR_WRITE_OPCODE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3979' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_BRANCH_CALC' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3980' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_REGFILE_WRITE_VALID' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3981' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_REGFILE_WRITE_VALID' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3982' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_to_writeBack_REGFILE_WRITE_VALID' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3983' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_MUL_HL' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3984' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_MEMORY_STORE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3985' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_MEMORY_STORE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3986' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_to_writeBack_MEMORY_STORE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3987' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_MUL_LH' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3988' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_IS_DIV' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3989' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_IS_DIV' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3990' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_SRC2_FORCE_ZERO' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3991' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_ALU_BITWISE_CTRL' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3992' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_IS_CSR' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3993' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_ALU_CTRL' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3994' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_FORMAL_PC_NEXT' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3995' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_FORMAL_PC_NEXT' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3996' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_to_writeBack_FORMAL_PC_NEXT' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3997' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_MUL_HH' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3998' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_IS_RS2_SIGNED' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$3999' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_BRANCH_DO' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$4000' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_MEMORY_ENABLE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$4001' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_to_memory_MEMORY_ENABLE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$4002' with positive edge clock. +Creating register for signal `\VexRiscv.\memory_to_writeBack_MEMORY_ENABLE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$4003' with positive edge clock. +Creating register for signal `\VexRiscv.\decode_to_execute_RS1' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$4004' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_768' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$4005' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_836' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$4006' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_772' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$4007' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_773' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$4008' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_834' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$4009' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_2816' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$4010' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_2944' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$4011' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_2818' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$4012' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_CsrPlugin_csr_2946' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. + created $dff cell `$procdff$4013' with positive edge clock. +Creating register for signal `\VexRiscv.\execute_arbitration_isValid' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4014' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\memory_arbitration_isValid' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4015' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\writeBack_arbitration_isValid' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4016' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\IBusSimplePlugin_fetchPc_pcReg' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4017' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\IBusSimplePlugin_fetchPc_correctionReg' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4018' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\IBusSimplePlugin_fetchPc_booted' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4019' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\IBusSimplePlugin_fetchPc_inc' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4020' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\_zz_55_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4021' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\_zz_57_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4022' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\_zz_59_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4023' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\IBusSimplePlugin_injector_nextPcCalc_valids_0' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4024' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\IBusSimplePlugin_injector_nextPcCalc_valids_1' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4025' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\IBusSimplePlugin_injector_nextPcCalc_valids_2' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4026' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\IBusSimplePlugin_injector_nextPcCalc_valids_3' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4027' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\IBusSimplePlugin_injector_nextPcCalc_valids_4' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4028' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\IBusSimplePlugin_injector_nextPcCalc_valids_5' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4029' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\IBusSimplePlugin_pending_value' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4030' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\IBusSimplePlugin_rspJoin_rspBuffer_discardCounter' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4031' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\CsrPlugin_mtvec_mode' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4032' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\CsrPlugin_mtvec_base' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4033' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\CsrPlugin_mstatus_MIE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4034' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\CsrPlugin_mstatus_MPIE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4035' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\CsrPlugin_mstatus_MPP' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4036' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\CsrPlugin_mie_MEIE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4037' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\CsrPlugin_mie_MTIE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4038' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\CsrPlugin_mie_MSIE' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4039' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\CsrPlugin_interrupt_valid' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4040' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\CsrPlugin_pipelineLiberator_pcValids_0' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4041' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\CsrPlugin_pipelineLiberator_pcValids_1' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4042' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\CsrPlugin_pipelineLiberator_pcValids_2' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4043' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\CsrPlugin_hadException' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4044' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\execute_CsrPlugin_wfiWake' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4045' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\_zz_88_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4046' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\_zz_100_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4047' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\memory_MulDivIterativePlugin_div_counter_value' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4048' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\memory_to_writeBack_REGFILE_WRITE_DATA' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4049' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\memory_to_writeBack_INSTRUCTION' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4050' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.\_zz_125_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. + created $adff cell `$procdff$4051' with positive edge clock and positive level reset. +Creating register for signal `\VexRiscv.$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_ADDR' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:2696$417'. + created $dff cell `$procdff$4052' with positive edge clock. +Creating register for signal `\VexRiscv.$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_DATA' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:2696$417'. + created $dff cell `$procdff$4053' with positive edge clock. +Creating register for signal `\VexRiscv.$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:2696$417'. + created $dff cell `$procdff$4054' with positive edge clock. +Creating register for signal `\VexRiscv.\_zz_138_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:2690$415'. + created $dff cell `$procdff$4055' with positive edge clock. +Creating register for signal `\VexRiscv.\_zz_137_' using process `\VexRiscv.$proc$PQVexRiscvUlx3s.v:2684$413'. + created $dff cell `$procdff$4056' with positive edge clock. +Creating register for signal `\BufferCC_2_.\buffers_0' using process `\BufferCC_2_.$proc$PQVexRiscvUlx3s.v:1453$234'. + created $dff cell `$procdff$4057' with positive edge clock. +Creating register for signal `\BufferCC_2_.\buffers_1' using process `\BufferCC_2_.$proc$PQVexRiscvUlx3s.v:1453$234'. + created $dff cell `$procdff$4058' with positive edge clock. +Creating register for signal `\StreamFifoLowLatency_1_.\risingOccupancy' using process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1421$231'. + created $adff cell `$procdff$4059' with positive edge clock and positive level reset. +Creating register for signal `\StreamFifoLowLatency_1_.\pushPtr_value' using process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1421$231'. + created $adff cell `$procdff$4060' with positive edge clock and positive level reset. +Creating register for signal `\StreamFifoLowLatency_1_.\popPtr_value' using process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1421$231'. + created $adff cell `$procdff$4061' with positive edge clock and positive level reset. +Creating register for signal `\StreamFifoLowLatency_1_.$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_ADDR' using process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1337$201'. + created $dff cell `$procdff$4062' with positive edge clock. +Creating register for signal `\StreamFifoLowLatency_1_.$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_DATA' using process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1337$201'. + created $dff cell `$procdff$4063' with positive edge clock. +Creating register for signal `\StreamFifoLowLatency_1_.$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_EN' using process `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1337$201'. + created $dff cell `$procdff$4064' with positive edge clock. +Creating register for signal `\StreamFork.\_zz_2_' using process `\StreamFork.$proc$PQVexRiscvUlx3s.v:1270$195'. + created $adff cell `$procdff$4065' with positive edge clock and positive level reset. +Creating register for signal `\StreamFork.\_zz_1_' using process `\StreamFork.$proc$PQVexRiscvUlx3s.v:1270$195'. + created $adff cell `$procdff$4066' with positive edge clock and positive level reset. +Creating register for signal `\StreamArbiter.\maskLocked_0' using process `\StreamArbiter.$proc$PQVexRiscvUlx3s.v:1215$187'. + created $dff cell `$procdff$4067' with positive edge clock. +Creating register for signal `\StreamArbiter.\maskLocked_1' using process `\StreamArbiter.$proc$PQVexRiscvUlx3s.v:1215$187'. + created $dff cell `$procdff$4068' with positive edge clock. +Creating register for signal `\StreamArbiter.\locked' using process `\StreamArbiter.$proc$PQVexRiscvUlx3s.v:1202$185'. + created $adff cell `$procdff$4069' with positive edge clock and positive level reset. +Creating register for signal `\InterruptCtrl.\pendings' using process `\InterruptCtrl.$proc$PQVexRiscvUlx3s.v:1138$167'. + created $adff cell `$procdff$4070' with positive edge clock and positive level reset. +Creating register for signal `\Timer.\counter' using process `\Timer.$proc$PQVexRiscvUlx3s.v:1114$164'. + created $dff cell `$procdff$4071' with positive edge clock. +Creating register for signal `\Timer.\inhibitFull' using process `\Timer.$proc$PQVexRiscvUlx3s.v:1101$163'. + created $adff cell `$procdff$4072' with positive edge clock and positive level reset. +Creating register for signal `\Prescaler.\counter' using process `\Prescaler.$proc$PQVexRiscvUlx3s.v:1071$155'. + created $dff cell `$procdff$4073' with positive edge clock. +Creating register for signal `\StreamFifo.\_zz_2_' using process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1038$150'. + created $adff cell `$procdff$4074' with positive edge clock and positive level reset. +Creating register for signal `\StreamFifo.\logic_pushPtr_value' using process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1038$150'. + created $adff cell `$procdff$4075' with positive edge clock and positive level reset. +Creating register for signal `\StreamFifo.\logic_popPtr_value' using process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1038$150'. + created $adff cell `$procdff$4076' with positive edge clock and positive level reset. +Creating register for signal `\StreamFifo.\logic_risingOccupancy' using process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1038$150'. + created $adff cell `$procdff$4077' with positive edge clock and positive level reset. +Creating register for signal `\StreamFifo.$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_ADDR' using process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:968$117'. + created $dff cell `$procdff$4078' with positive edge clock. +Creating register for signal `\StreamFifo.$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_DATA' using process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:968$117'. + created $dff cell `$procdff$4079' with positive edge clock. +Creating register for signal `\StreamFifo.$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN' using process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:968$117'. + created $dff cell `$procdff$4080' with positive edge clock. +Creating register for signal `\StreamFifo.\_zz_3_' using process `\StreamFifo.$proc$PQVexRiscvUlx3s.v:962$115'. + created $dff cell `$procdff$4081' with positive edge clock. +Creating register for signal `\UartCtrl.\clockDivider_counter' using process `\UartCtrl.$proc$PQVexRiscvUlx3s.v:899$111'. + created $adff cell `$procdff$4082' with positive edge clock and positive level reset. +Creating register for signal `\FlowCCByToggle.\outputArea_flow_regNext_valid' using process `\FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:780$106'. + created $adff cell `$procdff$4083' with positive edge clock and positive level reset. +Creating register for signal `\FlowCCByToggle.\outputArea_hit' using process `\FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:774$105'. + created $dff cell `$procdff$4084' with positive edge clock. +Creating register for signal `\FlowCCByToggle.\outputArea_flow_regNext_payload_last' using process `\FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:774$105'. + created $dff cell `$procdff$4085' with positive edge clock. +Creating register for signal `\FlowCCByToggle.\outputArea_flow_regNext_payload_fragment' using process `\FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:774$105'. + created $dff cell `$procdff$4086' with positive edge clock. +Creating register for signal `\FlowCCByToggle.\inputArea_target' using process `\FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:766$103'. + created $dff cell `$procdff$4087' with positive edge clock. +Creating register for signal `\FlowCCByToggle.\inputArea_data_last' using process `\FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:766$103'. + created $dff cell `$procdff$4088' with positive edge clock. +Creating register for signal `\FlowCCByToggle.\inputArea_data_fragment' using process `\FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:766$103'. + created $dff cell `$procdff$4089' with positive edge clock. +Creating register for signal `\StreamFifoLowLatency.\_zz_3_' using process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:719$101'. + created $dff cell `$procdff$4090' with positive edge clock. +Creating register for signal `\StreamFifoLowLatency.\risingOccupancy' using process `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:706$99'. + created $adff cell `$procdff$4091' with positive edge clock and positive level reset. +Creating register for signal `\UartCtrlRx.\stateMachine_parity' using process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:553$61'. + created $dff cell `$procdff$4092' with positive edge clock. +Creating register for signal `\UartCtrlRx.\bitTimer_counter' using process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:553$61'. + created $dff cell `$procdff$4093' with positive edge clock. +Creating register for signal `\UartCtrlRx.\bitCounter_value' using process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:553$61'. + created $dff cell `$procdff$4094' with positive edge clock. +Creating register for signal `\UartCtrlRx.\stateMachine_shifter' using process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:553$61'. + created $dff cell `$procdff$4095' with positive edge clock. +Creating register for signal `\UartCtrlRx.$bitselwrite$mask$PQVexRiscvUlx3s.v:580$27' using process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:553$61'. + created $dff cell `$procdff$4096' with positive edge clock. +Creating register for signal `\UartCtrlRx.$bitselwrite$data$PQVexRiscvUlx3s.v:580$28' using process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:553$61'. + created $dff cell `$procdff$4097' with positive edge clock. +Creating register for signal `\UartCtrlRx.$lookahead\stateMachine_shifter$60' using process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:553$61'. + created $dff cell `$procdff$4098' with positive edge clock. +Creating register for signal `\UartCtrlRx.\stateMachine_state' using process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:474$43'. + created $adff cell `$procdff$4099' with positive edge clock and positive level reset. +Creating register for signal `\UartCtrlRx.\_zz_1_' using process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:474$43'. + created $adff cell `$procdff$4100' with positive edge clock and positive level reset. +Creating register for signal `\UartCtrlRx.\sampler_samples_1' using process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:474$43'. + created $adff cell `$procdff$4101' with positive edge clock and positive level reset. +Creating register for signal `\UartCtrlRx.\sampler_samples_2' using process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:474$43'. + created $adff cell `$procdff$4102' with positive edge clock and positive level reset. +Creating register for signal `\UartCtrlRx.\sampler_value' using process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:474$43'. + created $adff cell `$procdff$4103' with positive edge clock and positive level reset. +Creating register for signal `\UartCtrlRx.\sampler_tick' using process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:474$43'. + created $adff cell `$procdff$4104' with positive edge clock and positive level reset. +Creating register for signal `\UartCtrlRx.\break_counter' using process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:474$43'. + created $adff cell `$procdff$4105' with positive edge clock and positive level reset. +Creating register for signal `\UartCtrlRx.\stateMachine_validReg' using process `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:474$43'. + created $adff cell `$procdff$4106' with positive edge clock and positive level reset. +Creating register for signal `\UartCtrlTx.\tickCounter_value' using process `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:306$23'. + created $dff cell `$procdff$4107' with positive edge clock. +Creating register for signal `\UartCtrlTx.\stateMachine_parity' using process `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:306$23'. + created $dff cell `$procdff$4108' with positive edge clock. +Creating register for signal `\UartCtrlTx.\clockDivider_counter_value' using process `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:260$14'. + created $adff cell `$procdff$4109' with positive edge clock and positive level reset. +Creating register for signal `\UartCtrlTx.\stateMachine_state' using process `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:260$14'. + created $adff cell `$procdff$4110' with positive edge clock and positive level reset. +Creating register for signal `\UartCtrlTx.\_zz_1_' using process `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:260$14'. + created $adff cell `$procdff$4111' with positive edge clock and positive level reset. +Creating register for signal `\BufferCC_1_.\buffers_0' using process `\BufferCC_1_.$proc$PQVexRiscvUlx3s.v:120$2'. + created $dff cell `$procdff$4112' with positive edge clock. +Creating register for signal `\BufferCC_1_.\buffers_1' using process `\BufferCC_1_.$proc$PQVexRiscvUlx3s.v:120$2'. + created $dff cell `$procdff$4113' with positive edge clock. +Creating register for signal `\BufferCC.\buffers_0' using process `\BufferCC.$proc$PQVexRiscvUlx3s.v:97$1'. +Warning: Async reset value `\io_initial' is not constant! + created $dffsr cell `$procdff$4114' with positive edge clock and positive level non-const reset. +Creating register for signal `\BufferCC.\buffers_1' using process `\BufferCC.$proc$PQVexRiscvUlx3s.v:97$1'. +Warning: Async reset value `\io_initial' is not constant! + created $dffsr cell `$procdff$4121' with positive edge clock and positive level non-const reset. + +2.4.9. Executing PROC_CLEAN pass (remove empty switches from decision trees). +Removing empty process `TRELLIS_FF.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1498'. +Found and cleaned up 2 empty switches in `\TRELLIS_FF.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:350$1494'. +Removing empty process `TRELLIS_FF.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:350$1494'. +Removing empty process `DPR16X4C.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1491'. +Found and cleaned up 1 empty switch in `\DPR16X4C.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:285$1470'. +Removing empty process `DPR16X4C.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:285$1470'. +Removing empty process `TRELLIS_DPR16X4.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:0$1436'. +Found and cleaned up 1 empty switch in `\TRELLIS_DPR16X4.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:221$1414'. +Removing empty process `TRELLIS_DPR16X4.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:221$1414'. +Removing empty process `TRELLIS_DPR16X4.$proc$/usr/local/bin/../share/yosys/ecp5/cells_sim.v:213$1413'. +Removing empty process `PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8216$1266'. +Removing empty process `PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8212$1265'. +Found and cleaned up 4 empty switches in `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. +Removing empty process `PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8185$1256'. +Found and cleaned up 6 empty switches in `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8157$1253'. +Removing empty process `PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8157$1253'. +Found and cleaned up 1 empty switch in `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8149$1252'. +Removing empty process `PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8149$1252'. +Found and cleaned up 1 empty switch in `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8106$1246'. +Removing empty process `PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8106$1246'. +Found and cleaned up 1 empty switch in `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8084$1241'. +Removing empty process `PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8084$1241'. +Found and cleaned up 1 empty switch in `\PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8077$1240'. +Removing empty process `PQVexRiscvUlx3s.$proc$PQVexRiscvUlx3s.v:8077$1240'. +Found and cleaned up 1 empty switch in `\PipelinedMemoryBusArbiter_1_.$proc$PQVexRiscvUlx3s.v:7489$1233'. +Removing empty process `PipelinedMemoryBusArbiter_1_.$proc$PQVexRiscvUlx3s.v:7489$1233'. +Found and cleaned up 1 empty switch in `\PipelinedMemoryBusArbiter_1_.$proc$PQVexRiscvUlx3s.v:7482$1232'. +Removing empty process `PipelinedMemoryBusArbiter_1_.$proc$PQVexRiscvUlx3s.v:7482$1232'. +Found and cleaned up 1 empty switch in `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7314$1230'. +Removing empty process `PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7314$1230'. +Removing empty process `PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7306$1228'. +Found and cleaned up 1 empty switch in `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7294$1210'. +Removing empty process `PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7294$1210'. +Found and cleaned up 1 empty switch in `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7281$1206'. +Removing empty process `PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7281$1206'. +Found and cleaned up 1 empty switch in `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7268$1202'. +Removing empty process `PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7268$1202'. +Found and cleaned up 1 empty switch in `\PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7256$1199'. +Removing empty process `PipelinedMemoryBusDecoder_1_.$proc$PQVexRiscvUlx3s.v:7256$1199'. +Found and cleaned up 1 empty switch in `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7192$1193'. +Removing empty process `PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7192$1193'. +Removing empty process `PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7184$1191'. +Found and cleaned up 1 empty switch in `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7172$1170'. +Removing empty process `PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7172$1170'. +Found and cleaned up 1 empty switch in `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7159$1166'. +Removing empty process `PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7159$1166'. +Found and cleaned up 1 empty switch in `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7146$1162'. +Removing empty process `PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7146$1162'. +Found and cleaned up 1 empty switch in `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7133$1158'. +Removing empty process `PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7133$1158'. +Found and cleaned up 1 empty switch in `\PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7118$1155'. +Removing empty process `PipelinedMemoryBusDecoder.$proc$PQVexRiscvUlx3s.v:7118$1155'. +Removing empty process `PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7042$1143'. +Found and cleaned up 4 empty switches in `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7022$1121'. +Removing empty process `PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7022$1121'. +Found and cleaned up 1 empty switch in `\PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7013$1116'. +Removing empty process `PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7013$1116'. +Removing empty process `PipelinedMemoryBusRamUlx3s.$proc$PQVexRiscvUlx3s.v:7010$1115'. +Removing empty process `Apb3Router.$proc$PQVexRiscvUlx3s.v:6978$1110'. +Found and cleaned up 1 empty switch in `\Apb3Router.$proc$PQVexRiscvUlx3s.v:6938$1109'. +Removing empty process `Apb3Router.$proc$PQVexRiscvUlx3s.v:6938$1109'. +Found and cleaned up 1 empty switch in `\Apb3Decoder.$proc$PQVexRiscvUlx3s.v:6885$1108'. +Removing empty process `Apb3Decoder.$proc$PQVexRiscvUlx3s.v:6885$1108'. +Found and cleaned up 1 empty switch in `\Apb3Decoder.$proc$PQVexRiscvUlx3s.v:6877$1107'. +Removing empty process `Apb3Decoder.$proc$PQVexRiscvUlx3s.v:6877$1107'. +Removing empty process `Apb3Decoder.$proc$PQVexRiscvUlx3s.v:6871$1097'. +Found and cleaned up 2 empty switches in `\MyMem.$proc$PQVexRiscvUlx3s.v:6831$1094'. +Removing empty process `MyMem.$proc$PQVexRiscvUlx3s.v:6831$1094'. +Found and cleaned up 1 empty switch in `\MyMem.$proc$PQVexRiscvUlx3s.v:6815$1081'. +Removing empty process `MyMem.$proc$PQVexRiscvUlx3s.v:6815$1081'. +Found and cleaned up 4 empty switches in `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6759$1080'. +Removing empty process `MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6759$1080'. +Found and cleaned up 4 empty switches in `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6715$1079'. +Removing empty process `MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6715$1079'. +Removing empty process `MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6709$1077'. +Found and cleaned up 2 empty switches in `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6680$1076'. +Removing empty process `MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6680$1076'. +Found and cleaned up 2 empty switches in `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6649$1070'. +Removing empty process `MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6649$1070'. +Found and cleaned up 2 empty switches in `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6620$1069'. +Removing empty process `MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6620$1069'. +Found and cleaned up 2 empty switches in `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6610$1068'. +Removing empty process `MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6610$1068'. +Found and cleaned up 2 empty switches in `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6579$1062'. +Removing empty process `MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6579$1062'. +Found and cleaned up 2 empty switches in `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6550$1061'. +Removing empty process `MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6550$1061'. +Found and cleaned up 2 empty switches in `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6540$1060'. +Removing empty process `MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6540$1060'. +Found and cleaned up 2 empty switches in `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6511$1059'. +Removing empty process `MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6511$1059'. +Found and cleaned up 1 empty switch in `\MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6469$1046'. +Removing empty process `MuraxApb3Timer.$proc$PQVexRiscvUlx3s.v:6469$1046'. +Removing empty process `Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:0$1043'. +Removing empty process `Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6383$1042'. +Found and cleaned up 15 empty switches in `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6323$1037'. +Removing empty process `Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6323$1037'. +Found and cleaned up 2 empty switches in `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6303$1036'. +Removing empty process `Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6303$1036'. +Found and cleaned up 2 empty switches in `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6286$1035'. +Removing empty process `Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6286$1035'. +Found and cleaned up 2 empty switches in `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6269$1034'. +Removing empty process `Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6269$1034'. +Found and cleaned up 2 empty switches in `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6252$1033'. +Removing empty process `Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6252$1033'. +Found and cleaned up 2 empty switches in `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6235$1032'. +Removing empty process `Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6235$1032'. +Found and cleaned up 2 empty switches in `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6215$1027'. +Removing empty process `Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6215$1027'. +Found and cleaned up 1 empty switch in `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6207$1026'. +Removing empty process `Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6207$1026'. +Found and cleaned up 1 empty switch in `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6200$1025'. +Removing empty process `Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6200$1025'. +Found and cleaned up 2 empty switches in `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6180$1024'. +Removing empty process `Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6180$1024'. +Removing empty process `Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6176$1023'. +Found and cleaned up 1 empty switch in `\Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6144$1009'. +Removing empty process `Apb3UartCtrl.$proc$PQVexRiscvUlx3s.v:6144$1009'. +Removing empty process `PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5970$1005'. +Found and cleaned up 2 empty switches in `\PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5954$1004'. +Removing empty process `PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5954$1004'. +Found and cleaned up 2 empty switches in `\PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5944$1001'. +Removing empty process `PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5944$1001'. +Found and cleaned up 2 empty switches in `\PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5930$999'. +Removing empty process `PipelinedMemoryBusToApbBridge.$proc$PQVexRiscvUlx3s.v:5930$999'. +Found and cleaned up 2 empty switches in `\SystemDebugger.$proc$PQVexRiscvUlx3s.v:5875$995'. +Removing empty process `SystemDebugger.$proc$PQVexRiscvUlx3s.v:5875$995'. +Found and cleaned up 5 empty switches in `\SystemDebugger.$proc$PQVexRiscvUlx3s.v:5849$991'. +Removing empty process `SystemDebugger.$proc$PQVexRiscvUlx3s.v:5849$991'. +Removing empty process `JtagBridge.$proc$PQVexRiscvUlx3s.v:5544$986'. +Removing empty process `JtagBridge.$proc$PQVexRiscvUlx3s.v:5799$985'. +Found and cleaned up 7 empty switches in `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5764$979'. +Removing empty process `JtagBridge.$proc$PQVexRiscvUlx3s.v:5764$979'. +Found and cleaned up 2 empty switches in `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5753$977'. +Removing empty process `JtagBridge.$proc$PQVexRiscvUlx3s.v:5753$977'. +Found and cleaned up 2 empty switches in `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5738$974'. +Removing empty process `JtagBridge.$proc$PQVexRiscvUlx3s.v:5738$974'. +Found and cleaned up 5 empty switches in `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5710$971'. +Removing empty process `JtagBridge.$proc$PQVexRiscvUlx3s.v:5710$971'. +Found and cleaned up 1 empty switch in `\JtagBridge.$proc$PQVexRiscvUlx3s.v:5656$954'. +Removing empty process `JtagBridge.$proc$PQVexRiscvUlx3s.v:5656$954'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:2122$951'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:2121$950'. +Found and cleaned up 17 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5432$946'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:5432$946'. +Found and cleaned up 8 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5390$943'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:5390$943'. +Found and cleaned up 90 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:5079$840'. +Found and cleaned up 61 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4817$790'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4808$781'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4808$781'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4801$780'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4801$780'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4794$779'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4794$779'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4787$778'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4787$778'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4779$777'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4779$777'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4771$776'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4771$776'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4762$775'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4762$775'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4753$774'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4753$774'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4744$773'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4744$773'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4725$772'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4725$772'. +Found and cleaned up 3 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4657$718'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4657$718'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4646$716'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4646$716'. +Found and cleaned up 3 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4623$715'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4623$715'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4618$713'. +Found and cleaned up 2 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4599$703'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4599$703'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4590$700'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4590$700'. +Found and cleaned up 2 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4581$699'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4581$699'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4564$694'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4564$694'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4550$693'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4550$693'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4521$688'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4521$688'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4499$687'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4475$686'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4460$685'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4441$682'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4441$682'. +Found and cleaned up 3 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4429$675'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4429$675'. +Found and cleaned up 10 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4393$666'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4393$666'. +Found and cleaned up 10 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4365$664'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4365$664'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4330$663'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4294$660'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4285$656'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4285$656'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4268$655'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4268$655'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4245$654'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4221$653'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4203$652'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4203$652'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4189$651'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4189$651'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4175$647'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4175$647'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4166$645'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4166$645'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4130$624'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4130$624'. +Found and cleaned up 2 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4116$608'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4116$608'. +Found and cleaned up 16 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4069$603'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4069$603'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4056$601'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4056$601'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4045$600'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4045$600'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4035$597'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4035$597'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4020$591'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4020$591'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:4006$590'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:4006$590'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3986$589'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3957$586'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3939$583'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3939$583'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3923$581'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3923$581'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3908$580'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3908$580'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3898$570'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3898$570'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3874$561'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3874$561'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3839$549'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3839$549'. +Found and cleaned up 2 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3818$543'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3818$543'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3792$525'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3792$525'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3774$519'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3774$519'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3765$517'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3765$517'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3758$516'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3758$516'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3750$514'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3750$514'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3739$510'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3739$510'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3732$508'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3732$508'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3725$507'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3725$507'. +Found and cleaned up 3 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3709$506'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3709$506'. +Found and cleaned up 2 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3699$505'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3699$505'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3692$504'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3692$504'. +Found and cleaned up 2 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3681$502'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3681$502'. +Found and cleaned up 6 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3660$501'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3660$501'. +Found and cleaned up 2 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3646$500'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3646$500'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3638$499'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3638$499'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3629$498'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3629$498'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3621$497'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3621$497'. +Found and cleaned up 3 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3608$487'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3608$487'. +Found and cleaned up 2 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3599$486'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3599$486'. +Found and cleaned up 2 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3590$485'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3590$485'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3583$484'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3583$484'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3576$483'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3576$483'. +Found and cleaned up 3 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3564$475'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3564$475'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3555$474'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3555$474'. +Found and cleaned up 3 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3542$464'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3542$464'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3523$463'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3523$463'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3512$462'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3512$462'. +Found and cleaned up 3 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3484$459'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3484$459'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3469$458'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3469$458'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3462$456'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3462$456'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3454$454'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3454$454'. +Found and cleaned up 3 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3416$453'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3416$453'. +Found and cleaned up 11 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3385$451'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3385$451'. +Found and cleaned up 11 empty switches in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:3355$449'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:3355$449'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:2696$417'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:2696$417'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:2690$415'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:2690$415'. +Found and cleaned up 1 empty switch in `\VexRiscv.$proc$PQVexRiscvUlx3s.v:2684$413'. +Removing empty process `VexRiscv.$proc$PQVexRiscvUlx3s.v:2684$413'. +Removing empty process `BufferCC_2_.$proc$PQVexRiscvUlx3s.v:1453$234'. +Found and cleaned up 2 empty switches in `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1421$231'. +Removing empty process `StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1421$231'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1413$227'. +Removing empty process `StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1413$227'. +Found and cleaned up 2 empty switches in `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1393$216'. +Removing empty process `StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1393$216'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1384$213'. +Removing empty process `StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1384$213'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1377$212'. +Removing empty process `StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1377$212'. +Found and cleaned up 2 empty switches in `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1366$210'. +Removing empty process `StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1366$210'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1357$207'. +Removing empty process `StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1357$207'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1350$206'. +Removing empty process `StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1350$206'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1343$205'. +Removing empty process `StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1343$205'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1337$201'. +Removing empty process `StreamFifoLowLatency_1_.$proc$PQVexRiscvUlx3s.v:1337$201'. +Found and cleaned up 3 empty switches in `\StreamFork.$proc$PQVexRiscvUlx3s.v:1270$195'. +Removing empty process `StreamFork.$proc$PQVexRiscvUlx3s.v:1270$195'. +Found and cleaned up 2 empty switches in `\StreamFork.$proc$PQVexRiscvUlx3s.v:1250$188'. +Removing empty process `StreamFork.$proc$PQVexRiscvUlx3s.v:1250$188'. +Found and cleaned up 1 empty switch in `\StreamArbiter.$proc$PQVexRiscvUlx3s.v:1215$187'. +Removing empty process `StreamArbiter.$proc$PQVexRiscvUlx3s.v:1215$187'. +Found and cleaned up 2 empty switches in `\StreamArbiter.$proc$PQVexRiscvUlx3s.v:1202$185'. +Removing empty process `StreamArbiter.$proc$PQVexRiscvUlx3s.v:1202$185'. +Removing empty process `InterruptCtrl.$proc$PQVexRiscvUlx3s.v:1138$167'. +Found and cleaned up 2 empty switches in `\Timer.$proc$PQVexRiscvUlx3s.v:1114$164'. +Removing empty process `Timer.$proc$PQVexRiscvUlx3s.v:1114$164'. +Found and cleaned up 2 empty switches in `\Timer.$proc$PQVexRiscvUlx3s.v:1101$163'. +Removing empty process `Timer.$proc$PQVexRiscvUlx3s.v:1101$163'. +Found and cleaned up 1 empty switch in `\Prescaler.$proc$PQVexRiscvUlx3s.v:1071$155'. +Removing empty process `Prescaler.$proc$PQVexRiscvUlx3s.v:1071$155'. +Found and cleaned up 2 empty switches in `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1038$150'. +Removing empty process `StreamFifo.$proc$PQVexRiscvUlx3s.v:1038$150'. +Found and cleaned up 1 empty switch in `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1020$132'. +Removing empty process `StreamFifo.$proc$PQVexRiscvUlx3s.v:1020$132'. +Found and cleaned up 1 empty switch in `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1011$129'. +Removing empty process `StreamFifo.$proc$PQVexRiscvUlx3s.v:1011$129'. +Found and cleaned up 1 empty switch in `\StreamFifo.$proc$PQVexRiscvUlx3s.v:1004$128'. +Removing empty process `StreamFifo.$proc$PQVexRiscvUlx3s.v:1004$128'. +Found and cleaned up 1 empty switch in `\StreamFifo.$proc$PQVexRiscvUlx3s.v:997$126'. +Removing empty process `StreamFifo.$proc$PQVexRiscvUlx3s.v:997$126'. +Found and cleaned up 1 empty switch in `\StreamFifo.$proc$PQVexRiscvUlx3s.v:988$123'. +Removing empty process `StreamFifo.$proc$PQVexRiscvUlx3s.v:988$123'. +Found and cleaned up 1 empty switch in `\StreamFifo.$proc$PQVexRiscvUlx3s.v:981$122'. +Removing empty process `StreamFifo.$proc$PQVexRiscvUlx3s.v:981$122'. +Found and cleaned up 1 empty switch in `\StreamFifo.$proc$PQVexRiscvUlx3s.v:974$121'. +Removing empty process `StreamFifo.$proc$PQVexRiscvUlx3s.v:974$121'. +Found and cleaned up 1 empty switch in `\StreamFifo.$proc$PQVexRiscvUlx3s.v:968$117'. +Removing empty process `StreamFifo.$proc$PQVexRiscvUlx3s.v:968$117'. +Found and cleaned up 1 empty switch in `\StreamFifo.$proc$PQVexRiscvUlx3s.v:962$115'. +Removing empty process `StreamFifo.$proc$PQVexRiscvUlx3s.v:962$115'. +Found and cleaned up 1 empty switch in `\UartCtrl.$proc$PQVexRiscvUlx3s.v:899$111'. +Removing empty process `UartCtrl.$proc$PQVexRiscvUlx3s.v:899$111'. +Found and cleaned up 1 empty switch in `\UartCtrl.$proc$PQVexRiscvUlx3s.v:884$110'. +Removing empty process `UartCtrl.$proc$PQVexRiscvUlx3s.v:884$110'. +Found and cleaned up 1 empty switch in `\UartCtrl.$proc$PQVexRiscvUlx3s.v:877$109'. +Removing empty process `UartCtrl.$proc$PQVexRiscvUlx3s.v:877$109'. +Removing empty process `FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:741$107'. +Removing empty process `FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:780$106'. +Removing empty process `FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:774$105'. +Found and cleaned up 1 empty switch in `\FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:766$103'. +Removing empty process `FlowCCByToggle.$proc$PQVexRiscvUlx3s.v:766$103'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:719$101'. +Removing empty process `StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:719$101'. +Found and cleaned up 2 empty switches in `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:706$99'. +Removing empty process `StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:706$99'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:697$97'. +Removing empty process `StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:697$97'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:689$96'. +Removing empty process `StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:689$96'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:680$95'. +Removing empty process `StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:680$95'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:665$87'. +Removing empty process `StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:665$87'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:658$86'. +Removing empty process `StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:658$86'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:649$84'. +Removing empty process `StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:649$84'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:642$83'. +Removing empty process `StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:642$83'. +Found and cleaned up 1 empty switch in `\StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:635$82'. +Removing empty process `StreamFifoLowLatency.$proc$PQVexRiscvUlx3s.v:635$82'. +Found and cleaned up 10 empty switches in `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:553$61'. +Removing empty process `UartCtrlRx.$proc$PQVexRiscvUlx3s.v:553$61'. +Found and cleaned up 16 empty switches in `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:474$43'. +Removing empty process `UartCtrlRx.$proc$PQVexRiscvUlx3s.v:474$43'. +Found and cleaned up 2 empty switches in `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:461$41'. +Removing empty process `UartCtrlRx.$proc$PQVexRiscvUlx3s.v:461$41'. +Found and cleaned up 5 empty switches in `\UartCtrlRx.$proc$PQVexRiscvUlx3s.v:431$39'. +Removing empty process `UartCtrlRx.$proc$PQVexRiscvUlx3s.v:431$39'. +Found and cleaned up 7 empty switches in `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:306$23'. +Removing empty process `UartCtrlTx.$proc$PQVexRiscvUlx3s.v:306$23'. +Found and cleaned up 9 empty switches in `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:260$14'. +Removing empty process `UartCtrlTx.$proc$PQVexRiscvUlx3s.v:260$14'. +Found and cleaned up 3 empty switches in `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:238$13'. +Removing empty process `UartCtrlTx.$proc$PQVexRiscvUlx3s.v:238$13'. +Found and cleaned up 1 empty switch in `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:219$11'. +Removing empty process `UartCtrlTx.$proc$PQVexRiscvUlx3s.v:219$11'. +Found and cleaned up 2 empty switches in `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:208$9'. +Removing empty process `UartCtrlTx.$proc$PQVexRiscvUlx3s.v:208$9'. +Found and cleaned up 1 empty switch in `\UartCtrlTx.$proc$PQVexRiscvUlx3s.v:198$6'. +Removing empty process `UartCtrlTx.$proc$PQVexRiscvUlx3s.v:198$6'. +Removing empty process `BufferCC_1_.$proc$PQVexRiscvUlx3s.v:120$2'. +Removing empty process `BufferCC.$proc$PQVexRiscvUlx3s.v:97$1'. +Cleaned up 579 empty switches. + +2.5. Executing FLATTEN pass (flatten design). +Deleting now unused module PipelinedMemoryBusArbiter_1_. +Deleting now unused module PipelinedMemoryBusArbiter. +Deleting now unused module PipelinedMemoryBusDecoder_1_. +Deleting now unused module PipelinedMemoryBusDecoder. +Deleting now unused module PipelinedMemoryBusRamUlx3s. +Deleting now unused module Apb3Router. +Deleting now unused module Apb3Decoder. +Deleting now unused module MyMem. +Deleting now unused module MuraxApb3Timer. +Deleting now unused module Apb3UartCtrl. +Deleting now unused module PipelinedMemoryBusToApbBridge. +Deleting now unused module SystemDebugger. +Deleting now unused module JtagBridge. +Deleting now unused module VexRiscv. +Deleting now unused module BufferCC_2_. +Deleting now unused module StreamFifoLowLatency_1_. +Deleting now unused module StreamFork. +Deleting now unused module StreamArbiter. +Deleting now unused module InterruptCtrl. +Deleting now unused module Timer. +Deleting now unused module Prescaler. +Deleting now unused module StreamFifo. +Deleting now unused module UartCtrl. +Deleting now unused module FlowCCByToggle. +Deleting now unused module StreamFifoLowLatency. +Deleting now unused module UartCtrlRx. +Deleting now unused module UartCtrlTx. +Deleting now unused module BufferCC_1_. +Deleting now unused module BufferCC. + + +2.6. Executing TRIBUF pass. + +2.7. Executing DEMINOUT pass (demote inout ports to input or output). + +2.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + + +2.9. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. +Removed 299 unused cells and 2697 unused wires. + + +2.10. Executing CHECK pass (checking for obvious problems). +Checking module PQVexRiscvUlx3s... +Found and reported 0 problems. + +2.11. Executing OPT pass (performing simple optimizations). + +2.11.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + + +2.11.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. + +Removed a total of 175 cells. + +2.11.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \PQVexRiscvUlx3s.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Replacing known input bits on port A of cell $flatten\pipelinedMemoryBusToApbBridge_1_.$procmux$2009: \pipelinedMemoryBusToApbBridge_1_.state -> 1'1 + Replacing known input bits on port B of cell $flatten\systemDebugger_1_.$procmux$2050: \systemDebugger_1_.dispatcher_headerLoaded -> 1'1 + Replacing known input bits on port A of cell $flatten\systemDebugger_1_.$procmux$2048: \systemDebugger_1_.dispatcher_headerLoaded -> 1'0 + Analyzing evaluation results. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3560. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3685. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3694. + dead port 1/5 on $pmux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3697. + dead port 2/5 on $pmux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3697. + dead port 3/5 on $pmux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3697. + dead port 4/5 on $pmux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3697. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.$procmux$1896. + dead port 1/5 on $pmux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3706. + dead port 2/5 on $pmux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3706. + dead port 3/5 on $pmux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3706. + dead port 4/5 on $pmux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3706. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.$procmux$1905. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3716. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3718. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3724. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.$procmux$1914. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.$procmux$1923. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3783. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3785. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3792. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.$procmux$1932. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.$procmux$1943. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.$procmux$1962. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2711. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2713. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2722. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2750. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2752. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2761. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2779. + dead port 1/2 on $mux $flatten\core_cpu.$procmux$2805. + dead port 1/2 on $mux $flatten\core_cpu.$procmux$2808. + dead port 1/2 on $mux $flatten\core_cpu.$procmux$2814. + dead port 1/2 on $mux $flatten\core_cpu.$procmux$2827. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2829. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2835. + dead port 1/2 on $mux $flatten\core_cpu.$procmux$2845. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2847. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2853. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2871. + dead port 1/2 on $mux $flatten\core_cpu.$procmux$2884. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2886. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2892. + dead port 1/2 on $mux $flatten\core_cpu.$procmux$2902. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2904. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2910. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$2928. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3109. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3142. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3172. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3184. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3193. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3208. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3240. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3265. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3275. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3277. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3283. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3293. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3295. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3301. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3313. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3319. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3328. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3338. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3340. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3346. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3356. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3358. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3364. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3376. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3382. + dead port 2/2 on $mux $flatten\core_cpu.$procmux$3391. + dead port 2/2 on $mux $flatten\jtagBridge_1_.$procmux$2096. + dead port 2/2 on $mux $flatten\jtagBridge_1_.$procmux$2105. + dead port 2/2 on $mux $flatten\jtagBridge_1_.$procmux$2114. + dead port 2/2 on $mux $flatten\muraxApb3Timer_1_.$procmux$1719. + dead port 2/2 on $mux $flatten\muraxApb3Timer_1_.$procmux$1731. + dead port 2/2 on $mux $flatten\muraxApb3Timer_1_.$procmux$1745. + dead port 2/2 on $mux $flatten\muraxApb3Timer_1_.$procmux$1768. + dead port 2/2 on $mux $flatten\muraxApb3Timer_1_.$procmux$1788. + dead port 2/2 on $mux $flatten\muraxApb3Timer_1_.$procmux$1817. + dead port 2/2 on $mux $flatten\pipelinedMemoryBusToApbBridge_1_.$procmux$2018. + dead port 2/2 on $mux $flatten\pipelinedMemoryBusToApbBridge_1_.$procmux$2027. +Removed 85 multiplexer ports. + + +2.11.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \PQVexRiscvUlx3s. + Consolidated identical input bits for $mux cell $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$procmux$3503: + Old ports: A=8'00000000, B=8'11111111, Y=$flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 + New ports: A=1'0, B=1'1, Y=$flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] + New connections: $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [7:1] = { $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] } + New ctrl vector for $pmux cell $flatten\core_cpu.$procmux$2789: $auto$opt_reduce.cc:134:opt_mux$4129 + New ctrl vector for $pmux cell $flatten\core_cpu.$procmux$2797: { $flatten\core_cpu.$procmux$2800_CMP $auto$opt_reduce.cc:134:opt_mux$4131 } + New ctrl vector for $mux cell $flatten\core_cpu.$procmux$2874: { } + New ctrl vector for $mux cell $flatten\core_cpu.$procmux$2931: { } + New ctrl vector for $pmux cell $flatten\core_cpu.$procmux$3072: $auto$opt_reduce.cc:134:opt_mux$4133 + Consolidated identical input bits for $mux cell $flatten\core_cpu.$procmux$3396: + Old ports: A=0, B=32'11111111111111111111111111111111, Y=$flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 + New ports: A=1'0, B=1'1, Y=$flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] + New connections: $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [31:1] = { $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] $flatten\core_cpu.$0$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN[31:0]$420 [0] } + New ctrl vector for $pmux cell $flatten\apb3Router_1_.$procmux$1657: { } + Consolidated identical input bits for $mux cell $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$procmux$3503: + Old ports: A=8'00000000, B=8'11111111, Y=$flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 + New ports: A=1'0, B=1'1, Y=$flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] + New connections: $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [7:1] = { $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$0$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN[7:0]$120 [0] } + Consolidated identical input bits for $mux cell $flatten\memory_ramBlocks_0.$procmux$1616: + Old ports: A=8'00000000, B=8'11111111, Y=$flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 + New ports: A=1'0, B=1'1, Y=$flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] + New connections: $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [7:1] = { $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] } + Consolidated identical input bits for $mux cell $flatten\memory_ramBlocks_0.$procmux$1622: + Old ports: A=8'00000000, B=8'11111111, Y=$flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 + New ports: A=1'0, B=1'1, Y=$flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] + New connections: $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [7:1] = { $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] } + Consolidated identical input bits for $mux cell $flatten\memory_ramBlocks_0.$procmux$1628: + Old ports: A=8'00000000, B=8'11111111, Y=$flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 + New ports: A=1'0, B=1'1, Y=$flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] + New connections: $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [7:1] = { $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] } + Consolidated identical input bits for $mux cell $flatten\memory_ramBlocks_0.$procmux$1634: + Old ports: A=8'00000000, B=8'11111111, Y=$flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 + New ports: A=1'0, B=1'1, Y=$flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] + New connections: $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [7:1] = { $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] $flatten\memory_ramBlocks_0.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] } + Consolidated identical input bits for $mux cell $flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$procmux$3440: + Old ports: A=2'00, B=2'11, Y=$flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$0$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_EN[1:0]$204 + New ports: A=1'0, B=1'1, Y=$flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$0$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_EN[1:0]$204 [0] + New connections: $flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$0$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_EN[1:0]$204 [1] = $flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$0$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_EN[1:0]$204 [0] + Consolidated identical input bits for $mux cell $flatten\memory_ramBlocks_1.$procmux$1616: + Old ports: A=8'00000000, B=8'11111111, Y=$flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 + New ports: A=1'0, B=1'1, Y=$flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] + New connections: $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [7:1] = { $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN[7:0]$1124 [0] } + Consolidated identical input bits for $mux cell $flatten\memory_ramBlocks_1.$procmux$1622: + Old ports: A=8'00000000, B=8'11111111, Y=$flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 + New ports: A=1'0, B=1'1, Y=$flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] + New connections: $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [7:1] = { $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN[7:0]$1127 [0] } + Consolidated identical input bits for $mux cell $flatten\memory_ramBlocks_1.$procmux$1628: + Old ports: A=8'00000000, B=8'11111111, Y=$flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 + New ports: A=1'0, B=1'1, Y=$flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] + New connections: $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [7:1] = { $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN[7:0]$1130 [0] } + Consolidated identical input bits for $mux cell $flatten\memory_ramBlocks_1.$procmux$1634: + Old ports: A=8'00000000, B=8'11111111, Y=$flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 + New ports: A=1'0, B=1'1, Y=$flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] + New connections: $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [7:1] = { $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] $flatten\memory_ramBlocks_1.$0$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN[7:0]$1133 [0] } + Consolidated identical input bits for $mux cell $flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$procmux$3440: + Old ports: A=2'00, B=2'11, Y=$flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$0$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_EN[1:0]$204 + New ports: A=1'0, B=1'1, Y=$flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$0$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_EN[1:0]$204 [0] + New connections: $flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$0$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_EN[1:0]$204 [1] = $flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$0$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_EN[1:0]$204 [0] + New ctrl vector for $pmux cell $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3727: { $auto$opt_reduce.cc:134:opt_mux$4135 $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3594_CMP } + New ctrl vector for $mux cell $flatten\io_apb_decoder.$procmux$1664: { } + Optimizing cells in module \PQVexRiscvUlx3s. +Performed a total of 21 changes. + +2.11.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. + +Removed a total of 31 cells. + +2.11.6. Executing OPT_DFF pass (perform DFF optimizations). +Removing never-active SET on $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.\io_rxd_buffercc.$procdff$4121 ($dffsr) from module PQVexRiscvUlx3s. +Removing never-active SET on $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.\io_rxd_buffercc.$procdff$4114 ($dffsr) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 0 on $flatten\core_cpu.$procdff$4044 ($adff) from module PQVexRiscvUlx3s. + +2.11.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. +Removed 2 unused cells and 318 unused wires. + + +2.11.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + + +2.11.9. Rerunning OPT passes. (Maybe there is more to do..) + +2.11.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \PQVexRiscvUlx3s.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.11.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \PQVexRiscvUlx3s. + New ctrl vector for $pmux cell $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3593: { $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3561_CMP $auto$opt_reduce.cc:134:opt_mux$4137 } + New ctrl vector for $pmux cell $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3744: { $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3750_CMP $auto$opt_reduce.cc:134:opt_mux$4139 } + New ctrl vector for $pmux cell $flatten\jtagBridge_1_.$procmux$2124: { $flatten\jtagBridge_1_.$procmux$2138_CMP $auto$opt_reduce.cc:134:opt_mux$4145 $flatten\jtagBridge_1_.$procmux$2135_CMP $flatten\jtagBridge_1_.$procmux$2134_CMP $flatten\jtagBridge_1_.$procmux$2133_CMP $flatten\jtagBridge_1_.$procmux$2131_CMP $auto$opt_reduce.cc:134:opt_mux$4143 $flatten\jtagBridge_1_.$procmux$2128_CMP $flatten\jtagBridge_1_.$procmux$2127_CMP $flatten\jtagBridge_1_.$procmux$2126_CMP $auto$opt_reduce.cc:134:opt_mux$4141 } + Optimizing cells in module \PQVexRiscvUlx3s. +Performed a total of 3 changes. + +2.11.12. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. +Removed a total of 0 cells. + +2.11.13. Executing OPT_DFF pass (perform DFF optimizations). + +2.11.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. +Removed 0 unused cells and 4 unused wires. + + +2.11.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + +2.11.16. Rerunning OPT passes. (Maybe there is more to do..) + +2.11.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \PQVexRiscvUlx3s.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.11.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \PQVexRiscvUlx3s. +Performed a total of 0 changes. + +2.11.19. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. +Removed a total of 0 cells. + +2.11.20. Executing OPT_DFF pass (perform DFF optimizations). + +2.11.21. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. + +2.11.22. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + +2.11.23. Finished OPT passes. (There is nothing left to do.) + +2.12. Executing FSM pass (extract and optimize FSM). + +2.12.1. Executing FSM_DETECT pass (finding FSMs in design). +Not marking PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN as FSM state register: + Users of register don't seem to benefit from recoding. +Not marking PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$memwr$\logic_ram$PQVexRiscvUlx3s.v:970$113_EN as FSM state register: + Users of register don't seem to benefit from recoding. +Not marking PQVexRiscvUlx3s.$flatten\core_cpu.$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2698$235_EN as FSM state register: + Users of register don't seem to benefit from recoding. +Not marking PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN as FSM state register: + Users of register don't seem to benefit from recoding. +Not marking PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN as FSM state register: + Users of register don't seem to benefit from recoding. +Not marking PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN as FSM state register: + Users of register don't seem to benefit from recoding. +Not marking PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN as FSM state register: + Users of register don't seem to benefit from recoding. +Not marking PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_EN as FSM state register: + Users of register don't seem to benefit from recoding. +Not marking PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_EN as FSM state register: + Users of register don't seem to benefit from recoding. +Not marking PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_EN as FSM state register: + Users of register don't seem to benefit from recoding. +Not marking PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_EN as FSM state register: + Users of register don't seem to benefit from recoding. +Not marking PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_EN as FSM state register: + Users of register don't seem to benefit from recoding. +Not marking PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$memwr$\ram$PQVexRiscvUlx3s.v:1339$198_EN as FSM state register: + Users of register don't seem to benefit from recoding. +Not marking PQVexRiscvUlx3s._zz_8_ as FSM state register: + Users of register don't seem to benefit from recoding. +Not marking PQVexRiscvUlx3s._zz_9_ as FSM state register: + Users of register don't seem to benefit from recoding. +Found FSM state register PQVexRiscvUlx3s.apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state. +Found FSM state register PQVexRiscvUlx3s.apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state. +Not marking PQVexRiscvUlx3s.core_cpu.CsrPlugin_interrupt_code as FSM state register: + Users of register don't seem to benefit from recoding. +Found FSM state register PQVexRiscvUlx3s.core_cpu.CsrPlugin_interrupt_targetPrivilege. +Not marking PQVexRiscvUlx3s.core_cpu._zz_125_ as FSM state register: + Users of register don't seem to benefit from recoding. +Not marking PQVexRiscvUlx3s.jtagBridge_1_.jtag_tap_fsm_state as FSM state register: + Register has an initialization value. + +2.12.2. Executing FSM_EXTRACT pass (extracting FSM from design). +Extracting FSM `\apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state' from module `\PQVexRiscvUlx3s'. + found $adff cell for state register: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procdff$4099 + root of input selection tree: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$0\stateMachine_state[2:0] + found reset state: 3'000 (from async reset) + found ctrl input: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3594_CMP + found ctrl input: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3561_CMP + found ctrl input: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3603_CMP + found ctrl input: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3615_CMP + found ctrl input: \apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_tick + found ctrl input: \apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_value + found ctrl input: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$eq$PQVexRiscvUlx3s.v:543$59_Y + found ctrl input: \apb3UartCtrl_1_.uartCtrl_1_.rx._zz_3_ + found state code: 3'100 + found ctrl input: \apb3UartCtrl_1_.uartCtrl_1_.rx._zz_7_ + found state code: 3'010 + found ctrl input: \apb3UartCtrl_1_.uartCtrl_1_.rx._zz_6_ + found state code: 3'001 + found ctrl output: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3615_CMP + found ctrl output: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3603_CMP + found ctrl output: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3594_CMP + found ctrl output: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3561_CMP + ctrl inputs: { \apb3UartCtrl_1_.uartCtrl_1_.rx._zz_3_ \apb3UartCtrl_1_.uartCtrl_1_.rx._zz_6_ \apb3UartCtrl_1_.uartCtrl_1_.rx._zz_7_ \apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_value \apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_tick $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$eq$PQVexRiscvUlx3s.v:543$59_Y } + ctrl outputs: { $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$0\stateMachine_state[2:0] $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3561_CMP $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3594_CMP $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3603_CMP $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3615_CMP } + transition: 3'000 6'-0---- -> 3'000 7'0000001 + transition: 3'000 6'-1---- -> 3'001 7'0010001 + transition: 3'100 6'----0- -> 3'100 7'1000000 + transition: 3'100 6'---01- -> 3'000 7'0000000 + transition: 3'100 6'---110 -> 3'100 7'1000000 + transition: 3'100 6'---111 -> 3'000 7'0000000 + transition: 3'010 6'----0- -> 3'010 7'0101000 + transition: 3'010 6'--0-1- -> 3'010 7'0101000 + transition: 3'010 6'--1-1- -> 3'100 7'1001000 + transition: 3'001 6'----0- -> 3'001 7'0010010 + transition: 3'001 6'---01- -> 3'010 7'0100010 + transition: 3'001 6'---11- -> 3'000 7'0000010 +Extracting FSM `\apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state' from module `\PQVexRiscvUlx3s'. + found $adff cell for state register: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procdff$4110 + root of input selection tree: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$0\stateMachine_state[2:0] + found reset state: 3'000 (from async reset) + found ctrl input: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3745_CMP + found ctrl input: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3750_CMP + found ctrl input: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3739_CMP + found ctrl input: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3776_CMP + found ctrl input: \apb3UartCtrl_1_.uartCtrl_1_.tx.clockDivider_counter_willOverflow + found ctrl input: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$eq$PQVexRiscvUlx3s.v:296$19_Y + found ctrl input: \apb3UartCtrl_1_.uartCtrl_1_.tx.io_write_valid + found state code: 3'001 + found state code: 3'100 + found ctrl input: \apb3UartCtrl_1_.uartCtrl_1_.tx._zz_2_ + found state code: 3'010 + found ctrl input: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$logic_and$PQVexRiscvUlx3s.v:269$17_Y + found ctrl output: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3776_CMP + found ctrl output: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3750_CMP + found ctrl output: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3745_CMP + found ctrl output: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3739_CMP + ctrl inputs: { \apb3UartCtrl_1_.uartCtrl_1_.tx.io_write_valid \apb3UartCtrl_1_.uartCtrl_1_.tx._zz_2_ \apb3UartCtrl_1_.uartCtrl_1_.tx.clockDivider_counter_willOverflow $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$logic_and$PQVexRiscvUlx3s.v:269$17_Y $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$eq$PQVexRiscvUlx3s.v:296$19_Y } + ctrl outputs: { $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$0\stateMachine_state[2:0] $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3739_CMP $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3745_CMP $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3750_CMP $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3776_CMP } + transition: 3'000 5'---0- -> 3'000 7'0000001 + transition: 3'000 5'---1- -> 3'001 7'0010001 + transition: 3'100 5'--0-- -> 3'100 7'1000000 + transition: 3'100 5'--1-0 -> 3'100 7'1000000 + transition: 3'100 5'0-1-1 -> 3'000 7'0000000 + transition: 3'100 5'1-1-1 -> 3'001 7'0010000 + transition: 3'010 5'--0-- -> 3'010 7'0100010 + transition: 3'010 5'-01-- -> 3'010 7'0100010 + transition: 3'010 5'-11-- -> 3'100 7'1000010 + transition: 3'001 5'--0-- -> 3'001 7'0011000 + transition: 3'001 5'--1-- -> 3'010 7'0101000 +Extracting FSM `\core_cpu.CsrPlugin_interrupt_targetPrivilege' from module `\PQVexRiscvUlx3s'. + found $dff cell for state register: $flatten\core_cpu.$procdff$3934 + root of input selection tree: $flatten\core_cpu.$0\CsrPlugin_interrupt_targetPrivilege[1:0] + found ctrl input: \core_cpu.CsrPlugin_mstatus_MIE + found ctrl input: \core_cpu._zz_164_ + found ctrl input: \core_cpu._zz_163_ + found ctrl input: \core_cpu._zz_162_ + found state code: 2'11 + fsm extraction failed: at least two states are required. + +2.12.3. Executing FSM_OPT pass (simple optimizations of FSMs). +Optimizing FSM `$fsm$\apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state$4152' from module `\PQVexRiscvUlx3s'. +Optimizing FSM `$fsm$\apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state$4146' from module `\PQVexRiscvUlx3s'. + Removing unused input signal \apb3UartCtrl_1_.uartCtrl_1_.rx._zz_3_. + +2.12.4. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. +Removed 30 unused cells and 30 unused wires. + + +2.12.5. Executing FSM_OPT pass (simple optimizations of FSMs). +Optimizing FSM `$fsm$\apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state$4146' from module `\PQVexRiscvUlx3s'. + Removing unused output signal $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$0\stateMachine_state[2:0] [0]. + Removing unused output signal $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$0\stateMachine_state[2:0] [1]. + Removing unused output signal $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$0\stateMachine_state[2:0] [2]. +Optimizing FSM `$fsm$\apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state$4152' from module `\PQVexRiscvUlx3s'. + Removing unused output signal $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3776_CMP. + Removing unused output signal $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$0\stateMachine_state[2:0] [0]. + Removing unused output signal $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$0\stateMachine_state[2:0] [1]. + Removing unused output signal $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$0\stateMachine_state[2:0] [2]. + +2.12.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). +Recoding FSM `$fsm$\apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state$4146' from module `\PQVexRiscvUlx3s' using `auto' encoding: + mapping auto encoding to `one-hot` for this FSM. + 000 -> ---1 + 100 -> --1- + 010 -> -1-- + 001 -> 1--- +Recoding FSM `$fsm$\apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state$4152' from module `\PQVexRiscvUlx3s' using `auto' encoding: + mapping auto encoding to `one-hot` for this FSM. + 000 -> ---1 + 100 -> --1- + 010 -> -1-- + 001 -> 1--- + +2.12.7. Executing FSM_INFO pass (dumping all available information on FSM cells). + +FSM `$fsm$\apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state$4146' from module `PQVexRiscvUlx3s': +------------------------------------- + + Information on FSM $fsm$\apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state$4146 (\apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state): + + Number of input signals: 5 + Number of output signals: 4 + Number of state bits: 4 + + Input signals: + 0: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$eq$PQVexRiscvUlx3s.v:543$59_Y + 1: \apb3UartCtrl_1_.uartCtrl_1_.rx.bitTimer_tick + 2: \apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_value + 3: \apb3UartCtrl_1_.uartCtrl_1_.rx._zz_7_ + 4: \apb3UartCtrl_1_.uartCtrl_1_.rx._zz_6_ + + Output signals: + 0: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3615_CMP + 1: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3603_CMP + 2: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3594_CMP + 3: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3561_CMP + + State encoding: + 0: 4'---1 + 1: 4'--1- + 2: 4'-1-- + 3: 4'1--- + + Transition Table (state_in, ctrl_in, state_out, ctrl_out): + 0: 0 5'0---- -> 0 4'0001 + 1: 0 5'1---- -> 3 4'0001 + 2: 1 5'--111 -> 0 4'0000 + 3: 1 5'--01- -> 0 4'0000 + 4: 1 5'--110 -> 1 4'0000 + 5: 1 5'---0- -> 1 4'0000 + 6: 2 5'-1-1- -> 1 4'1000 + 7: 2 5'---0- -> 2 4'1000 + 8: 2 5'-0-1- -> 2 4'1000 + 9: 3 5'--11- -> 0 4'0010 + 10: 3 5'--01- -> 2 4'0010 + 11: 3 5'---0- -> 3 4'0010 + +------------------------------------- + +FSM `$fsm$\apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state$4152' from module `PQVexRiscvUlx3s': +------------------------------------- + + Information on FSM $fsm$\apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state$4152 (\apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state): + + Number of input signals: 5 + Number of output signals: 3 + Number of state bits: 4 + + Input signals: + 0: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$eq$PQVexRiscvUlx3s.v:296$19_Y + 1: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$logic_and$PQVexRiscvUlx3s.v:269$17_Y + 2: \apb3UartCtrl_1_.uartCtrl_1_.tx.clockDivider_counter_willOverflow + 3: \apb3UartCtrl_1_.uartCtrl_1_.tx._zz_2_ + 4: \apb3UartCtrl_1_.uartCtrl_1_.tx.io_write_valid + + Output signals: + 0: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3750_CMP + 1: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3745_CMP + 2: $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3739_CMP + + State encoding: + 0: 4'---1 + 1: 4'--1- + 2: 4'-1-- + 3: 4'1--- + + Transition Table (state_in, ctrl_in, state_out, ctrl_out): + 0: 0 5'---0- -> 0 3'000 + 1: 0 5'---1- -> 3 3'000 + 2: 1 5'0-1-1 -> 0 3'000 + 3: 1 5'--1-0 -> 1 3'000 + 4: 1 5'--0-- -> 1 3'000 + 5: 1 5'1-1-1 -> 3 3'000 + 6: 2 5'-11-- -> 1 3'001 + 7: 2 5'--0-- -> 2 3'001 + 8: 2 5'-01-- -> 2 3'001 + 9: 3 5'--1-- -> 2 3'100 + 10: 3 5'--0-- -> 3 3'100 + +------------------------------------- + +2.12.8. Executing FSM_MAP pass (mapping FSMs to basic logic). +Mapping FSM `$fsm$\apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_state$4146' from module `\PQVexRiscvUlx3s'. +Mapping FSM `$fsm$\apb3UartCtrl_1_.uartCtrl_1_.tx.stateMachine_state$4152' from module `\PQVexRiscvUlx3s'. + +2.13. Executing OPT pass (performing simple optimizations). + +2.13.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + + +2.13.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. + +Removed a total of 5 cells. + +2.13.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \PQVexRiscvUlx3s.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. + dead port 1/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3627. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3627. + dead port 1/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3629. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3629. + dead port 1/3 on $pmux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3631. + dead port 1/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3714. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3714. + dead port 1/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3722. + dead port 2/2 on $mux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3722. + dead port 1/3 on $pmux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3727. + dead port 1/4 on $pmux $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$procmux$3799. +Removed 11 multiplexer ports. + + +2.13.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \PQVexRiscvUlx3s. +Performed a total of 0 changes. + +2.13.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. +Removed a total of 0 cells. + +2.13.6. Executing OPT_DFF pass (perform DFF optimizations). +Adding SRST signal on $procdff$3841 ($dff) from module PQVexRiscvUlx3s (D = \asyncReset_buffercc.buffers_1, Q = \resetCtrl_systemClockReset, rval = 1'1). +Adding EN signal on $procdff$3840 ($adff) from module PQVexRiscvUlx3s (D = $logic_or$PQVexRiscvUlx3s.v:8180$1255_Y, Q = \_zz_30_). +Adding EN signal on $procdff$3839 ($adff) from module PQVexRiscvUlx3s (D = $0\_zz_24_[0:0], Q = \_zz_24_). +Adding EN signal on $procdff$3838 ($adff) from module PQVexRiscvUlx3s (D = $logic_or$PQVexRiscvUlx3s.v:8171$1254_Y, Q = \_zz_11_). +Adding EN signal on $procdff$3837 ($adff) from module PQVexRiscvUlx3s (D = $0\_zz_5_[0:0], Q = \_zz_5_). +Adding EN signal on $procdff$3836 ($dff) from module PQVexRiscvUlx3s (D = $ternary$PQVexRiscvUlx3s.v:8208$1264_Y, Q = \_zz_34_). +Adding EN signal on $procdff$3835 ($dff) from module PQVexRiscvUlx3s (D = $ternary$PQVexRiscvUlx3s.v:8207$1263_Y, Q = \_zz_33_). +Adding EN signal on $procdff$3834 ($dff) from module PQVexRiscvUlx3s (D = $ternary$PQVexRiscvUlx3s.v:8206$1262_Y, Q = \_zz_32_). +Adding EN signal on $procdff$3833 ($dff) from module PQVexRiscvUlx3s (D = $ternary$PQVexRiscvUlx3s.v:8205$1261_Y, Q = \_zz_31_). +Adding EN signal on $procdff$3832 ($dff) from module PQVexRiscvUlx3s (D = \_zz_21_, Q = \_zz_28_). +Adding EN signal on $procdff$3831 ($dff) from module PQVexRiscvUlx3s (D = \_zz_20_, Q = \_zz_27_). +Adding EN signal on $procdff$3830 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.execute_SRC_ADD_SUB, Q = \_zz_26_). +Adding EN signal on $procdff$3829 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_to_execute_MEMORY_STORE, Q = \_zz_25_). +Adding EN signal on $procdff$3828 ($dff) from module PQVexRiscvUlx3s (D = $ternary$PQVexRiscvUlx3s.v:8196$1260_Y, Q = \_zz_15_). +Adding EN signal on $procdff$3827 ($dff) from module PQVexRiscvUlx3s (D = $ternary$PQVexRiscvUlx3s.v:8195$1259_Y, Q = \_zz_14_). +Adding SRST signal on $auto$opt_dff.cc:764:run$4284 ($dffe) from module PQVexRiscvUlx3s (D = \_zz_8_, Q = \_zz_14_, rval = 0). +Adding EN signal on $procdff$3826 ($dff) from module PQVexRiscvUlx3s (D = $ternary$PQVexRiscvUlx3s.v:8194$1258_Y, Q = \_zz_13_). +Adding SRST signal on $auto$opt_dff.cc:764:run$4286 ($dffe) from module PQVexRiscvUlx3s (D = \_zz_7_ [1:0], Q = \_zz_13_ [1:0], rval = 2'00). +Adding EN signal on $procdff$3825 ($dff) from module PQVexRiscvUlx3s (D = $ternary$PQVexRiscvUlx3s.v:8193$1257_Y, Q = \_zz_12_). +Adding SRST signal on $auto$opt_dff.cc:764:run$4288 ($dffe) from module PQVexRiscvUlx3s (D = \_zz_6_, Q = \_zz_12_, rval = 1'0). +Adding EN signal on $procdff$3824 ($dff) from module PQVexRiscvUlx3s (D = 4'xxxx, Q = \_zz_9_). +Setting constant 1-bit at position 0 on $auto$opt_dff.cc:764:run$4290 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 1-bit at position 1 on $auto$opt_dff.cc:764:run$4290 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 1-bit at position 2 on $auto$opt_dff.cc:764:run$4290 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 1-bit at position 3 on $auto$opt_dff.cc:764:run$4290 ($dffe) from module PQVexRiscvUlx3s. +Adding EN signal on $procdff$3823 ($dff) from module PQVexRiscvUlx3s (D = 0, Q = \_zz_8_). +Setting constant 0-bit at position 0 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 1 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 2 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 3 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 4 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 5 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 6 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 7 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 8 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 9 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 10 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 11 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 12 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 13 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 14 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 15 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 16 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 17 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 18 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 19 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 20 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 21 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 22 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 23 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 24 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 25 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 26 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 27 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 28 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 29 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 30 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 31 on $auto$opt_dff.cc:764:run$4291 ($dffe) from module PQVexRiscvUlx3s. +Adding EN signal on $procdff$3822 ($dff) from module PQVexRiscvUlx3s (D = { \core_cpu.IBusSimplePlugin_fetchPc_pcReg [31:2] 2'00 }, Q = \_zz_7_). +Setting constant 0-bit at position 0 on $auto$opt_dff.cc:764:run$4292 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 1 on $auto$opt_dff.cc:764:run$4292 ($dffe) from module PQVexRiscvUlx3s. +Adding EN signal on $procdff$3821 ($dff) from module PQVexRiscvUlx3s (D = 1'0, Q = \_zz_6_). +Setting constant 0-bit at position 0 on $auto$opt_dff.cc:764:run$4293 ($dffe) from module PQVexRiscvUlx3s. +Adding EN signal on $flatten\systemDebugger_1_.$procdff$3891 ($adff) from module PQVexRiscvUlx3s (D = $flatten\systemDebugger_1_.$procmux$2044_Y, Q = \systemDebugger_1_.dispatcher_counter). +Adding EN signal on $flatten\systemDebugger_1_.$procdff$3890 ($adff) from module PQVexRiscvUlx3s (D = $flatten\systemDebugger_1_.$0\dispatcher_headerLoaded[0:0], Q = \systemDebugger_1_.dispatcher_headerLoaded). +Adding EN signal on $flatten\systemDebugger_1_.$procdff$3889 ($adff) from module PQVexRiscvUlx3s (D = $flatten\systemDebugger_1_.$0\dispatcher_dataLoaded[0:0], Q = \systemDebugger_1_.dispatcher_dataLoaded). +Adding EN signal on $flatten\systemDebugger_1_.$procdff$3888 ($dff) from module PQVexRiscvUlx3s (D = { \jtagBridge_1_.flowCCByToggle_1_.outputArea_flow_regNext_payload_fragment \systemDebugger_1_.dispatcher_headerShifter [7:1] }, Q = \systemDebugger_1_.dispatcher_headerShifter). +Adding EN signal on $flatten\systemDebugger_1_.$procdff$3887 ($dff) from module PQVexRiscvUlx3s (D = { \jtagBridge_1_.flowCCByToggle_1_.outputArea_flow_regNext_payload_fragment \systemDebugger_1_.dispatcher_dataShifter [66:1] }, Q = \systemDebugger_1_.dispatcher_dataShifter). +Adding EN signal on $flatten\myMem_1_.$procdff$3868 ($dff) from module PQVexRiscvUlx3s (D = \_zz_33_, Q = \myMem_1_.myReg). +Adding EN signal on $flatten\muraxApb3Timer_1_.\timerB.$procdff$4072 ($adff) from module PQVexRiscvUlx3s (D = $flatten\muraxApb3Timer_1_.\timerB.$0\inhibitFull[0:0], Q = \muraxApb3Timer_1_.timerB.inhibitFull). +Adding SRST signal on $flatten\muraxApb3Timer_1_.\timerB.$procdff$4071 ($dff) from module PQVexRiscvUlx3s (D = $flatten\muraxApb3Timer_1_.\timerB.$procmux$3468_Y, Q = \muraxApb3Timer_1_.timerB.counter, rval = 16'0000000000000000). +Adding EN signal on $auto$opt_dff.cc:702:run$4323 ($sdff) from module PQVexRiscvUlx3s (D = $flatten\muraxApb3Timer_1_.\timerB.$add$PQVexRiscvUlx3s.v:1116$165_Y, Q = \muraxApb3Timer_1_.timerB.counter). +Adding EN signal on $flatten\muraxApb3Timer_1_.\timerA.$procdff$4072 ($adff) from module PQVexRiscvUlx3s (D = $flatten\muraxApb3Timer_1_.\timerA.$0\inhibitFull[0:0], Q = \muraxApb3Timer_1_.timerA.inhibitFull). +Adding SRST signal on $flatten\muraxApb3Timer_1_.\timerA.$procdff$4071 ($dff) from module PQVexRiscvUlx3s (D = $flatten\muraxApb3Timer_1_.\timerA.$procmux$3468_Y, Q = \muraxApb3Timer_1_.timerA.counter, rval = 16'0000000000000000). +Adding EN signal on $auto$opt_dff.cc:702:run$4328 ($sdff) from module PQVexRiscvUlx3s (D = $flatten\muraxApb3Timer_1_.\timerA.$add$PQVexRiscvUlx3s.v:1116$165_Y, Q = \muraxApb3Timer_1_.timerA.counter). +Adding SRST signal on $flatten\muraxApb3Timer_1_.\prescaler_1_.$procdff$4073 ($dff) from module PQVexRiscvUlx3s (D = $flatten\muraxApb3Timer_1_.\prescaler_1_.$add$PQVexRiscvUlx3s.v:1072$156_Y, Q = \muraxApb3Timer_1_.prescaler_1_.counter, rval = 16'0000000000000000). +Adding EN signal on $flatten\muraxApb3Timer_1_.$procdff$3876 ($adff) from module PQVexRiscvUlx3s (D = \_zz_33_ [1:0], Q = \muraxApb3Timer_1_.interruptCtrl_1__io_masks_driver). +Adding EN signal on $flatten\muraxApb3Timer_1_.$procdff$3875 ($adff) from module PQVexRiscvUlx3s (D = \_zz_33_ [16], Q = \muraxApb3Timer_1_.timerBBridge_clearsEnable). +Adding EN signal on $flatten\muraxApb3Timer_1_.$procdff$3874 ($adff) from module PQVexRiscvUlx3s (D = \_zz_33_ [1:0], Q = \muraxApb3Timer_1_.timerBBridge_ticksEnable). +Adding EN signal on $flatten\muraxApb3Timer_1_.$procdff$3873 ($adff) from module PQVexRiscvUlx3s (D = \_zz_33_ [16], Q = \muraxApb3Timer_1_.timerABridge_clearsEnable). +Adding EN signal on $flatten\muraxApb3Timer_1_.$procdff$3872 ($adff) from module PQVexRiscvUlx3s (D = \_zz_33_ [1:0], Q = \muraxApb3Timer_1_.timerABridge_ticksEnable). +Adding EN signal on $flatten\muraxApb3Timer_1_.$procdff$3871 ($dff) from module PQVexRiscvUlx3s (D = \_zz_33_ [15:0], Q = \muraxApb3Timer_1_.timerB_io_limit_driver). +Adding EN signal on $flatten\muraxApb3Timer_1_.$procdff$3870 ($dff) from module PQVexRiscvUlx3s (D = \_zz_33_ [15:0], Q = \muraxApb3Timer_1_.timerA_io_limit_driver). +Adding EN signal on $flatten\muraxApb3Timer_1_.$procdff$3869 ($dff) from module PQVexRiscvUlx3s (D = \_zz_33_ [15:0], Q = \muraxApb3Timer_1_._zz_1_). +Adding EN signal on $flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$procdff$4059 ($adff) from module PQVexRiscvUlx3s (D = \memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushing, Q = \memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy). +Adding EN signal on $flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2_.$procdff$4066 ($adff) from module PQVexRiscvUlx3s (D = $flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2_.$0\_zz_1_[0:0], Q = \memory_ramBlocks_1_io_bus_arbiter.streamFork_2_._zz_1_). +Adding EN signal on $flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2_.$procdff$4065 ($adff) from module PQVexRiscvUlx3s (D = $flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2_.$0\_zz_2_[0:0], Q = \memory_ramBlocks_1_io_bus_arbiter.streamFork_2_._zz_2_). +Adding EN signal on $flatten\memory_ramBlocks_1_io_bus_arbiter.\logic_arbiter.$procdff$4069 ($adff) from module PQVexRiscvUlx3s (D = $flatten\memory_ramBlocks_1_io_bus_arbiter.\logic_arbiter.$0\locked[0:0], Q = \memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.locked). +Adding EN signal on $flatten\memory_ramBlocks_1_io_bus_arbiter.\logic_arbiter.$procdff$4068 ($dff) from module PQVexRiscvUlx3s (D = \memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskRouted_1, Q = \memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskLocked_1). +Adding EN signal on $flatten\memory_ramBlocks_1_io_bus_arbiter.\logic_arbiter.$procdff$4067 ($dff) from module PQVexRiscvUlx3s (D = \memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskRouted_0, Q = \memory_ramBlocks_1_io_bus_arbiter.logic_arbiter.maskLocked_0). +Adding EN signal on $flatten\memory_ramBlocks_1.$procdff$3866 ($dff) from module PQVexRiscvUlx3s (D = $flatten\memory_ramBlocks_1.$memrd$\ram_symbol3$PQVexRiscvUlx3s.v:7018$1120_DATA, Q = \memory_ramBlocks_1._zz_8_). +Adding EN signal on $flatten\memory_ramBlocks_1.$procdff$3865 ($dff) from module PQVexRiscvUlx3s (D = $flatten\memory_ramBlocks_1.$memrd$\ram_symbol2$PQVexRiscvUlx3s.v:7017$1119_DATA, Q = \memory_ramBlocks_1._zz_7_). +Adding EN signal on $flatten\memory_ramBlocks_1.$procdff$3864 ($dff) from module PQVexRiscvUlx3s (D = $flatten\memory_ramBlocks_1.$memrd$\ram_symbol1$PQVexRiscvUlx3s.v:7016$1118_DATA, Q = \memory_ramBlocks_1._zz_6_). +Adding EN signal on $flatten\memory_ramBlocks_1.$procdff$3863 ($dff) from module PQVexRiscvUlx3s (D = $flatten\memory_ramBlocks_1.$memrd$\ram_symbol0$PQVexRiscvUlx3s.v:7015$1117_DATA, Q = \memory_ramBlocks_1._zz_5_). +Adding EN signal on $flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$procdff$4059 ($adff) from module PQVexRiscvUlx3s (D = \memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.pushing, Q = \memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.risingOccupancy). +Adding EN signal on $flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2_.$procdff$4066 ($adff) from module PQVexRiscvUlx3s (D = $flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2_.$0\_zz_1_[0:0], Q = \memory_ramBlocks_0_io_bus_arbiter.streamFork_2_._zz_1_). +Adding EN signal on $flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2_.$procdff$4065 ($adff) from module PQVexRiscvUlx3s (D = $flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2_.$0\_zz_2_[0:0], Q = \memory_ramBlocks_0_io_bus_arbiter.streamFork_2_._zz_2_). +Adding EN signal on $flatten\memory_ramBlocks_0_io_bus_arbiter.\logic_arbiter.$procdff$4069 ($adff) from module PQVexRiscvUlx3s (D = $flatten\memory_ramBlocks_0_io_bus_arbiter.\logic_arbiter.$0\locked[0:0], Q = \memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.locked). +Adding EN signal on $flatten\memory_ramBlocks_0_io_bus_arbiter.\logic_arbiter.$procdff$4068 ($dff) from module PQVexRiscvUlx3s (D = \memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.maskRouted_1, Q = \memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.maskLocked_1). +Adding EN signal on $flatten\memory_ramBlocks_0_io_bus_arbiter.\logic_arbiter.$procdff$4067 ($dff) from module PQVexRiscvUlx3s (D = \memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.maskRouted_0, Q = \memory_ramBlocks_0_io_bus_arbiter.logic_arbiter.maskLocked_0). +Adding EN signal on $flatten\memory_ramBlocks_0.$procdff$3866 ($dff) from module PQVexRiscvUlx3s (D = $flatten\memory_ramBlocks_0.$memrd$\ram_symbol3$PQVexRiscvUlx3s.v:7018$1120_DATA, Q = \memory_ramBlocks_0._zz_8_). +Adding EN signal on $flatten\memory_ramBlocks_0.$procdff$3865 ($dff) from module PQVexRiscvUlx3s (D = $flatten\memory_ramBlocks_0.$memrd$\ram_symbol2$PQVexRiscvUlx3s.v:7017$1119_DATA, Q = \memory_ramBlocks_0._zz_7_). +Adding EN signal on $flatten\memory_ramBlocks_0.$procdff$3864 ($dff) from module PQVexRiscvUlx3s (D = $flatten\memory_ramBlocks_0.$memrd$\ram_symbol1$PQVexRiscvUlx3s.v:7016$1118_DATA, Q = \memory_ramBlocks_0._zz_6_). +Adding EN signal on $flatten\memory_ramBlocks_0.$procdff$3863 ($dff) from module PQVexRiscvUlx3s (D = $flatten\memory_ramBlocks_0.$memrd$\ram_symbol0$PQVexRiscvUlx3s.v:7015$1117_DATA, Q = \memory_ramBlocks_0._zz_5_). +Adding EN signal on $flatten\jtagBridge_1_.\flowCCByToggle_1_.$procdff$4089 ($dff) from module PQVexRiscvUlx3s (D = \io_jtag_tdi, Q = \jtagBridge_1_.flowCCByToggle_1_.inputArea_data_fragment). +Adding EN signal on $flatten\jtagBridge_1_.\flowCCByToggle_1_.$procdff$4088 ($dff) from module PQVexRiscvUlx3s (D = \io_jtag_tms, Q = \jtagBridge_1_.flowCCByToggle_1_.inputArea_data_last). +Adding EN signal on $flatten\jtagBridge_1_.\flowCCByToggle_1_.$procdff$4087 ($dff) from module PQVexRiscvUlx3s (D = $flatten\jtagBridge_1_.\flowCCByToggle_1_.$logic_not$PQVexRiscvUlx3s.v:768$104_Y, Q = \jtagBridge_1_.flowCCByToggle_1_.inputArea_target). +Adding EN signal on $flatten\jtagBridge_1_.$procdff$3901 ($dff) from module PQVexRiscvUlx3s (D = { \core_cpu.DebugPlugin_busReadDataReg [31:5] \jtagBridge_1_.io_remote_rsp_payload_data [4:0] }, Q = \jtagBridge_1_.system_rsp_payload_data). +Adding EN signal on $flatten\jtagBridge_1_.$procdff$3900 ($dff) from module PQVexRiscvUlx3s (D = 1'0, Q = \jtagBridge_1_.system_rsp_payload_error). +Setting constant 0-bit at position 0 on $auto$opt_dff.cc:764:run$4391 ($dffe) from module PQVexRiscvUlx3s. +Adding SRST signal on $flatten\jtagBridge_1_.$procdff$3899 ($dff) from module PQVexRiscvUlx3s (D = $flatten\jtagBridge_1_.$procmux$2088_Y, Q = \jtagBridge_1_.system_rsp_valid, rval = 1'1). +Adding EN signal on $auto$opt_dff.cc:702:run$4392 ($sdff) from module PQVexRiscvUlx3s (D = 1'0, Q = \jtagBridge_1_.system_rsp_valid). +Adding EN signal on $flatten\jtagBridge_1_.$procdff$3898 ($dff) from module PQVexRiscvUlx3s (D = $flatten\jtagBridge_1_.$procmux$2066_Y, Q = \jtagBridge_1_.jtag_readArea_shifter). +Adding SRST signal on $flatten\jtagBridge_1_.$procdff$3897 ($dff) from module PQVexRiscvUlx3s (D = $flatten\jtagBridge_1_.$procmux$2072_Y, Q = \jtagBridge_1_.jtag_idcodeArea_shifter, rval = 268443647). +Adding EN signal on $auto$opt_dff.cc:702:run$4399 ($sdff) from module PQVexRiscvUlx3s (D = { \io_jtag_tdi \jtagBridge_1_.jtag_idcodeArea_shifter [31:1] }, Q = \jtagBridge_1_.jtag_idcodeArea_shifter). +Adding EN signal on $flatten\jtagBridge_1_.$procdff$3895 ($dff) from module PQVexRiscvUlx3s (D = $flatten\jtagBridge_1_.$0\jtag_tap_instructionShift[3:0], Q = \jtagBridge_1_.jtag_tap_instructionShift). +Adding SRST signal on $flatten\jtagBridge_1_.$procdff$3894 ($dff) from module PQVexRiscvUlx3s (D = $flatten\jtagBridge_1_.$procmux$2080_Y, Q = \jtagBridge_1_.jtag_tap_instruction, rval = 4'0001). +Adding EN signal on $auto$opt_dff.cc:702:run$4406 ($sdff) from module PQVexRiscvUlx3s (D = \jtagBridge_1_.jtag_tap_instructionShift, Q = \jtagBridge_1_.jtag_tap_instruction). +Adding EN signal on $flatten\core_ibus_decoder.$procdff$3844 ($dff) from module PQVexRiscvUlx3s (D = \core_ibus_decoder.logic_hits_1, Q = \core_ibus_decoder.logic_rspHits_1). +Adding EN signal on $flatten\core_ibus_decoder.$procdff$3843 ($dff) from module PQVexRiscvUlx3s (D = \core_ibus_decoder.logic_hits_0, Q = \core_ibus_decoder.logic_rspHits_0). +Adding EN signal on $flatten\core_dbus_decoder.$procdff$3848 ($dff) from module PQVexRiscvUlx3s (D = \core_dbus_decoder.logic_hits_2, Q = \core_dbus_decoder.logic_rspHits_2). +Adding EN signal on $flatten\core_dbus_decoder.$procdff$3847 ($dff) from module PQVexRiscvUlx3s (D = \core_dbus_decoder.logic_hits_1, Q = \core_dbus_decoder.logic_rspHits_1). +Adding EN signal on $flatten\core_dbus_decoder.$procdff$3846 ($dff) from module PQVexRiscvUlx3s (D = \core_dbus_decoder.logic_hits_0, Q = \core_dbus_decoder.logic_rspHits_0). +Adding EN signal on $flatten\core_cpu.\IBusSimplePlugin_rspJoin_rspBuffer_c.$procdff$4091 ($adff) from module PQVexRiscvUlx3s (D = \core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.pushing, Q = \core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.risingOccupancy). +Adding EN signal on $flatten\core_cpu.\IBusSimplePlugin_rspJoin_rspBuffer_c.$procdff$4090 ($dff) from module PQVexRiscvUlx3s (D = { \core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_payload_inst 1'0 }, Q = \core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c._zz_3_). +Setting constant 0-bit at position 0 on $auto$opt_dff.cc:764:run$4414 ($dffe) from module PQVexRiscvUlx3s. +Adding EN signal on $flatten\core_cpu.$procdff$4051 ($adff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$0\_zz_125_[2:0], Q = \core_cpu._zz_125_). +Adding EN signal on $flatten\core_cpu.$procdff$4043 ($adff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$0\CsrPlugin_pipelineLiberator_pcValids_2[0:0], Q = \core_cpu.CsrPlugin_pipelineLiberator_pcValids_2). +Adding EN signal on $flatten\core_cpu.$procdff$4042 ($adff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$0\CsrPlugin_pipelineLiberator_pcValids_1[0:0], Q = \core_cpu.CsrPlugin_pipelineLiberator_pcValids_1). +Adding EN signal on $flatten\core_cpu.$procdff$4041 ($adff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$0\CsrPlugin_pipelineLiberator_pcValids_0[0:0], Q = \core_cpu.CsrPlugin_pipelineLiberator_pcValids_0). +Adding EN signal on $flatten\core_cpu.$procdff$4039 ($adff) from module PQVexRiscvUlx3s (D = \core_cpu.execute_CsrPlugin_writeData [3], Q = \core_cpu.CsrPlugin_mie_MSIE). +Adding EN signal on $flatten\core_cpu.$procdff$4038 ($adff) from module PQVexRiscvUlx3s (D = \core_cpu.execute_CsrPlugin_writeData [7], Q = \core_cpu.CsrPlugin_mie_MTIE). +Adding EN signal on $flatten\core_cpu.$procdff$4037 ($adff) from module PQVexRiscvUlx3s (D = \core_cpu.execute_CsrPlugin_writeData [11], Q = \core_cpu.CsrPlugin_mie_MEIE). +Adding EN signal on $flatten\core_cpu.$procdff$4033 ($adff) from module PQVexRiscvUlx3s (D = \core_cpu.execute_CsrPlugin_writeData [31:2], Q = \core_cpu.CsrPlugin_mtvec_base). +Adding EN signal on $flatten\core_cpu.$procdff$4032 ($adff) from module PQVexRiscvUlx3s (D = \core_cpu.execute_CsrPlugin_writeData [1:0], Q = \core_cpu.CsrPlugin_mtvec_mode). +Adding EN signal on $flatten\core_cpu.$procdff$4023 ($adff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$0\_zz_59_[0:0], Q = \core_cpu._zz_59_). +Adding EN signal on $flatten\core_cpu.$procdff$4022 ($adff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$0\_zz_57_[0:0], Q = \core_cpu._zz_57_). +Adding EN signal on $flatten\core_cpu.$procdff$4021 ($adff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$0\_zz_55_[0:0], Q = \core_cpu._zz_55_). +Adding EN signal on $flatten\core_cpu.$procdff$4020 ($adff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$0\IBusSimplePlugin_fetchPc_inc[0:0], Q = \core_cpu.IBusSimplePlugin_fetchPc_inc). +Adding EN signal on $flatten\core_cpu.$procdff$4017 ($adff) from module PQVexRiscvUlx3s (D = { \core_cpu.IBusSimplePlugin_fetchPc_pc [31:2] 2'00 }, Q = \core_cpu.IBusSimplePlugin_fetchPc_pcReg). +Setting constant 0-bit at position 0 on $auto$opt_dff.cc:764:run$4468 ($adffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 1 on $auto$opt_dff.cc:764:run$4468 ($adffe) from module PQVexRiscvUlx3s. +Adding EN signal on $flatten\core_cpu.$procdff$4015 ($adff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$0\memory_arbitration_isValid[0:0], Q = \core_cpu.memory_arbitration_isValid). +Adding EN signal on $flatten\core_cpu.$procdff$4014 ($adff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$0\execute_arbitration_isValid[0:0], Q = \core_cpu.execute_arbitration_isValid). +Adding EN signal on $flatten\core_cpu.$procdff$4013 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:5381$942_Y, Q = \core_cpu.execute_CsrPlugin_csr_2946). +Adding EN signal on $flatten\core_cpu.$procdff$4012 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:5378$940_Y, Q = \core_cpu.execute_CsrPlugin_csr_2818). +Adding EN signal on $flatten\core_cpu.$procdff$4011 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:5375$938_Y, Q = \core_cpu.execute_CsrPlugin_csr_2944). +Adding EN signal on $flatten\core_cpu.$procdff$4010 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:5372$936_Y, Q = \core_cpu.execute_CsrPlugin_csr_2816). +Adding EN signal on $flatten\core_cpu.$procdff$4009 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:5369$934_Y, Q = \core_cpu.execute_CsrPlugin_csr_834). +Adding EN signal on $flatten\core_cpu.$procdff$4008 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:5366$932_Y, Q = \core_cpu.execute_CsrPlugin_csr_773). +Adding EN signal on $flatten\core_cpu.$procdff$4007 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:5363$930_Y, Q = \core_cpu.execute_CsrPlugin_csr_772). +Adding EN signal on $flatten\core_cpu.$procdff$4006 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:5360$928_Y, Q = \core_cpu.execute_CsrPlugin_csr_836). +Adding EN signal on $flatten\core_cpu.$procdff$4005 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:5357$926_Y, Q = \core_cpu.execute_CsrPlugin_csr_768). +Adding EN signal on $flatten\core_cpu.$procdff$4004 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu._zz_29_, Q = \core_cpu.decode_to_execute_RS1). +Adding EN signal on $flatten\core_cpu.$procdff$4002 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_to_execute_MEMORY_ENABLE, Q = \core_cpu.execute_to_memory_MEMORY_ENABLE). +Adding EN signal on $flatten\core_cpu.$procdff$4001 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_MEMORY_ENABLE, Q = \core_cpu.decode_to_execute_MEMORY_ENABLE). +Adding EN signal on $flatten\core_cpu.$procdff$4000 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.execute_BRANCH_DO, Q = \core_cpu.execute_to_memory_BRANCH_DO). +Adding EN signal on $flatten\core_cpu.$procdff$3999 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_IS_RS1_SIGNED, Q = \core_cpu.decode_to_execute_IS_RS2_SIGNED). +Adding EN signal on $flatten\core_cpu.$procdff$3998 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.execute_MUL_HH, Q = \core_cpu.execute_to_memory_MUL_HH). +Adding EN signal on $flatten\core_cpu.$procdff$3994 ($dff) from module PQVexRiscvUlx3s (D = { \core_cpu._zz_252_ \core_cpu._zz_253_ }, Q = \core_cpu.decode_to_execute_ALU_CTRL). +Adding EN signal on $flatten\core_cpu.$procdff$3993 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_IS_CSR, Q = \core_cpu.decode_to_execute_IS_CSR). +Adding EN signal on $flatten\core_cpu.$procdff$3992 ($dff) from module PQVexRiscvUlx3s (D = { \core_cpu._zz_387_ \core_cpu._zz_388_ }, Q = \core_cpu.decode_to_execute_ALU_BITWISE_CTRL). +Adding EN signal on $flatten\core_cpu.$procdff$3991 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_SRC2_FORCE_ZERO, Q = \core_cpu.decode_to_execute_SRC2_FORCE_ZERO). +Adding EN signal on $flatten\core_cpu.$procdff$3990 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_to_execute_IS_DIV, Q = \core_cpu.execute_to_memory_IS_DIV). +Adding EN signal on $flatten\core_cpu.$procdff$3989 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_IS_DIV, Q = \core_cpu.decode_to_execute_IS_DIV). +Adding EN signal on $flatten\core_cpu.$procdff$3988 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.execute_MUL_LH, Q = \core_cpu.execute_to_memory_MUL_LH). +Adding EN signal on $flatten\core_cpu.$procdff$3986 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_to_execute_MEMORY_STORE, Q = \core_cpu.execute_to_memory_MEMORY_STORE). +Adding EN signal on $flatten\core_cpu.$procdff$3985 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_MEMORY_STORE, Q = \core_cpu.decode_to_execute_MEMORY_STORE). +Adding EN signal on $flatten\core_cpu.$procdff$3984 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.execute_MUL_HL, Q = \core_cpu.execute_to_memory_MUL_HL). +Adding EN signal on $flatten\core_cpu.$procdff$3982 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_to_execute_REGFILE_WRITE_VALID, Q = \core_cpu.execute_to_memory_REGFILE_WRITE_VALID). +Adding EN signal on $flatten\core_cpu.$procdff$3981 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_REGFILE_WRITE_VALID, Q = \core_cpu.decode_to_execute_REGFILE_WRITE_VALID). +Adding SRST signal on $auto$opt_dff.cc:764:run$4501 ($dffe) from module PQVexRiscvUlx3s (D = \core_cpu._zz_191_, Q = \core_cpu.decode_to_execute_REGFILE_WRITE_VALID, rval = 1'0). +Adding EN signal on $flatten\core_cpu.$procdff$3980 ($dff) from module PQVexRiscvUlx3s (D = { \core_cpu.execute_BranchPlugin_branchAdder [31:1] 1'0 }, Q = \core_cpu.execute_to_memory_BRANCH_CALC). +Setting constant 0-bit at position 0 on $auto$opt_dff.cc:764:run$4503 ($dffe) from module PQVexRiscvUlx3s. +Adding EN signal on $flatten\core_cpu.$procdff$3979 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_CSR_WRITE_OPCODE, Q = \core_cpu.decode_to_execute_CSR_WRITE_OPCODE). +Adding EN signal on $flatten\core_cpu.$procdff$3978 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu._zz_173_ [31:0], Q = \core_cpu.execute_to_memory_SHIFT_RIGHT). +Adding EN signal on $flatten\core_cpu.$procdff$3975 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu._zz_60_, Q = \core_cpu.decode_to_execute_PC). +Adding EN signal on $flatten\core_cpu.$procdff$3974 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_IS_RS1_SIGNED, Q = \core_cpu.decode_to_execute_IS_RS1_SIGNED). +Adding EN signal on $flatten\core_cpu.$procdff$3973 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_BYPASSABLE_EXECUTE_STAGE, Q = \core_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE). +Adding EN signal on $flatten\core_cpu.$procdff$3972 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_SRC_USE_SUB_LESS, Q = \core_cpu.decode_to_execute_SRC_USE_SUB_LESS). +Adding EN signal on $flatten\core_cpu.$procdff$3969 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_to_execute_SRC2, Q = \core_cpu.execute_to_memory_SRC2). +Adding EN signal on $flatten\core_cpu.$procdff$3968 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_SRC2, Q = \core_cpu.decode_to_execute_SRC2). +Adding EN signal on $flatten\core_cpu.$procdff$3967 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_to_execute_INSTRUCTION, Q = \core_cpu.execute_to_memory_INSTRUCTION). +Adding EN signal on $flatten\core_cpu.$procdff$3966 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu._zz_62_, Q = \core_cpu.decode_to_execute_INSTRUCTION). +Adding EN signal on $flatten\core_cpu.$procdff$3964 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_to_execute_IS_MUL, Q = \core_cpu.execute_to_memory_IS_MUL). +Adding EN signal on $flatten\core_cpu.$procdff$3963 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_IS_MUL, Q = \core_cpu.decode_to_execute_IS_MUL). +Adding EN signal on $flatten\core_cpu.$procdff$3962 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_to_execute_BYPASSABLE_MEMORY_STAGE, Q = \core_cpu.execute_to_memory_BYPASSABLE_MEMORY_STAGE). +Adding EN signal on $flatten\core_cpu.$procdff$3961 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_BYPASSABLE_MEMORY_STAGE, Q = \core_cpu.decode_to_execute_BYPASSABLE_MEMORY_STAGE). +Adding EN signal on $flatten\core_cpu.$procdff$3960 ($dff) from module PQVexRiscvUlx3s (D = { \core_cpu._zz_255_ \core_cpu._zz_256_ }, Q = \core_cpu.decode_to_execute_BRANCH_CTRL). +Adding EN signal on $flatten\core_cpu.$procdff$3959 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_DO_EBREAK, Q = \core_cpu.decode_to_execute_DO_EBREAK). +Adding EN signal on $flatten\core_cpu.$procdff$3957 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_to_execute_SRC1, Q = \core_cpu.execute_to_memory_SRC1). +Adding EN signal on $flatten\core_cpu.$procdff$3956 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_SRC1, Q = \core_cpu.decode_to_execute_SRC1). +Adding EN signal on $flatten\core_cpu.$procdff$3955 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_SRC_LESS_UNSIGNED, Q = \core_cpu.decode_to_execute_SRC_LESS_UNSIGNED). +Adding EN signal on $flatten\core_cpu.$procdff$3953 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.execute_SRC_ADD_SUB [1:0], Q = \core_cpu.execute_to_memory_MEMORY_ADDRESS_LOW). +Adding EN signal on $flatten\core_cpu.$procdff$3950 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu._zz_27_, Q = \core_cpu.decode_to_execute_RS2). +Adding EN signal on $flatten\core_cpu.$procdff$3949 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu._zz_43_, Q = \core_cpu.execute_to_memory_REGFILE_WRITE_DATA). +Adding EN signal on $flatten\core_cpu.$procdff$3948 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_to_execute_SHIFT_CTRL, Q = \core_cpu.execute_to_memory_SHIFT_CTRL). +Adding EN signal on $flatten\core_cpu.$procdff$3947 ($dff) from module PQVexRiscvUlx3s (D = { \core_cpu._zz_277_ \core_cpu._zz_13_ [0] }, Q = \core_cpu.decode_to_execute_SHIFT_CTRL). +Adding EN signal on $flatten\core_cpu.$procdff$3945 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.decode_to_execute_ENV_CTRL, Q = \core_cpu.execute_to_memory_ENV_CTRL). +Adding EN signal on $flatten\core_cpu.$procdff$3944 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu._zz_20_, Q = \core_cpu.decode_to_execute_ENV_CTRL). +Adding EN signal on $flatten\core_cpu.$procdff$3943 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.execute_MUL_LL, Q = \core_cpu.execute_to_memory_MUL_LL). +Adding EN signal on $flatten\core_cpu.$procdff$3942 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu._zz_228_ [31:0], Q = \core_cpu.memory_MulDivIterativePlugin_div_result). +Adding SRST signal on $flatten\core_cpu.$procdff$3941 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$procmux$2417_Y, Q = \core_cpu.memory_MulDivIterativePlugin_div_done, rval = 1'0). +Adding EN signal on $auto$opt_dff.cc:702:run$4536 ($sdff) from module PQVexRiscvUlx3s (D = 1'1, Q = \core_cpu.memory_MulDivIterativePlugin_div_done). +Adding EN signal on $flatten\core_cpu.$procdff$3940 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$logic_and$PQVexRiscvUlx3s.v:5165$861_Y, Q = \core_cpu.memory_MulDivIterativePlugin_div_needRevert). +Adding SRST signal on $flatten\core_cpu.$procdff$3939 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$procmux$2263_Y, Q = \core_cpu.memory_MulDivIterativePlugin_accumulator [31:0], rval = 0). +Adding EN signal on $flatten\core_cpu.$procdff$3939 ($dff) from module PQVexRiscvUlx3s (D = 33'000000000000000000000000000000000, Q = \core_cpu.memory_MulDivIterativePlugin_accumulator [64:32]). +Setting constant 0-bit at position 0 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 1 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 2 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 3 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 4 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 5 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 6 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 7 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 8 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 9 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 10 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 11 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 12 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 13 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 14 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 15 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 16 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 17 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 18 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 19 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 20 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 21 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 22 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 23 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 24 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 25 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 26 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 27 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 28 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 29 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 30 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 31 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 32 on $auto$opt_dff.cc:764:run$4540 ($dffe) from module PQVexRiscvUlx3s. +Adding EN signal on $auto$opt_dff.cc:702:run$4539 ($sdff) from module PQVexRiscvUlx3s (D = \core_cpu.memory_MulDivIterativePlugin_div_stage_0_outRemainder, Q = \core_cpu.memory_MulDivIterativePlugin_accumulator [31:0]). +Adding EN signal on $flatten\core_cpu.$procdff$3938 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5164$852_Y, Q = \core_cpu.memory_MulDivIterativePlugin_rs2). +Adding EN signal on $flatten\core_cpu.$procdff$3937 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5163$849_Y [32], Q = \core_cpu.memory_MulDivIterativePlugin_rs1 [32]). +Adding EN signal on $flatten\core_cpu.$procdff$3937 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$0\memory_MulDivIterativePlugin_rs1[32:0] [31:0], Q = \core_cpu.memory_MulDivIterativePlugin_rs1 [31:0]). +Adding EN signal on $flatten\core_cpu.$procdff$3934 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$procmux$2439_Y, Q = \core_cpu.CsrPlugin_interrupt_targetPrivilege). +Adding SRST signal on $auto$opt_dff.cc:764:run$4559 ($dffe) from module PQVexRiscvUlx3s (D = 2'xx, Q = \core_cpu.CsrPlugin_interrupt_targetPrivilege, rval = 2'11). +Setting constant 1-bit at position 0 on $auto$opt_dff.cc:702:run$4562 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 1-bit at position 1 on $auto$opt_dff.cc:702:run$4562 ($sdffce) from module PQVexRiscvUlx3s. +Adding EN signal on $flatten\core_cpu.$procdff$3933 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$procmux$2447_Y, Q = \core_cpu.CsrPlugin_interrupt_code). +Adding SRST signal on $auto$opt_dff.cc:764:run$4567 ($dffe) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$procmux$2445_Y [3], Q = \core_cpu.CsrPlugin_interrupt_code [3], rval = 1'1). +Adding SRST signal on $auto$opt_dff.cc:764:run$4567 ($dffe) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$procmux$2443_Y [2], Q = \core_cpu.CsrPlugin_interrupt_code [2], rval = 1'0). +Adding SRST signal on $auto$opt_dff.cc:764:run$4567 ($dffe) from module PQVexRiscvUlx3s (D = 2'xx, Q = \core_cpu.CsrPlugin_interrupt_code [1:0], rval = 2'11). +Setting constant 1-bit at position 0 on $auto$opt_dff.cc:702:run$4574 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 1-bit at position 1 on $auto$opt_dff.cc:702:run$4574 ($sdffce) from module PQVexRiscvUlx3s. +Adding EN signal on $flatten\core_cpu.$procdff$3932 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5117$842_Y, Q = \core_cpu.CsrPlugin_minstret). +Adding EN signal on $flatten\core_cpu.$procdff$3930 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.CsrPlugin_interrupt_code, Q = \core_cpu.CsrPlugin_mcause_exceptionCode). +Adding EN signal on $flatten\core_cpu.$procdff$3929 ($dff) from module PQVexRiscvUlx3s (D = 1'1, Q = \core_cpu.CsrPlugin_mcause_interrupt). +Setting constant 1-bit at position 0 on $auto$opt_dff.cc:764:run$4581 ($dffe) from module PQVexRiscvUlx3s. +Adding SRST signal on $flatten\core_cpu.$procdff$3928 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.execute_CsrPlugin_writeData [3], Q = \core_cpu.CsrPlugin_mip_MSIP, rval = 1'0). +Adding EN signal on $flatten\core_cpu.$procdff$3925 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu._zz_60_, Q = \core_cpu.CsrPlugin_mepc). +Adding EN signal on $flatten\core_cpu.$procdff$3922 ($dff) from module PQVexRiscvUlx3s (D = { $flatten\core_cpu.$0\_zz_62_[31:0] [31:25] $flatten\core_cpu.$0\_zz_62_[31:0] [14:0] }, Q = { \core_cpu._zz_62_ [31:25] \core_cpu._zz_62_ [14:0] }). +Adding EN signal on $flatten\core_cpu.$procdff$3920 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu._zz_58_, Q = \core_cpu._zz_60_). +Adding EN signal on $flatten\core_cpu.$procdff$3919 ($dff) from module PQVexRiscvUlx3s (D = \core_cpu.IBusSimplePlugin_fetchPc_pcReg, Q = \core_cpu._zz_58_). +Adding EN signal on $flatten\core_cpu.$procdff$3916 ($dff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$0\DebugPlugin_busReadDataReg[31:0], Q = \core_cpu.DebugPlugin_busReadDataReg). +Adding EN signal on $flatten\core_cpu.$procdff$3915 ($dff) from module PQVexRiscvUlx3s (D = \systemDebugger_1_.dispatcher_dataShifter [63:33], Q = \core_cpu.DebugPlugin_hardwareBreakpoints_2_pc). +Adding EN signal on $flatten\core_cpu.$procdff$3914 ($dff) from module PQVexRiscvUlx3s (D = \systemDebugger_1_.dispatcher_dataShifter [63:33], Q = \core_cpu.DebugPlugin_hardwareBreakpoints_1_pc). +Adding EN signal on $flatten\core_cpu.$procdff$3913 ($dff) from module PQVexRiscvUlx3s (D = \systemDebugger_1_.dispatcher_dataShifter [63:33], Q = \core_cpu.DebugPlugin_hardwareBreakpoints_0_pc). +Adding EN signal on $flatten\core_cpu.$procdff$3909 ($adff) from module PQVexRiscvUlx3s (D = \systemDebugger_1_.dispatcher_dataShifter [32], Q = \core_cpu.DebugPlugin_hardwareBreakpoints_2_valid). +Adding EN signal on $flatten\core_cpu.$procdff$3908 ($adff) from module PQVexRiscvUlx3s (D = \systemDebugger_1_.dispatcher_dataShifter [32], Q = \core_cpu.DebugPlugin_hardwareBreakpoints_1_valid). +Adding EN signal on $flatten\core_cpu.$procdff$3907 ($adff) from module PQVexRiscvUlx3s (D = \systemDebugger_1_.dispatcher_dataShifter [32], Q = \core_cpu.DebugPlugin_hardwareBreakpoints_0_valid). +Adding EN signal on $flatten\core_cpu.$procdff$3904 ($adff) from module PQVexRiscvUlx3s (D = \systemDebugger_1_.dispatcher_dataShifter [36], Q = \core_cpu.DebugPlugin_stepIt). +Adding EN signal on $flatten\core_cpu.$procdff$3902 ($adff) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$procmux$2224_Y, Q = \core_cpu.DebugPlugin_resetIt). +Adding EN signal on $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$procdff$4077 ($adff) from module PQVexRiscvUlx3s (D = \apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_pushing, Q = \apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_risingOccupancy). +Adding EN signal on $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procdff$4105 ($adff) from module PQVexRiscvUlx3s (D = $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$0\break_counter[6:0], Q = \apb3UartCtrl_1_.uartCtrl_1_.rx.break_counter). +Adding EN signal on $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procdff$4102 ($adff) from module PQVexRiscvUlx3s (D = \apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_samples_1, Q = \apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_samples_2). +Adding EN signal on $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procdff$4101 ($adff) from module PQVexRiscvUlx3s (D = \apb3UartCtrl_1_.uartCtrl_1_.rx.io_rxd_buffercc.buffers_1, Q = \apb3UartCtrl_1_.uartCtrl_1_.rx.sampler_samples_1). +Adding EN signal on $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procdff$4095 ($dff) from module PQVexRiscvUlx3s (D = $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$or$PQVexRiscvUlx3s.v:0$80_Y, Q = \apb3UartCtrl_1_.uartCtrl_1_.rx.stateMachine_shifter). +Adding EN signal on $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$procdff$4077 ($adff) from module PQVexRiscvUlx3s (D = \apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_pushing, Q = \apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_risingOccupancy). +Adding EN signal on $flatten\apb3UartCtrl_1_.$procdff$3881 ($adff) from module PQVexRiscvUlx3s (D = $flatten\apb3UartCtrl_1_.$0\bridge_misc_readOverflowError[0:0], Q = \apb3UartCtrl_1_.bridge_misc_readOverflowError). +Adding EN signal on $flatten\apb3UartCtrl_1_.$procdff$3880 ($adff) from module PQVexRiscvUlx3s (D = $flatten\apb3UartCtrl_1_.$0\bridge_misc_readError[0:0], Q = \apb3UartCtrl_1_.bridge_misc_readError). +Adding EN signal on $flatten\apb3UartCtrl_1_.$procdff$3879 ($adff) from module PQVexRiscvUlx3s (D = \_zz_33_ [1], Q = \apb3UartCtrl_1_.bridge_interruptCtrl_readIntEnable). +Adding EN signal on $flatten\apb3UartCtrl_1_.$procdff$3878 ($adff) from module PQVexRiscvUlx3s (D = \_zz_33_ [0], Q = \apb3UartCtrl_1_.bridge_interruptCtrl_writeIntEnable). + +2.13.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. +Removed 230 unused cells and 258 unused wires. + + +2.13.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + + +2.13.9. Rerunning OPT passes. (Maybe there is more to do..) + +2.13.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \PQVexRiscvUlx3s.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.13.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \PQVexRiscvUlx3s. +Performed a total of 0 changes. + +2.13.12. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. + +Removed a total of 15 cells. + +2.13.13. Executing OPT_DFF pass (perform DFF optimizations). +Setting constant 0-bit at position 0 on $auto$opt_dff.cc:764:run$4592 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 1 on $auto$opt_dff.cc:764:run$4592 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 1-bit at position 0 on $auto$opt_dff.cc:764:run$4578 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 1-bit at position 1 on $auto$opt_dff.cc:764:run$4578 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 0 on $auto$opt_dff.cc:702:run$4289 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 0 on $auto$opt_dff.cc:702:run$4287 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 1 on $auto$opt_dff.cc:702:run$4287 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 0 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 1 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 2 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 3 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 4 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 5 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 6 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 7 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 8 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 9 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 10 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 11 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 12 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 13 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 14 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 15 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 16 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 17 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 18 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 19 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 20 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 21 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 22 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 23 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 24 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 25 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 26 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 27 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 28 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 29 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 30 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 31 on $auto$opt_dff.cc:702:run$4285 ($sdffce) from module PQVexRiscvUlx3s. + +2.13.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. +Removed 0 unused cells and 23 unused wires. + + +2.13.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + + +2.13.16. Rerunning OPT passes. (Maybe there is more to do..) + +2.13.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \PQVexRiscvUlx3s.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.13.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \PQVexRiscvUlx3s. +Performed a total of 0 changes. + +2.13.19. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. +Removed a total of 0 cells. + +2.13.20. Executing OPT_DFF pass (perform DFF optimizations). +Setting constant 0-bit at position 0 on $auto$opt_dff.cc:764:run$4591 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 1 on $auto$opt_dff.cc:764:run$4591 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 1-bit at position 0 on $auto$opt_dff.cc:764:run$4283 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 1-bit at position 1 on $auto$opt_dff.cc:764:run$4283 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 1-bit at position 2 on $auto$opt_dff.cc:764:run$4283 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 1-bit at position 3 on $auto$opt_dff.cc:764:run$4283 ($dffe) from module PQVexRiscvUlx3s. + +2.13.21. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. +Removed 0 unused cells and 3 unused wires. + + +2.13.22. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + +2.13.23. Rerunning OPT passes. (Maybe there is more to do..) + +2.13.24. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \PQVexRiscvUlx3s.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.13.25. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \PQVexRiscvUlx3s. +Performed a total of 0 changes. + +2.13.26. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. +Removed a total of 0 cells. + +2.13.27. Executing OPT_DFF pass (perform DFF optimizations). +Setting constant 0-bit at position 0 on $auto$opt_dff.cc:764:run$4587 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 1 on $auto$opt_dff.cc:764:run$4587 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 0 on $auto$opt_dff.cc:764:run$4506 ($dffe) from module PQVexRiscvUlx3s. +Setting constant 0-bit at position 1 on $auto$opt_dff.cc:764:run$4506 ($dffe) from module PQVexRiscvUlx3s. + +2.13.28. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. + +2.13.29. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + +2.13.30. Rerunning OPT passes. (Maybe there is more to do..) + +2.13.31. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \PQVexRiscvUlx3s.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.13.32. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \PQVexRiscvUlx3s. +Performed a total of 0 changes. + +2.13.33. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. +Removed a total of 0 cells. + +2.13.34. Executing OPT_DFF pass (perform DFF optimizations). +Adding SRST signal on $auto$opt_dff.cc:764:run$4595 ($dffe) from module PQVexRiscvUlx3s (D = $flatten\core_cpu.$procmux$2234_Y [1:0], Q = \core_cpu.DebugPlugin_busReadDataReg [1:0], rval = 2'00). + +2.13.35. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. + +2.13.36. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + +2.13.37. Rerunning OPT passes. (Maybe there is more to do..) + +2.13.38. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \PQVexRiscvUlx3s.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.13.39. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \PQVexRiscvUlx3s. +Performed a total of 0 changes. + +2.13.40. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. +Removed a total of 0 cells. + +2.13.41. Executing OPT_DFF pass (perform DFF optimizations). + +2.13.42. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. + +2.13.43. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + +2.13.44. Finished OPT passes. (There is nothing left to do.) + +2.14. Executing WREDUCE pass (reducing word size of cells). +Removed cell PQVexRiscvUlx3s.$procmux$1550 ($mux). +Removed cell PQVexRiscvUlx3s.$procmux$1556 ($mux). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$auto$opt_dff.cc:218:make_patterns_logic$4551 ($ne). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$auto$opt_dff.cc:218:make_patterns_logic$4457 ($ne). +Removed top 1 bits (of 3) from port B of cell PQVexRiscvUlx3s.$auto$fsm_map.cc:77:implement_pattern_cache$4219 ($eq). +Removed top 31 bits (of 32) from FF cell PQVexRiscvUlx3s.$flatten\core_cpu.$procdff$4054 ($dff). +Removed top 2 bits (of 32) from FF cell PQVexRiscvUlx3s.$flatten\core_cpu.$procdff$4050 ($adff). +Removed top 2 bits (of 32) from FF cell PQVexRiscvUlx3s.$auto$opt_dff.cc:764:run$4512 ($dffe). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$3400 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$3398 ($mux). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$3264_CMP0 ($eq). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$3062_CMP0 ($eq). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$3055_CMP0 ($eq). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2952_CMP0 ($eq). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2948_CMP0 ($eq). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2943_CMP0 ($eq). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2939_CMP0 ($eq). +Removed top 12 bits (of 32) from mux cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2793 ($pmux). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2787_CMP0 ($eq). +Removed top 5 bits (of 6) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2712_CMP0 ($eq). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2645 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2641 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2625 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2617 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2613 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2519 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2517 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2513 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2511 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2507 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2498 ($mux). +Removed top 2 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2497_CMP0 ($eq). +Removed top 1 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2496_CMP0 ($eq). +Removed top 1 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2495_CMP0 ($eq). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2493 ($mux). +Removed top 7 bits (of 32) from mux cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2469 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2443 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2425 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2423 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2234 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2222 ($mux). +Removed top 1 bits (of 6) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2158_CMP0 ($eq). +Removed top 1 bits (of 6) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2150_CMP0 ($eq). +Removed top 1 bits (of 6) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$procmux$2143_CMP0 ($eq). +Removed top 2 bits (of 12) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:5369$934 ($eq). +Removed top 2 bits (of 12) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:5366$932 ($eq). +Removed top 2 bits (of 12) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:5363$930 ($eq). +Removed top 2 bits (of 12) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:5360$928 ($eq). +Removed top 2 bits (of 12) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:5357$926 ($eq). +Removed top 31 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5164$852 ($add). +Removed top 32 bits (of 33) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5163$849 ($add). +Removed top 1 bits (of 33) from port Y of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5163$849 ($add). +Removed top 1 bits (of 33) from port A of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5163$849 ($add). +Removed top 1 bits (of 33) from mux cell PQVexRiscvUlx3s.$flatten\core_cpu.$ternary$PQVexRiscvUlx3s.v:5163$848 ($mux). +Removed top 1 bits (of 33) from port Y of cell PQVexRiscvUlx3s.$flatten\core_cpu.$not$PQVexRiscvUlx3s.v:5163$847 ($not). +Removed top 1 bits (of 33) from port A of cell PQVexRiscvUlx3s.$flatten\core_cpu.$not$PQVexRiscvUlx3s.v:5163$847 ($not). +Removed top 63 bits (of 64) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5117$842 ($add). +Removed top 63 bits (of 64) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5115$841 ($add). +Removed top 2 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:4947$813 ($sub). +Removed top 20 bits (of 32) from port A of cell PQVexRiscvUlx3s.$flatten\core_cpu.$or$PQVexRiscvUlx3s.v:4815$783 ($or). +Removed top 19 bits (of 32) from port A of cell PQVexRiscvUlx3s.$flatten\core_cpu.$or$PQVexRiscvUlx3s.v:4815$782 ($or). +Removed top 20 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$or$PQVexRiscvUlx3s.v:4815$782 ($or). +Removed top 19 bits (of 32) from port Y of cell PQVexRiscvUlx3s.$flatten\core_cpu.$or$PQVexRiscvUlx3s.v:4815$782 ($or). +Removed top 1 bits (of 33) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:4612$705 ($sub). +Removed top 5 bits (of 6) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:4603$704 ($add). +Removed top 1 bits (of 33) from port A of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:4549$692 ($add). +Removed top 1 bits (of 33) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:4549$692 ($add). +Removed top 2 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:4432$677 ($eq). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:4329$661 ($eq). +Removed top 6 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:4147$643 ($eq). +Removed top 6 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:4147$642 ($and). +Removed top 18 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:4146$638 ($eq). +Removed top 17 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:4146$637 ($and). +Removed top 25 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:4144$634 ($eq). +Removed top 25 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:4144$633 ($and). +Removed top 29 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:4143$632 ($eq). +Removed top 29 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:4143$631 ($and). +Removed top 17 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:4142$630 ($eq). +Removed top 17 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:4142$629 ($and). +Removed top 2 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:3862$550 ($sub). +Removed top 29 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:3766$518 ($add). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:3294$427 ($eq). +Removed top 26 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2683$412 ($eq). +Removed top 18 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2682$410 ($eq). +Removed top 18 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2682$409 ($and). +Removed top 19 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2680$408 ($and). +Removed top 17 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2678$407 ($and). +Removed top 19 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2672$402 ($eq). +Removed top 18 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2670$401 ($eq). +Removed top 19 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2670$400 ($eq). +Removed top 29 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2668$399 ($eq). +Removed top 29 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2667$397 ($eq). +Removed top 27 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2667$396 ($and). +Removed top 26 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2665$395 ($and). +Removed top 26 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2663$393 ($and). +Removed top 29 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2662$392 ($eq). +Removed top 28 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2662$391 ($and). +Removed top 25 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2660$390 ($and). +Removed top 26 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2651$386 ($eq). +Removed top 25 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2651$385 ($and). +Removed top 27 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2648$384 ($eq). +Removed top 18 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2647$383 ($eq). +Removed top 18 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2647$382 ($and). +Removed top 19 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2645$381 ($and). +Removed top 6 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2643$380 ($and). +Removed top 26 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2641$379 ($and). +Removed top 25 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2634$376 ($eq). +Removed top 3 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2634$375 ($and). +Removed top 19 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2632$374 ($eq). +Removed top 18 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2630$373 ($and). +Removed top 19 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2628$372 ($and). +Removed top 26 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2627$371 ($eq). +Removed top 27 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2626$370 ($eq). +Removed top 17 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2625$369 ($eq). +Removed top 17 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2625$368 ($and). +Removed top 18 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2618$365 ($eq). +Removed top 19 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2617$364 ($eq). +Removed top 25 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2614$363 ($eq). +Removed top 25 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2614$362 ($and). +Removed top 6 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2611$361 ($and). +Removed top 6 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2609$360 ($and). +Removed top 25 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2602$354 ($eq). +Removed top 11 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2602$353 ($and). +Removed top 6 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2600$352 ($and). +Removed top 18 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2599$351 ($eq). +Removed top 26 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2599$350 ($eq). +Removed top 27 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2598$349 ($eq). +Removed top 19 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2598$348 ($and). +Removed top 18 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2597$347 ($eq). +Removed top 18 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2597$346 ($and). +Removed top 1 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2595$345 ($and). +Removed top 18 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2593$344 ($and). +Removed top 6 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2585$341 ($eq). +Removed top 1 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2582$340 ($eq). +Removed top 18 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2581$339 ($eq). +Removed top 25 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2580$338 ($eq). +Removed top 19 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2579$336 ($eq). +Removed top 6 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2579$335 ($and). +Removed top 19 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2578$334 ($eq). +Removed top 1 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2576$332 ($and). +Removed top 1 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2569$328 ($eq). +Removed top 17 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2568$327 ($eq). +Removed top 6 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2568$326 ($and). +Removed top 17 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2567$325 ($eq). +Removed top 17 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2567$324 ($and). +Removed top 26 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2559$320 ($eq). +Removed top 25 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2555$314 ($and). +Removed top 26 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2553$313 ($and). +Removed top 26 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2548$309 ($eq). +Removed top 26 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2548$308 ($eq). +Removed top 25 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2547$307 ($eq). +Removed top 25 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2547$306 ($and). +Removed top 29 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2546$305 ($eq). +Removed top 27 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2546$304 ($and). +Removed top 17 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2544$303 ($and). +Removed top 17 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2537$299 ($eq). +Removed top 17 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2537$298 ($and). +Removed top 19 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$eq$PQVexRiscvUlx3s.v:2536$297 ($eq). +Removed top 27 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2535$295 ($and). +Removed top 25 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2534$293 ($and). +Removed top 1 bits (of 33) from port A of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2515$292 ($add). +Removed top 32 bits (of 33) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2515$292 ($add). +Removed top 1 bits (of 33) from port Y of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2515$292 ($add). +Removed top 30 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2506$288 ($add). +Removed top 31 bits (of 32) from mux cell PQVexRiscvUlx3s.$flatten\core_cpu.$ternary$PQVexRiscvUlx3s.v:2497$283 ($mux). +Removed top 30 bits (of 32) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2493$279 ($add). +Removed top 2 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2481$276 ($add). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:2478$275 ($sub). +Removed top 1 bits (of 2) from port Y of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2477$274 ($and). +Removed top 1 bits (of 2) from port A of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2477$274 ($and). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$and$PQVexRiscvUlx3s.v:2477$274 ($and). +Removed top 1 bits (of 2) from port Y of cell PQVexRiscvUlx3s.$flatten\core_cpu.$not$PQVexRiscvUlx3s.v:2477$273 ($not). +Removed top 1 bits (of 2) from port A of cell PQVexRiscvUlx3s.$flatten\core_cpu.$not$PQVexRiscvUlx3s.v:2477$273 ($not). +Removed top 15 bits (of 48) from port B of cell PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2463$269 ($add). +Removed top 1 bits (of 33) from port Y of cell PQVexRiscvUlx3s.$flatten\core_cpu.$sshr$PQVexRiscvUlx3s.v:2458$266 ($sshr). +Removed top 3 bits (of 4) from port B of cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$procmux$2139_CMP0 ($eq). +Removed top 2 bits (of 4) from port B of cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$procmux$2138_CMP0 ($eq). +Removed top 1 bits (of 4) from port B of cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$procmux$2135_CMP0 ($eq). +Removed top 1 bits (of 4) from port B of cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$procmux$2134_CMP0 ($eq). +Removed top 1 bits (of 4) from port B of cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$procmux$2133_CMP0 ($eq). +Removed top 2 bits (of 4) from port B of cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$procmux$2079_CMP0 ($eq). +Removed top 1 bits (of 4) from port B of cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$procmux$2078_CMP0 ($eq). +Removed cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$procmux$2064 ($mux). +Removed top 2 bits (of 4) from port B of cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$eq$PQVexRiscvUlx3s.v:5752$976 ($eq). +Removed top 2 bits (of 4) from port B of cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$eq$PQVexRiscvUlx3s.v:5737$973 ($eq). +Removed top 3 bits (of 4) from port B of cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$eq$PQVexRiscvUlx3s.v:5736$972 ($eq). +Removed top 3 bits (of 4) from mux cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5704$970 ($mux). +Removed top 1 bits (of 4) from mux cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5698$968 ($mux). +Removed top 2 bits (of 4) from mux cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5695$967 ($mux). +Removed top 2 bits (of 4) from mux cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5692$966 ($mux). +Removed top 1 bits (of 4) from mux cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5686$964 ($mux). +Removed top 3 bits (of 4) from mux cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5674$960 ($mux). +Removed top 3 bits (of 4) from mux cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5665$957 ($mux). +Removed top 2 bits (of 4) from mux cell PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5662$956 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\systemDebugger_1_.$procmux$2060 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\systemDebugger_1_.$procmux$2058 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\systemDebugger_1_.$procmux$2054 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\systemDebugger_1_.$procmux$2042 ($mux). +Removed top 2 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\systemDebugger_1_.$add$PQVexRiscvUlx3s.v:5857$992 ($add). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$auto$opt_dff.cc:218:make_patterns_logic$4418 ($ne). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$auto$opt_dff.cc:218:make_patterns_logic$4376 ($ne). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$auto$opt_dff.cc:218:make_patterns_logic$4360 ($ne). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$auto$fsm_map.cc:77:implement_pattern_cache$4245 ($eq). +Removed top 3 bits (of 4) from port B of cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:998$127 ($add). +Removed top 3 bits (of 4) from port B of cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:1021$133 ($add). +Removed cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$procmux$3505 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$procmux$3507 ($mux). +Removed top 7 bits (of 8) from FF cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$procdff$4080 ($dff). +Removed top 3 bits (of 4) from port B of cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:998$127 ($add). +Removed top 3 bits (of 4) from port B of cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:1021$133 ($add). +Removed cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$procmux$3505 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$procmux$3507 ($mux). +Removed top 7 bits (of 8) from FF cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$procdff$4080 ($dff). +Removed top 19 bits (of 20) from port B of cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\uartCtrl_1_.$sub$PQVexRiscvUlx3s.v:903$112 ($sub). +Removed top 2 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$add$PQVexRiscvUlx3s.v:308$24 ($add). +Removed top 2 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$add$PQVexRiscvUlx3s.v:212$10 ($add). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$auto$fsm_map.cc:77:implement_pattern_cache$4201 ($eq). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$auto$fsm_map.cc:77:implement_pattern_cache$4197 ($eq). +Removed cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$procmux$3641 ($mux). +Removed top 7 bits (of 8) from port A of cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$shl$PQVexRiscvUlx3s.v:0$77 ($shl). +Removed top 2 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$add$PQVexRiscvUlx3s.v:561$66 ($add). +Removed top 2 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$sub$PQVexRiscvUlx3s.v:555$65 ($sub). +Removed top 6 bits (of 7) from port B of cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$add$PQVexRiscvUlx3s.v:498$56 ($add). +Removed top 2 bits (of 5) from port B of cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.$procmux$1887_CMP0 ($eq). +Removed cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.$procmux$1880 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.$procmux$1878 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.$procmux$1874 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\apb3UartCtrl_1_.$procmux$1872 ($mux). +Removed top 15 bits (of 16) from port B of cell PQVexRiscvUlx3s.$flatten\muraxApb3Timer_1_.\timerB.$add$PQVexRiscvUlx3s.v:1116$165 ($add). +Removed cell PQVexRiscvUlx3s.$flatten\muraxApb3Timer_1_.\timerB.$procmux$3472 ($mux). +Removed top 15 bits (of 16) from port B of cell PQVexRiscvUlx3s.$flatten\muraxApb3Timer_1_.\timerA.$add$PQVexRiscvUlx3s.v:1116$165 ($add). +Removed cell PQVexRiscvUlx3s.$flatten\muraxApb3Timer_1_.\timerA.$procmux$3472 ($mux). +Removed top 15 bits (of 16) from port B of cell PQVexRiscvUlx3s.$flatten\muraxApb3Timer_1_.\prescaler_1_.$add$PQVexRiscvUlx3s.v:1072$156 ($add). +Removed top 1 bits (of 8) from port B of cell PQVexRiscvUlx3s.$flatten\muraxApb3Timer_1_.$procmux$1769_CMP0 ($eq). +Removed top 1 bits (of 8) from port B of cell PQVexRiscvUlx3s.$flatten\muraxApb3Timer_1_.$procmux$1732_CMP0 ($eq). +Removed top 3 bits (of 8) from port B of cell PQVexRiscvUlx3s.$flatten\muraxApb3Timer_1_.$procmux$1720_CMP0 ($eq). +Removed top 1 bits (of 8) from port B of cell PQVexRiscvUlx3s.$flatten\muraxApb3Timer_1_.$procmux$1707_CMP0 ($eq). +Removed top 1 bits (of 8) from port B of cell PQVexRiscvUlx3s.$flatten\muraxApb3Timer_1_.$procmux$1696_CMP0 ($eq). +Removed top 3 bits (of 8) from port B of cell PQVexRiscvUlx3s.$flatten\muraxApb3Timer_1_.$procmux$1691_CMP0 ($eq). +Removed top 1 bits (of 8) from port B of cell PQVexRiscvUlx3s.$flatten\muraxApb3Timer_1_.$procmux$1681_CMP0 ($eq). +Removed top 1 bits (of 8) from port B of cell PQVexRiscvUlx3s.$flatten\muraxApb3Timer_1_.$procmux$1676_CMP0 ($eq). +Removed top 2 bits (of 20) from port B of cell PQVexRiscvUlx3s.$flatten\myMem_1_.$procmux$1669_CMP0 ($eq). +Removed top 2 bits (of 20) from port B of cell PQVexRiscvUlx3s.$flatten\io_apb_decoder.$eq$PQVexRiscvUlx3s.v:6874$1105 ($eq). +Removed top 2 bits (of 20) from port B of cell PQVexRiscvUlx3s.$flatten\io_apb_decoder.$eq$PQVexRiscvUlx3s.v:6873$1102 ($eq). +Removed top 3 bits (of 20) from port B of cell PQVexRiscvUlx3s.$flatten\io_apb_decoder.$eq$PQVexRiscvUlx3s.v:6872$1099 ($eq). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\apb3Router_1_.$procmux$1654_CMP0 ($eq). +Removed top 7 bits (of 8) from FF cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$procdff$3862 ($dff). +Removed top 7 bits (of 8) from FF cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$procdff$3859 ($dff). +Removed top 7 bits (of 8) from FF cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$procdff$3856 ($dff). +Removed top 7 bits (of 8) from FF cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$procdff$3853 ($dff). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$procmux$1638 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$procmux$1636 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$procmux$1632 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$procmux$1630 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$procmux$1626 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$procmux$1624 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$procmux$1620 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0.$procmux$1618 ($mux). +Removed top 7 bits (of 8) from FF cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$procdff$3862 ($dff). +Removed top 7 bits (of 8) from FF cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$procdff$3859 ($dff). +Removed top 7 bits (of 8) from FF cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$procdff$3856 ($dff). +Removed top 7 bits (of 8) from FF cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$procdff$3853 ($dff). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$procmux$1638 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$procmux$1636 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$procmux$1632 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$procmux$1630 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$procmux$1626 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$procmux$1624 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$procmux$1620 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1.$procmux$1618 ($mux). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_dbus_decoder.$procmux$1614_CMP0 ($eq). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_dbus_decoder.$sub$PQVexRiscvUlx3s.v:7188$1192 ($sub). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_dbus_decoder.$add$PQVexRiscvUlx3s.v:7112$1151 ($add). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_ibus_decoder.$sub$PQVexRiscvUlx3s.v:7310$1229 ($sub). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\core_ibus_decoder.$add$PQVexRiscvUlx3s.v:7250$1195 ($add). +Removed top 2 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1370$211 ($add). +Removed top 2 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1397$217 ($add). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$procmux$3442 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$procmux$3444 ($mux). +Removed top 1 bits (of 2) from FF cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$procdff$4064 ($dff). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2_.$procmux$3446 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2_.$procmux$3450 ($mux). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0_io_bus_arbiter.\logic_arbiter.$sub$PQVexRiscvUlx3s.v:1186$173 ($sub). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0_io_bus_arbiter.\logic_arbiter.$ternary$PQVexRiscvUlx3s.v:1196$182 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_0_io_bus_arbiter.\logic_arbiter.$procmux$3464 ($mux). +Removed top 2 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1370$211 ($add). +Removed top 2 bits (of 3) from port B of cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1397$217 ($add). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$procmux$3442 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$procmux$3444 ($mux). +Removed top 1 bits (of 2) from FF cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$procdff$4064 ($dff). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2_.$procmux$3446 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2_.$procmux$3450 ($mux). +Removed top 1 bits (of 2) from port B of cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1_io_bus_arbiter.\logic_arbiter.$sub$PQVexRiscvUlx3s.v:1186$173 ($sub). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1_io_bus_arbiter.\logic_arbiter.$ternary$PQVexRiscvUlx3s.v:1196$182 ($mux). +Removed cell PQVexRiscvUlx3s.$flatten\memory_ramBlocks_1_io_bus_arbiter.\logic_arbiter.$procmux$3464 ($mux). +Removed top 1 bits (of 2) from port Y of cell PQVexRiscvUlx3s.$flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:2478$275 ($sub). +Removed top 1 bits (of 2) from port A of cell PQVexRiscvUlx3s.$flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:2478$275 ($sub). +Removed top 1 bits (of 33) from wire PQVexRiscvUlx3s.$flatten\core_cpu.$0\memory_MulDivIterativePlugin_rs1[32:0]. +Removed top 1 bits (of 33) from wire PQVexRiscvUlx3s.$flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5163$849_Y. +Removed top 1 bits (of 2) from wire PQVexRiscvUlx3s.$flatten\core_cpu.$not$PQVexRiscvUlx3s.v:2477$273_Y. +Removed top 19 bits (of 32) from wire PQVexRiscvUlx3s.$flatten\core_cpu.$or$PQVexRiscvUlx3s.v:4815$782_Y. +Removed top 2 bits (of 4) from wire PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5662$956_Y. +Removed top 3 bits (of 4) from wire PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5665$957_Y. +Removed top 3 bits (of 4) from wire PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5674$960_Y. +Removed top 1 bits (of 4) from wire PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5686$964_Y. +Removed top 2 bits (of 4) from wire PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5692$966_Y. +Removed top 2 bits (of 4) from wire PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5695$967_Y. +Removed top 1 bits (of 4) from wire PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5698$968_Y. +Removed top 3 bits (of 4) from wire PQVexRiscvUlx3s.$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5704$970_Y. +Removed top 3 bits (of 32) from wire PQVexRiscvUlx3s.apb3UartCtrl_1__io_apb_PRDATA. +Removed top 15 bits (of 32) from wire PQVexRiscvUlx3s.muraxApb3Timer_1__io_apb_PRDATA. + +2.15. Executing PEEPOPT pass (run peephole optimizers). + +2.16. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. +Removed 1 unused cells and 77 unused wires. + + +2.17. Executing SHARE pass (SAT-based resource sharing). + +2.18. Executing TECHMAP pass (map to technology primitives). + +2.18.1. Executing Verilog-2005 frontend: /usr/local/bin/../share/yosys/cmp2lut.v +Parsing Verilog input from `/usr/local/bin/../share/yosys/cmp2lut.v' to AST representation. +Generating RTLIL representation for module `\_90_lut_cmp_'. +Successfully finished Verilog frontend. + +2.18.2. Continuing TECHMAP pass. +No more expansions possible. + + +2.19. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + +2.20. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. + +2.21. Executing TECHMAP pass (map to technology primitives). + +2.21.1. Executing Verilog-2005 frontend: /usr/local/bin/../share/yosys/mul2dsp.v +Parsing Verilog input from `/usr/local/bin/../share/yosys/mul2dsp.v' to AST representation. +Generating RTLIL representation for module `\_80_mul'. +Generating RTLIL representation for module `\_90_soft_mul'. +Successfully finished Verilog frontend. + +2.21.2. Executing Verilog-2005 frontend: /usr/local/bin/../share/yosys/ecp5/dsp_map.v +Parsing Verilog input from `/usr/local/bin/../share/yosys/ecp5/dsp_map.v' to AST representation. +Generating RTLIL representation for module `\$__MUL18X18'. +Successfully finished Verilog frontend. + +2.21.3. Continuing TECHMAP pass. +Using template $paramod$738639264c9aebc655ebda67fba0129d74a9b416\_80_mul for cells of type $mul. +Using template $paramod\$__MUL18X18\A_WIDTH=18\B_WIDTH=18\Y_WIDTH=32\A_SIGNED=0\B_SIGNED=0 for cells of type $__MUL18X18. +No more expansions possible. + + +2.22. Executing ALUMACC pass (create $alu and $macc cells). +Extracting $alu and $macc cells in module PQVexRiscvUlx3s: + creating $macc model for $flatten\apb3UartCtrl_1_.$sub$PQVexRiscvUlx3s.v:6078$1008 ($sub). + creating $macc model for $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:1021$133 ($add). + creating $macc model for $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:998$127 ($add). + creating $macc model for $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$sub$PQVexRiscvUlx3s.v:1035$146 ($sub). + creating $macc model for $flatten\apb3UartCtrl_1_.\uartCtrl_1_.$sub$PQVexRiscvUlx3s.v:903$112 ($sub). + creating $macc model for $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$add$PQVexRiscvUlx3s.v:498$56 ($add). + creating $macc model for $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$add$PQVexRiscvUlx3s.v:561$66 ($add). + creating $macc model for $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$sub$PQVexRiscvUlx3s.v:555$65 ($sub). + creating $macc model for $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$add$PQVexRiscvUlx3s.v:212$10 ($add). + creating $macc model for $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$add$PQVexRiscvUlx3s.v:308$24 ($add). + creating $macc model for $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:1021$133 ($add). + creating $macc model for $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:998$127 ($add). + creating $macc model for $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$sub$PQVexRiscvUlx3s.v:1035$146 ($sub). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2463$269 ($add). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2481$276 ($add). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2493$279 ($add). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2494$280 ($add). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2504$284 ($add). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2505$286 ($add). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2506$288 ($add). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2515$292 ($add). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:3766$518 ($add). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:4536$689 ($add). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:4549$692 ($add). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:4603$704 ($add). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5115$841 ($add). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5117$842 ($add). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5163$849 ($add). + creating $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5164$852 ($add). + creating $macc model for $flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:2478$275 ($sub). + creating $macc model for $flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:3862$550 ($sub). + creating $macc model for $flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:4612$705 ($sub). + creating $macc model for $flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:4947$813 ($sub). + creating $macc model for $flatten\core_dbus_decoder.$add$PQVexRiscvUlx3s.v:7112$1151 ($add). + creating $macc model for $flatten\core_dbus_decoder.$sub$PQVexRiscvUlx3s.v:7188$1192 ($sub). + creating $macc model for $flatten\core_ibus_decoder.$add$PQVexRiscvUlx3s.v:7250$1195 ($add). + creating $macc model for $flatten\core_ibus_decoder.$sub$PQVexRiscvUlx3s.v:7310$1229 ($sub). + creating $macc model for $flatten\memory_ramBlocks_0_io_bus_arbiter.\logic_arbiter.$sub$PQVexRiscvUlx3s.v:1186$173 ($sub). + creating $macc model for $flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1370$211 ($add). + creating $macc model for $flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1397$217 ($add). + creating $macc model for $flatten\memory_ramBlocks_1_io_bus_arbiter.\logic_arbiter.$sub$PQVexRiscvUlx3s.v:1186$173 ($sub). + creating $macc model for $flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1370$211 ($add). + creating $macc model for $flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1397$217 ($add). + creating $macc model for $flatten\muraxApb3Timer_1_.\prescaler_1_.$add$PQVexRiscvUlx3s.v:1072$156 ($add). + creating $macc model for $flatten\muraxApb3Timer_1_.\timerA.$add$PQVexRiscvUlx3s.v:1116$165 ($add). + creating $macc model for $flatten\muraxApb3Timer_1_.\timerB.$add$PQVexRiscvUlx3s.v:1116$165 ($add). + creating $macc model for $flatten\systemDebugger_1_.$add$PQVexRiscvUlx3s.v:5857$992 ($add). + merging $macc model for $flatten\core_ibus_decoder.$add$PQVexRiscvUlx3s.v:7250$1195 into $flatten\core_ibus_decoder.$sub$PQVexRiscvUlx3s.v:7310$1229. + merging $macc model for $flatten\core_dbus_decoder.$add$PQVexRiscvUlx3s.v:7112$1151 into $flatten\core_dbus_decoder.$sub$PQVexRiscvUlx3s.v:7188$1192. + merging $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2481$276 into $flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:3862$550. + merging $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2505$286 into $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2504$284. + merging $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2506$288 into $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2504$284. + merging $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2494$280 into $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2493$279. + merging $macc model for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:4549$692 into $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2463$269. + creating $alu model for $macc $flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1397$217. + creating $alu model for $macc $flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1370$211. + creating $alu model for $macc $flatten\memory_ramBlocks_0_io_bus_arbiter.\logic_arbiter.$sub$PQVexRiscvUlx3s.v:1186$173. + creating $alu model for $macc $flatten\memory_ramBlocks_1_io_bus_arbiter.\logic_arbiter.$sub$PQVexRiscvUlx3s.v:1186$173. + creating $alu model for $macc $flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1370$211. + creating $alu model for $macc $flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:4947$813. + creating $alu model for $macc $flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:4612$705. + creating $alu model for $macc $flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:2478$275. + creating $alu model for $macc $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5164$852. + creating $alu model for $macc $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5163$849. + creating $alu model for $macc $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5117$842. + creating $alu model for $macc $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5115$841. + creating $alu model for $macc $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:4603$704. + creating $alu model for $macc $flatten\systemDebugger_1_.$add$PQVexRiscvUlx3s.v:5857$992. + creating $alu model for $macc $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:4536$689. + creating $alu model for $macc $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:3766$518. + creating $alu model for $macc $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2515$292. + creating $alu model for $macc $flatten\muraxApb3Timer_1_.\timerA.$add$PQVexRiscvUlx3s.v:1116$165. + creating $alu model for $macc $flatten\muraxApb3Timer_1_.\prescaler_1_.$add$PQVexRiscvUlx3s.v:1072$156. + creating $alu model for $macc $flatten\muraxApb3Timer_1_.\timerB.$add$PQVexRiscvUlx3s.v:1116$165. + creating $alu model for $macc $flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1397$217. + creating $alu model for $macc $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$sub$PQVexRiscvUlx3s.v:1035$146. + creating $alu model for $macc $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:998$127. + creating $alu model for $macc $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:1021$133. + creating $alu model for $macc $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$add$PQVexRiscvUlx3s.v:308$24. + creating $alu model for $macc $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$add$PQVexRiscvUlx3s.v:212$10. + creating $alu model for $macc $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$sub$PQVexRiscvUlx3s.v:555$65. + creating $alu model for $macc $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$add$PQVexRiscvUlx3s.v:561$66. + creating $alu model for $macc $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$add$PQVexRiscvUlx3s.v:498$56. + creating $alu model for $macc $flatten\apb3UartCtrl_1_.\uartCtrl_1_.$sub$PQVexRiscvUlx3s.v:903$112. + creating $alu model for $macc $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$sub$PQVexRiscvUlx3s.v:1035$146. + creating $alu model for $macc $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:998$127. + creating $alu model for $macc $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:1021$133. + creating $alu model for $macc $flatten\apb3UartCtrl_1_.$sub$PQVexRiscvUlx3s.v:6078$1008. + creating $macc cell for $flatten\core_dbus_decoder.$sub$PQVexRiscvUlx3s.v:7188$1192: $auto$alumacc.cc:365:replace_macc$4675 + creating $macc cell for $flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:3862$550: $auto$alumacc.cc:365:replace_macc$4676 + creating $macc cell for $flatten\core_ibus_decoder.$sub$PQVexRiscvUlx3s.v:7310$1229: $auto$alumacc.cc:365:replace_macc$4677 + creating $macc cell for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2493$279: $auto$alumacc.cc:365:replace_macc$4678 + creating $macc cell for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2504$284: $auto$alumacc.cc:365:replace_macc$4679 + creating $macc cell for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2463$269: $auto$alumacc.cc:365:replace_macc$4680 + creating $alu model for $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$eq$PQVexRiscvUlx3s.v:1027$134 ($eq): merged with $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$sub$PQVexRiscvUlx3s.v:1035$146. + creating $alu model for $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$eq$PQVexRiscvUlx3s.v:1027$134 ($eq): merged with $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$sub$PQVexRiscvUlx3s.v:1035$146. + creating $alu cell for $flatten\apb3UartCtrl_1_.$sub$PQVexRiscvUlx3s.v:6078$1008: $auto$alumacc.cc:485:replace_alu$4681 + creating $alu cell for $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:1021$133: $auto$alumacc.cc:485:replace_alu$4684 + creating $alu cell for $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:998$127: $auto$alumacc.cc:485:replace_alu$4687 + creating $alu cell for $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$sub$PQVexRiscvUlx3s.v:1035$146, $flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$eq$PQVexRiscvUlx3s.v:1027$134: $auto$alumacc.cc:485:replace_alu$4690 + creating $alu cell for $flatten\apb3UartCtrl_1_.\uartCtrl_1_.$sub$PQVexRiscvUlx3s.v:903$112: $auto$alumacc.cc:485:replace_alu$4695 + creating $alu cell for $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$add$PQVexRiscvUlx3s.v:498$56: $auto$alumacc.cc:485:replace_alu$4698 + creating $alu cell for $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$add$PQVexRiscvUlx3s.v:561$66: $auto$alumacc.cc:485:replace_alu$4701 + creating $alu cell for $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\rx.$sub$PQVexRiscvUlx3s.v:555$65: $auto$alumacc.cc:485:replace_alu$4704 + creating $alu cell for $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$add$PQVexRiscvUlx3s.v:212$10: $auto$alumacc.cc:485:replace_alu$4707 + creating $alu cell for $flatten\apb3UartCtrl_1_.\uartCtrl_1_.\tx.$add$PQVexRiscvUlx3s.v:308$24: $auto$alumacc.cc:485:replace_alu$4710 + creating $alu cell for $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:1021$133: $auto$alumacc.cc:485:replace_alu$4713 + creating $alu cell for $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$add$PQVexRiscvUlx3s.v:998$127: $auto$alumacc.cc:485:replace_alu$4716 + creating $alu cell for $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$sub$PQVexRiscvUlx3s.v:1035$146, $flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$eq$PQVexRiscvUlx3s.v:1027$134: $auto$alumacc.cc:485:replace_alu$4719 + creating $alu cell for $flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1397$217: $auto$alumacc.cc:485:replace_alu$4724 + creating $alu cell for $flatten\muraxApb3Timer_1_.\timerB.$add$PQVexRiscvUlx3s.v:1116$165: $auto$alumacc.cc:485:replace_alu$4727 + creating $alu cell for $flatten\muraxApb3Timer_1_.\prescaler_1_.$add$PQVexRiscvUlx3s.v:1072$156: $auto$alumacc.cc:485:replace_alu$4730 + creating $alu cell for $flatten\muraxApb3Timer_1_.\timerA.$add$PQVexRiscvUlx3s.v:1116$165: $auto$alumacc.cc:485:replace_alu$4733 + creating $alu cell for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:2515$292: $auto$alumacc.cc:485:replace_alu$4736 + creating $alu cell for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:3766$518: $auto$alumacc.cc:485:replace_alu$4739 + creating $alu cell for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:4536$689: $auto$alumacc.cc:485:replace_alu$4742 + creating $alu cell for $flatten\systemDebugger_1_.$add$PQVexRiscvUlx3s.v:5857$992: $auto$alumacc.cc:485:replace_alu$4745 + creating $alu cell for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:4603$704: $auto$alumacc.cc:485:replace_alu$4748 + creating $alu cell for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5115$841: $auto$alumacc.cc:485:replace_alu$4751 + creating $alu cell for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5117$842: $auto$alumacc.cc:485:replace_alu$4754 + creating $alu cell for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5163$849: $auto$alumacc.cc:485:replace_alu$4757 + creating $alu cell for $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:5164$852: $auto$alumacc.cc:485:replace_alu$4760 + creating $alu cell for $flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:2478$275: $auto$alumacc.cc:485:replace_alu$4763 + creating $alu cell for $flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:4612$705: $auto$alumacc.cc:485:replace_alu$4766 + creating $alu cell for $flatten\core_cpu.$sub$PQVexRiscvUlx3s.v:4947$813: $auto$alumacc.cc:485:replace_alu$4769 + creating $alu cell for $flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1370$211: $auto$alumacc.cc:485:replace_alu$4772 + creating $alu cell for $flatten\memory_ramBlocks_1_io_bus_arbiter.\logic_arbiter.$sub$PQVexRiscvUlx3s.v:1186$173: $auto$alumacc.cc:485:replace_alu$4775 + creating $alu cell for $flatten\memory_ramBlocks_0_io_bus_arbiter.\logic_arbiter.$sub$PQVexRiscvUlx3s.v:1186$173: $auto$alumacc.cc:485:replace_alu$4778 + creating $alu cell for $flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1370$211: $auto$alumacc.cc:485:replace_alu$4781 + creating $alu cell for $flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$add$PQVexRiscvUlx3s.v:1397$217: $auto$alumacc.cc:485:replace_alu$4784 + created 34 $alu and 6 $macc cells. + +2.23. Executing OPT pass (performing simple optimizations). + +2.23.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + +2.23.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. + +Removed a total of 8 cells. + +2.23.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \PQVexRiscvUlx3s.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.23.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \PQVexRiscvUlx3s. +Performed a total of 0 changes. + +2.23.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. + +Removed a total of 5 cells. + +2.23.6. Executing OPT_DFF pass (perform DFF optimizations). +Adding SRST signal on $flatten\memory_ramBlocks_1.$procdff$3861 ($dff) from module PQVexRiscvUlx3s (D = \_zz_33_ [31:24], Q = $flatten\memory_ramBlocks_1.$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_DATA, rval = 8'00000000). +Adding SRST signal on $flatten\memory_ramBlocks_1.$procdff$3858 ($dff) from module PQVexRiscvUlx3s (D = \_zz_33_ [23:16], Q = $flatten\memory_ramBlocks_1.$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_DATA, rval = 8'00000000). +Adding SRST signal on $flatten\memory_ramBlocks_1.$procdff$3855 ($dff) from module PQVexRiscvUlx3s (D = \_zz_33_ [15:8], Q = $flatten\memory_ramBlocks_1.$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_DATA, rval = 8'00000000). +Adding SRST signal on $flatten\memory_ramBlocks_1.$procdff$3852 ($dff) from module PQVexRiscvUlx3s (D = \_zz_33_ [7:0], Q = $flatten\memory_ramBlocks_1.$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_DATA, rval = 8'00000000). +Adding SRST signal on $flatten\memory_ramBlocks_0.$procdff$3861 ($dff) from module PQVexRiscvUlx3s (D = \_zz_33_ [31:24], Q = $flatten\memory_ramBlocks_0.$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:7033$1114_DATA, rval = 8'00000000). +Adding SRST signal on $flatten\memory_ramBlocks_0.$procdff$3858 ($dff) from module PQVexRiscvUlx3s (D = \_zz_33_ [23:16], Q = $flatten\memory_ramBlocks_0.$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:7030$1113_DATA, rval = 8'00000000). +Adding SRST signal on $flatten\memory_ramBlocks_0.$procdff$3855 ($dff) from module PQVexRiscvUlx3s (D = \_zz_33_ [15:8], Q = $flatten\memory_ramBlocks_0.$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:7027$1112_DATA, rval = 8'00000000). +Adding SRST signal on $flatten\memory_ramBlocks_0.$procdff$3852 ($dff) from module PQVexRiscvUlx3s (D = \_zz_33_ [7:0], Q = $flatten\memory_ramBlocks_0.$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:7024$1111_DATA, rval = 8'00000000). +Adding SRST signal on $auto$opt_dff.cc:764:run$4398 ($dffe) from module PQVexRiscvUlx3s (D = \jtagBridge_1_.jtag_readArea_shifter [2], Q = \jtagBridge_1_.jtag_readArea_shifter [1], rval = 1'0). + +2.23.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. +Removed 9 unused cells and 75 unused wires. + + +2.23.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + +2.23.9. Rerunning OPT passes. (Maybe there is more to do..) + +2.23.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \PQVexRiscvUlx3s.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.23.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \PQVexRiscvUlx3s. +Performed a total of 0 changes. + +2.23.12. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. +Removed a total of 0 cells. + +2.23.13. Executing OPT_DFF pass (perform DFF optimizations). + +2.23.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. + +2.23.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + +2.23.16. Finished OPT passes. (There is nothing left to do.) + +2.24. Executing MEMORY pass. + +2.24.1. Executing OPT_MEM pass (optimize memories). +Performed a total of 0 transformations. + +2.24.2. Executing MEMORY_DFF pass (merging $dff cells to $memrd and $memwr). +Checking cell `$flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$memwr$\logic_ram$PQVexRiscvUlx3s.v:0$153' in module `\PQVexRiscvUlx3s': merged $dff to cell. +Checking cell `$flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$memwr$\logic_ram$PQVexRiscvUlx3s.v:0$153' in module `\PQVexRiscvUlx3s': merged $dff to cell. +Checking cell `$flatten\core_cpu.$memwr$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:0$949' in module `\PQVexRiscvUlx3s': merged $dff to cell. +Checking cell `$flatten\memory_ramBlocks_0.$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:0$1147' in module `\PQVexRiscvUlx3s': merged $dff to cell. +Checking cell `$flatten\memory_ramBlocks_0.$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:0$1148' in module `\PQVexRiscvUlx3s': merged $dff to cell. +Checking cell `$flatten\memory_ramBlocks_0.$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:0$1149' in module `\PQVexRiscvUlx3s': merged $dff to cell. +Checking cell `$flatten\memory_ramBlocks_0.$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:0$1150' in module `\PQVexRiscvUlx3s': merged $dff to cell. +Checking cell `$flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$memwr$\ram$PQVexRiscvUlx3s.v:0$233' in module `\PQVexRiscvUlx3s': merged $dff to cell. +Checking cell `$flatten\memory_ramBlocks_1.$memwr$\ram_symbol0$PQVexRiscvUlx3s.v:0$1147' in module `\PQVexRiscvUlx3s': merged $dff to cell. +Checking cell `$flatten\memory_ramBlocks_1.$memwr$\ram_symbol1$PQVexRiscvUlx3s.v:0$1148' in module `\PQVexRiscvUlx3s': merged $dff to cell. +Checking cell `$flatten\memory_ramBlocks_1.$memwr$\ram_symbol2$PQVexRiscvUlx3s.v:0$1149' in module `\PQVexRiscvUlx3s': merged $dff to cell. +Checking cell `$flatten\memory_ramBlocks_1.$memwr$\ram_symbol3$PQVexRiscvUlx3s.v:0$1150' in module `\PQVexRiscvUlx3s': merged $dff to cell. +Checking cell `$flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$memwr$\ram$PQVexRiscvUlx3s.v:0$233' in module `\PQVexRiscvUlx3s': merged $dff to cell. +Checking cell `$flatten\apb3UartCtrl_1_.\bridge_write_streamUnbuffered_queueWithOccupancy.$memrd$\logic_ram$PQVexRiscvUlx3s.v:964$116' in module `\PQVexRiscvUlx3s': merged data $dff to cell. +Checking cell `$flatten\apb3UartCtrl_1_.\uartCtrl_1__io_read_queueWithOccupancy.$memrd$\logic_ram$PQVexRiscvUlx3s.v:964$116' in module `\PQVexRiscvUlx3s': merged data $dff to cell. +Checking cell `$flatten\core_cpu.$memrd$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2686$414' in module `\PQVexRiscvUlx3s': merged data $dff to cell. +Checking cell `$flatten\core_cpu.$memrd$\RegFilePlugin_regFile$PQVexRiscvUlx3s.v:2692$416' in module `\PQVexRiscvUlx3s': merged data $dff to cell. +Checking cell `$flatten\memory_ramBlocks_0.$memrd$\ram_symbol0$PQVexRiscvUlx3s.v:7015$1117' in module `\PQVexRiscvUlx3s': merged data $dff to cell. +Checking cell `$flatten\memory_ramBlocks_0.$memrd$\ram_symbol1$PQVexRiscvUlx3s.v:7016$1118' in module `\PQVexRiscvUlx3s': merged data $dff to cell. +Checking cell `$flatten\memory_ramBlocks_0.$memrd$\ram_symbol2$PQVexRiscvUlx3s.v:7017$1119' in module `\PQVexRiscvUlx3s': merged data $dff to cell. +Checking cell `$flatten\memory_ramBlocks_0.$memrd$\ram_symbol3$PQVexRiscvUlx3s.v:7018$1120' in module `\PQVexRiscvUlx3s': merged data $dff to cell. +Checking cell `$flatten\memory_ramBlocks_0_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$memrd$\ram$PQVexRiscvUlx3s.v:1336$200' in module `\PQVexRiscvUlx3s': no (compatible) $dff found. +Checking cell `$flatten\memory_ramBlocks_1.$memrd$\ram_symbol0$PQVexRiscvUlx3s.v:7015$1117' in module `\PQVexRiscvUlx3s': merged data $dff to cell. +Checking cell `$flatten\memory_ramBlocks_1.$memrd$\ram_symbol1$PQVexRiscvUlx3s.v:7016$1118' in module `\PQVexRiscvUlx3s': merged data $dff to cell. +Checking cell `$flatten\memory_ramBlocks_1.$memrd$\ram_symbol2$PQVexRiscvUlx3s.v:7017$1119' in module `\PQVexRiscvUlx3s': merged data $dff to cell. +Checking cell `$flatten\memory_ramBlocks_1.$memrd$\ram_symbol3$PQVexRiscvUlx3s.v:7018$1120' in module `\PQVexRiscvUlx3s': merged data $dff to cell. +Checking cell `$flatten\memory_ramBlocks_1_io_bus_arbiter.\streamFork_2__io_outputs_1_translated_thrown_fifo.$memrd$\ram$PQVexRiscvUlx3s.v:1336$200' in module `\PQVexRiscvUlx3s': no (compatible) $dff found. + +2.24.3. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. +Removed 43 unused cells and 55 unused wires. + + +2.24.4. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). + +2.24.5. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. + +2.24.6. Executing MEMORY_COLLECT pass (generating $mem cells). + +2.25. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. + +2.26. Executing MEMORY_BRAM pass (mapping $mem cells to block memories). +Processing PQVexRiscvUlx3s.apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_ram: + Properties: ports=2 bits=128 rports=1 wports=1 dbits=8 abits=4 words=16 + Checking rule #1 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=496 dwaste=28 bwaste=18304 waste=18304 efficiency=0 + Rule #1 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'min efficiency 5' not met. + Checking rule #2 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=496 dwaste=28 bwaste=18304 waste=18304 efficiency=0 + Rule for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #3 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=496 dwaste=28 bwaste=18304 waste=18304 efficiency=0 + Rule #3 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=1008 dwaste=10 bwaste=18304 waste=18304 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=2032 dwaste=1 bwaste=18304 waste=18304 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=4080 dwaste=0 bwaste=16320 waste=16320 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=8176 dwaste=0 bwaste=16352 waste=16352 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=16368 dwaste=0 bwaste=16368 waste=16368 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'min efficiency 5' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=1008 dwaste=10 bwaste=18304 waste=18304 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=2032 dwaste=1 bwaste=18304 waste=18304 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=4080 dwaste=0 bwaste=16320 waste=16320 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=8176 dwaste=0 bwaste=16352 waste=16352 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=16368 dwaste=0 bwaste=16368 waste=16368 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=1008 dwaste=10 bwaste=18304 waste=18304 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=2032 dwaste=1 bwaste=18304 waste=18304 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=4080 dwaste=0 bwaste=16320 waste=16320 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=8176 dwaste=0 bwaste=16352 waste=16352 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=16368 dwaste=0 bwaste=16368 waste=16368 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'max wports 0' not met. + No acceptable bram resources found. +Processing PQVexRiscvUlx3s.apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_ram: + Properties: ports=2 bits=128 rports=1 wports=1 dbits=8 abits=4 words=16 + Checking rule #1 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=496 dwaste=28 bwaste=18304 waste=18304 efficiency=0 + Rule #1 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'min efficiency 5' not met. + Checking rule #2 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=496 dwaste=28 bwaste=18304 waste=18304 efficiency=0 + Rule for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #3 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=496 dwaste=28 bwaste=18304 waste=18304 efficiency=0 + Rule #3 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=1008 dwaste=10 bwaste=18304 waste=18304 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=2032 dwaste=1 bwaste=18304 waste=18304 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=4080 dwaste=0 bwaste=16320 waste=16320 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=8176 dwaste=0 bwaste=16352 waste=16352 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=16368 dwaste=0 bwaste=16368 waste=16368 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'min efficiency 5' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=1008 dwaste=10 bwaste=18304 waste=18304 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=2032 dwaste=1 bwaste=18304 waste=18304 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=4080 dwaste=0 bwaste=16320 waste=16320 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=8176 dwaste=0 bwaste=16352 waste=16352 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=16368 dwaste=0 bwaste=16368 waste=16368 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=1008 dwaste=10 bwaste=18304 waste=18304 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=2032 dwaste=1 bwaste=18304 waste=18304 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=4080 dwaste=0 bwaste=16320 waste=16320 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=8176 dwaste=0 bwaste=16352 waste=16352 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=16368 dwaste=0 bwaste=16368 waste=16368 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'max wports 0' not met. + No acceptable bram resources found. +Processing PQVexRiscvUlx3s.core_cpu.RegFilePlugin_regFile: + Properties: ports=3 bits=1024 rports=2 wports=1 dbits=32 abits=5 words=32 + Checking rule #1 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=480 dwaste=4 bwaste=17408 waste=17408 efficiency=5 + Rule #1 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'min bits 2048' not met. + Checking rule #2 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=480 dwaste=4 bwaste=17408 waste=17408 efficiency=5 + Rule for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #3 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=480 dwaste=4 bwaste=17408 waste=17408 efficiency=5 + Rule #3 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=992 dwaste=4 bwaste=17984 waste=17984 efficiency=2 + Rule #4 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=2016 dwaste=4 bwaste=18272 waste=18272 efficiency=1 + Rule #4 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=4064 dwaste=0 bwaste=16256 waste=16256 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=8160 dwaste=0 bwaste=16320 waste=16320 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=16352 dwaste=0 bwaste=16352 waste=16352 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'min efficiency 5' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=992 dwaste=4 bwaste=17984 waste=17984 efficiency=2 + Rule for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=2016 dwaste=4 bwaste=18272 waste=18272 efficiency=1 + Rule for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=4064 dwaste=0 bwaste=16256 waste=16256 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=8160 dwaste=0 bwaste=16320 waste=16320 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=16352 dwaste=0 bwaste=16352 waste=16352 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=992 dwaste=4 bwaste=17984 waste=17984 efficiency=2 + Rule #6 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=2016 dwaste=4 bwaste=18272 waste=18272 efficiency=1 + Rule #6 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=4064 dwaste=0 bwaste=16256 waste=16256 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=8160 dwaste=0 bwaste=16320 waste=16320 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=16352 dwaste=0 bwaste=16352 waste=16352 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'max wports 0' not met. + No acceptable bram resources found. +Processing PQVexRiscvUlx3s.memory_ramBlocks_0.ram_symbol0: + Properties: ports=2 bits=131072 rports=1 wports=1 dbits=8 abits=14 words=16384 + Checking rule #1 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #1 for bram type $__ECP5_PDPW16KD (variant 1) accepted. + Mapping to bram type $__ECP5_PDPW16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=14336 efficiency=22 + Storing for later selection. + Checking rule #2 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #3 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #3 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #4 for bram type $__ECP5_DP16KD (variant 1) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=10240 efficiency=44 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #4 for bram type $__ECP5_DP16KD (variant 2) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 2): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=2048 efficiency=88 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 3) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 3): + Shuffle bit order to accommodate enable buckets of size 4.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 4) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 4): + Shuffle bit order to accommodate enable buckets of size 2.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 5) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #6 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #6 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'max wports 0' not met. + Selecting best of 6 rules: + Efficiency for rule 4.5: efficiency=100, cells=8, acells=1 + Efficiency for rule 4.4: efficiency=100, cells=8, acells=2 + Efficiency for rule 4.3: efficiency=100, cells=8, acells=4 + Efficiency for rule 4.2: efficiency=88, cells=8, acells=8 + Efficiency for rule 4.1: efficiency=44, cells=16, acells=16 + Efficiency for rule 1.1: efficiency=22, cells=32, acells=32 + Selected rule 4.5 with efficiency 100. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Creating $__ECP5_DP16KD cell at grid position <0 0 0>: memory_ramBlocks_0.ram_symbol0.0.0.0 + Creating $__ECP5_DP16KD cell at grid position <1 0 0>: memory_ramBlocks_0.ram_symbol0.1.0.0 + Creating $__ECP5_DP16KD cell at grid position <2 0 0>: memory_ramBlocks_0.ram_symbol0.2.0.0 + Creating $__ECP5_DP16KD cell at grid position <3 0 0>: memory_ramBlocks_0.ram_symbol0.3.0.0 + Creating $__ECP5_DP16KD cell at grid position <4 0 0>: memory_ramBlocks_0.ram_symbol0.4.0.0 + Creating $__ECP5_DP16KD cell at grid position <5 0 0>: memory_ramBlocks_0.ram_symbol0.5.0.0 + Creating $__ECP5_DP16KD cell at grid position <6 0 0>: memory_ramBlocks_0.ram_symbol0.6.0.0 + Creating $__ECP5_DP16KD cell at grid position <7 0 0>: memory_ramBlocks_0.ram_symbol0.7.0.0 +Processing PQVexRiscvUlx3s.memory_ramBlocks_0.ram_symbol1: + Properties: ports=2 bits=131072 rports=1 wports=1 dbits=8 abits=14 words=16384 + Checking rule #1 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #1 for bram type $__ECP5_PDPW16KD (variant 1) accepted. + Mapping to bram type $__ECP5_PDPW16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=14336 efficiency=22 + Storing for later selection. + Checking rule #2 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #3 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #3 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #4 for bram type $__ECP5_DP16KD (variant 1) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=10240 efficiency=44 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #4 for bram type $__ECP5_DP16KD (variant 2) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 2): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=2048 efficiency=88 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 3) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 3): + Shuffle bit order to accommodate enable buckets of size 4.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 4) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 4): + Shuffle bit order to accommodate enable buckets of size 2.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 5) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #6 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #6 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'max wports 0' not met. + Selecting best of 6 rules: + Efficiency for rule 4.5: efficiency=100, cells=8, acells=1 + Efficiency for rule 4.4: efficiency=100, cells=8, acells=2 + Efficiency for rule 4.3: efficiency=100, cells=8, acells=4 + Efficiency for rule 4.2: efficiency=88, cells=8, acells=8 + Efficiency for rule 4.1: efficiency=44, cells=16, acells=16 + Efficiency for rule 1.1: efficiency=22, cells=32, acells=32 + Selected rule 4.5 with efficiency 100. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Creating $__ECP5_DP16KD cell at grid position <0 0 0>: memory_ramBlocks_0.ram_symbol1.0.0.0 + Creating $__ECP5_DP16KD cell at grid position <1 0 0>: memory_ramBlocks_0.ram_symbol1.1.0.0 + Creating $__ECP5_DP16KD cell at grid position <2 0 0>: memory_ramBlocks_0.ram_symbol1.2.0.0 + Creating $__ECP5_DP16KD cell at grid position <3 0 0>: memory_ramBlocks_0.ram_symbol1.3.0.0 + Creating $__ECP5_DP16KD cell at grid position <4 0 0>: memory_ramBlocks_0.ram_symbol1.4.0.0 + Creating $__ECP5_DP16KD cell at grid position <5 0 0>: memory_ramBlocks_0.ram_symbol1.5.0.0 + Creating $__ECP5_DP16KD cell at grid position <6 0 0>: memory_ramBlocks_0.ram_symbol1.6.0.0 + Creating $__ECP5_DP16KD cell at grid position <7 0 0>: memory_ramBlocks_0.ram_symbol1.7.0.0 +Processing PQVexRiscvUlx3s.memory_ramBlocks_0.ram_symbol2: + Properties: ports=2 bits=131072 rports=1 wports=1 dbits=8 abits=14 words=16384 + Checking rule #1 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #1 for bram type $__ECP5_PDPW16KD (variant 1) accepted. + Mapping to bram type $__ECP5_PDPW16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=14336 efficiency=22 + Storing for later selection. + Checking rule #2 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #3 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #3 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #4 for bram type $__ECP5_DP16KD (variant 1) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=10240 efficiency=44 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #4 for bram type $__ECP5_DP16KD (variant 2) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 2): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=2048 efficiency=88 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 3) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 3): + Shuffle bit order to accommodate enable buckets of size 4.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 4) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 4): + Shuffle bit order to accommodate enable buckets of size 2.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 5) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #6 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #6 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'max wports 0' not met. + Selecting best of 6 rules: + Efficiency for rule 4.5: efficiency=100, cells=8, acells=1 + Efficiency for rule 4.4: efficiency=100, cells=8, acells=2 + Efficiency for rule 4.3: efficiency=100, cells=8, acells=4 + Efficiency for rule 4.2: efficiency=88, cells=8, acells=8 + Efficiency for rule 4.1: efficiency=44, cells=16, acells=16 + Efficiency for rule 1.1: efficiency=22, cells=32, acells=32 + Selected rule 4.5 with efficiency 100. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Creating $__ECP5_DP16KD cell at grid position <0 0 0>: memory_ramBlocks_0.ram_symbol2.0.0.0 + Creating $__ECP5_DP16KD cell at grid position <1 0 0>: memory_ramBlocks_0.ram_symbol2.1.0.0 + Creating $__ECP5_DP16KD cell at grid position <2 0 0>: memory_ramBlocks_0.ram_symbol2.2.0.0 + Creating $__ECP5_DP16KD cell at grid position <3 0 0>: memory_ramBlocks_0.ram_symbol2.3.0.0 + Creating $__ECP5_DP16KD cell at grid position <4 0 0>: memory_ramBlocks_0.ram_symbol2.4.0.0 + Creating $__ECP5_DP16KD cell at grid position <5 0 0>: memory_ramBlocks_0.ram_symbol2.5.0.0 + Creating $__ECP5_DP16KD cell at grid position <6 0 0>: memory_ramBlocks_0.ram_symbol2.6.0.0 + Creating $__ECP5_DP16KD cell at grid position <7 0 0>: memory_ramBlocks_0.ram_symbol2.7.0.0 +Processing PQVexRiscvUlx3s.memory_ramBlocks_0.ram_symbol3: + Properties: ports=2 bits=131072 rports=1 wports=1 dbits=8 abits=14 words=16384 + Checking rule #1 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #1 for bram type $__ECP5_PDPW16KD (variant 1) accepted. + Mapping to bram type $__ECP5_PDPW16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=14336 efficiency=22 + Storing for later selection. + Checking rule #2 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #3 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #3 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #4 for bram type $__ECP5_DP16KD (variant 1) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=10240 efficiency=44 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #4 for bram type $__ECP5_DP16KD (variant 2) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 2): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=2048 efficiency=88 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 3) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 3): + Shuffle bit order to accommodate enable buckets of size 4.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 4) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 4): + Shuffle bit order to accommodate enable buckets of size 2.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 5) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #6 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #6 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'max wports 0' not met. + Selecting best of 6 rules: + Efficiency for rule 4.5: efficiency=100, cells=8, acells=1 + Efficiency for rule 4.4: efficiency=100, cells=8, acells=2 + Efficiency for rule 4.3: efficiency=100, cells=8, acells=4 + Efficiency for rule 4.2: efficiency=88, cells=8, acells=8 + Efficiency for rule 4.1: efficiency=44, cells=16, acells=16 + Efficiency for rule 1.1: efficiency=22, cells=32, acells=32 + Selected rule 4.5 with efficiency 100. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Creating $__ECP5_DP16KD cell at grid position <0 0 0>: memory_ramBlocks_0.ram_symbol3.0.0.0 + Creating $__ECP5_DP16KD cell at grid position <1 0 0>: memory_ramBlocks_0.ram_symbol3.1.0.0 + Creating $__ECP5_DP16KD cell at grid position <2 0 0>: memory_ramBlocks_0.ram_symbol3.2.0.0 + Creating $__ECP5_DP16KD cell at grid position <3 0 0>: memory_ramBlocks_0.ram_symbol3.3.0.0 + Creating $__ECP5_DP16KD cell at grid position <4 0 0>: memory_ramBlocks_0.ram_symbol3.4.0.0 + Creating $__ECP5_DP16KD cell at grid position <5 0 0>: memory_ramBlocks_0.ram_symbol3.5.0.0 + Creating $__ECP5_DP16KD cell at grid position <6 0 0>: memory_ramBlocks_0.ram_symbol3.6.0.0 + Creating $__ECP5_DP16KD cell at grid position <7 0 0>: memory_ramBlocks_0.ram_symbol3.7.0.0 +Processing PQVexRiscvUlx3s.memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.ram: + Properties: ports=2 bits=14 rports=1 wports=1 dbits=2 abits=3 words=7 + Checking rule #1 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=505 dwaste=34 bwaste=18418 waste=18418 efficiency=0 + Rule #1 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'min efficiency 5' not met. + Checking rule #2 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=505 dwaste=34 bwaste=18418 waste=18418 efficiency=0 + Rule for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #3 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=505 dwaste=34 bwaste=18418 waste=18418 efficiency=0 + Rule #3 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=1017 dwaste=16 bwaste=18418 waste=18418 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=2041 dwaste=7 bwaste=18418 waste=18418 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=4089 dwaste=2 bwaste=16370 waste=16370 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=8185 dwaste=0 bwaste=16370 waste=16370 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=16377 dwaste=0 bwaste=16377 waste=16377 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'min efficiency 5' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=1017 dwaste=16 bwaste=18418 waste=18418 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=2041 dwaste=7 bwaste=18418 waste=18418 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=4089 dwaste=2 bwaste=16370 waste=16370 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=8185 dwaste=0 bwaste=16370 waste=16370 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=16377 dwaste=0 bwaste=16377 waste=16377 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=1017 dwaste=16 bwaste=18418 waste=18418 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=2041 dwaste=7 bwaste=18418 waste=18418 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=4089 dwaste=2 bwaste=16370 waste=16370 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=8185 dwaste=0 bwaste=16370 waste=16370 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=16377 dwaste=0 bwaste=16377 waste=16377 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'max wports 0' not met. + No acceptable bram resources found. +Processing PQVexRiscvUlx3s.memory_ramBlocks_1.ram_symbol0: + Properties: ports=2 bits=131072 rports=1 wports=1 dbits=8 abits=14 words=16384 + Checking rule #1 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #1 for bram type $__ECP5_PDPW16KD (variant 1) accepted. + Mapping to bram type $__ECP5_PDPW16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=14336 efficiency=22 + Storing for later selection. + Checking rule #2 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #3 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #3 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #4 for bram type $__ECP5_DP16KD (variant 1) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=10240 efficiency=44 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #4 for bram type $__ECP5_DP16KD (variant 2) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 2): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=2048 efficiency=88 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 3) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 3): + Shuffle bit order to accommodate enable buckets of size 4.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 4) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 4): + Shuffle bit order to accommodate enable buckets of size 2.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 5) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #6 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #6 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'max wports 0' not met. + Selecting best of 6 rules: + Efficiency for rule 4.5: efficiency=100, cells=8, acells=1 + Efficiency for rule 4.4: efficiency=100, cells=8, acells=2 + Efficiency for rule 4.3: efficiency=100, cells=8, acells=4 + Efficiency for rule 4.2: efficiency=88, cells=8, acells=8 + Efficiency for rule 4.1: efficiency=44, cells=16, acells=16 + Efficiency for rule 1.1: efficiency=22, cells=32, acells=32 + Selected rule 4.5 with efficiency 100. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Creating $__ECP5_DP16KD cell at grid position <0 0 0>: memory_ramBlocks_1.ram_symbol0.0.0.0 + Creating $__ECP5_DP16KD cell at grid position <1 0 0>: memory_ramBlocks_1.ram_symbol0.1.0.0 + Creating $__ECP5_DP16KD cell at grid position <2 0 0>: memory_ramBlocks_1.ram_symbol0.2.0.0 + Creating $__ECP5_DP16KD cell at grid position <3 0 0>: memory_ramBlocks_1.ram_symbol0.3.0.0 + Creating $__ECP5_DP16KD cell at grid position <4 0 0>: memory_ramBlocks_1.ram_symbol0.4.0.0 + Creating $__ECP5_DP16KD cell at grid position <5 0 0>: memory_ramBlocks_1.ram_symbol0.5.0.0 + Creating $__ECP5_DP16KD cell at grid position <6 0 0>: memory_ramBlocks_1.ram_symbol0.6.0.0 + Creating $__ECP5_DP16KD cell at grid position <7 0 0>: memory_ramBlocks_1.ram_symbol0.7.0.0 +Processing PQVexRiscvUlx3s.memory_ramBlocks_1.ram_symbol1: + Properties: ports=2 bits=131072 rports=1 wports=1 dbits=8 abits=14 words=16384 + Checking rule #1 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #1 for bram type $__ECP5_PDPW16KD (variant 1) accepted. + Mapping to bram type $__ECP5_PDPW16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=14336 efficiency=22 + Storing for later selection. + Checking rule #2 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #3 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #3 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #4 for bram type $__ECP5_DP16KD (variant 1) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=10240 efficiency=44 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #4 for bram type $__ECP5_DP16KD (variant 2) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 2): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=2048 efficiency=88 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 3) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 3): + Shuffle bit order to accommodate enable buckets of size 4.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 4) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 4): + Shuffle bit order to accommodate enable buckets of size 2.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 5) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #6 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #6 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'max wports 0' not met. + Selecting best of 6 rules: + Efficiency for rule 4.5: efficiency=100, cells=8, acells=1 + Efficiency for rule 4.4: efficiency=100, cells=8, acells=2 + Efficiency for rule 4.3: efficiency=100, cells=8, acells=4 + Efficiency for rule 4.2: efficiency=88, cells=8, acells=8 + Efficiency for rule 4.1: efficiency=44, cells=16, acells=16 + Efficiency for rule 1.1: efficiency=22, cells=32, acells=32 + Selected rule 4.5 with efficiency 100. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Creating $__ECP5_DP16KD cell at grid position <0 0 0>: memory_ramBlocks_1.ram_symbol1.0.0.0 + Creating $__ECP5_DP16KD cell at grid position <1 0 0>: memory_ramBlocks_1.ram_symbol1.1.0.0 + Creating $__ECP5_DP16KD cell at grid position <2 0 0>: memory_ramBlocks_1.ram_symbol1.2.0.0 + Creating $__ECP5_DP16KD cell at grid position <3 0 0>: memory_ramBlocks_1.ram_symbol1.3.0.0 + Creating $__ECP5_DP16KD cell at grid position <4 0 0>: memory_ramBlocks_1.ram_symbol1.4.0.0 + Creating $__ECP5_DP16KD cell at grid position <5 0 0>: memory_ramBlocks_1.ram_symbol1.5.0.0 + Creating $__ECP5_DP16KD cell at grid position <6 0 0>: memory_ramBlocks_1.ram_symbol1.6.0.0 + Creating $__ECP5_DP16KD cell at grid position <7 0 0>: memory_ramBlocks_1.ram_symbol1.7.0.0 +Processing PQVexRiscvUlx3s.memory_ramBlocks_1.ram_symbol2: + Properties: ports=2 bits=131072 rports=1 wports=1 dbits=8 abits=14 words=16384 + Checking rule #1 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #1 for bram type $__ECP5_PDPW16KD (variant 1) accepted. + Mapping to bram type $__ECP5_PDPW16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=14336 efficiency=22 + Storing for later selection. + Checking rule #2 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #3 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #3 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #4 for bram type $__ECP5_DP16KD (variant 1) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=10240 efficiency=44 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #4 for bram type $__ECP5_DP16KD (variant 2) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 2): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=2048 efficiency=88 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 3) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 3): + Shuffle bit order to accommodate enable buckets of size 4.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 4) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 4): + Shuffle bit order to accommodate enable buckets of size 2.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 5) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #6 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #6 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'max wports 0' not met. + Selecting best of 6 rules: + Efficiency for rule 4.5: efficiency=100, cells=8, acells=1 + Efficiency for rule 4.4: efficiency=100, cells=8, acells=2 + Efficiency for rule 4.3: efficiency=100, cells=8, acells=4 + Efficiency for rule 4.2: efficiency=88, cells=8, acells=8 + Efficiency for rule 4.1: efficiency=44, cells=16, acells=16 + Efficiency for rule 1.1: efficiency=22, cells=32, acells=32 + Selected rule 4.5 with efficiency 100. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Creating $__ECP5_DP16KD cell at grid position <0 0 0>: memory_ramBlocks_1.ram_symbol2.0.0.0 + Creating $__ECP5_DP16KD cell at grid position <1 0 0>: memory_ramBlocks_1.ram_symbol2.1.0.0 + Creating $__ECP5_DP16KD cell at grid position <2 0 0>: memory_ramBlocks_1.ram_symbol2.2.0.0 + Creating $__ECP5_DP16KD cell at grid position <3 0 0>: memory_ramBlocks_1.ram_symbol2.3.0.0 + Creating $__ECP5_DP16KD cell at grid position <4 0 0>: memory_ramBlocks_1.ram_symbol2.4.0.0 + Creating $__ECP5_DP16KD cell at grid position <5 0 0>: memory_ramBlocks_1.ram_symbol2.5.0.0 + Creating $__ECP5_DP16KD cell at grid position <6 0 0>: memory_ramBlocks_1.ram_symbol2.6.0.0 + Creating $__ECP5_DP16KD cell at grid position <7 0 0>: memory_ramBlocks_1.ram_symbol2.7.0.0 +Processing PQVexRiscvUlx3s.memory_ramBlocks_1.ram_symbol3: + Properties: ports=2 bits=131072 rports=1 wports=1 dbits=8 abits=14 words=16384 + Checking rule #1 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #1 for bram type $__ECP5_PDPW16KD (variant 1) accepted. + Mapping to bram type $__ECP5_PDPW16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=14336 efficiency=22 + Storing for later selection. + Checking rule #2 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #3 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=0 dwaste=28 bwaste=14336 waste=14336 efficiency=22 + Rule #3 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #4 for bram type $__ECP5_DP16KD (variant 1) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 1): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=10240 efficiency=44 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #4 for bram type $__ECP5_DP16KD (variant 2) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 2): + Shuffle bit order to accommodate enable buckets of size 9.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 -1 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=2048 efficiency=88 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 3) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 3): + Shuffle bit order to accommodate enable buckets of size 4.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 4) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 4): + Shuffle bit order to accommodate enable buckets of size 2.. + Results of bit order shuffling: 0 1 2 3 4 5 6 7 + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #4 for bram type $__ECP5_DP16KD (variant 5) accepted. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Storing for later selection. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=10 bwaste=10240 waste=10240 efficiency=44 + Rule #6 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=1 bwaste=2048 waste=2048 efficiency=88 + Rule #6 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #6 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'max wports 0' not met. + Selecting best of 6 rules: + Efficiency for rule 4.5: efficiency=100, cells=8, acells=1 + Efficiency for rule 4.4: efficiency=100, cells=8, acells=2 + Efficiency for rule 4.3: efficiency=100, cells=8, acells=4 + Efficiency for rule 4.2: efficiency=88, cells=8, acells=8 + Efficiency for rule 4.1: efficiency=44, cells=16, acells=16 + Efficiency for rule 1.1: efficiency=22, cells=32, acells=32 + Selected rule 4.5 with efficiency 100. + Mapping to bram type $__ECP5_DP16KD (variant 5): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port A1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port B1.1. + Creating $__ECP5_DP16KD cell at grid position <0 0 0>: memory_ramBlocks_1.ram_symbol3.0.0.0 + Creating $__ECP5_DP16KD cell at grid position <1 0 0>: memory_ramBlocks_1.ram_symbol3.1.0.0 + Creating $__ECP5_DP16KD cell at grid position <2 0 0>: memory_ramBlocks_1.ram_symbol3.2.0.0 + Creating $__ECP5_DP16KD cell at grid position <3 0 0>: memory_ramBlocks_1.ram_symbol3.3.0.0 + Creating $__ECP5_DP16KD cell at grid position <4 0 0>: memory_ramBlocks_1.ram_symbol3.4.0.0 + Creating $__ECP5_DP16KD cell at grid position <5 0 0>: memory_ramBlocks_1.ram_symbol3.5.0.0 + Creating $__ECP5_DP16KD cell at grid position <6 0 0>: memory_ramBlocks_1.ram_symbol3.6.0.0 + Creating $__ECP5_DP16KD cell at grid position <7 0 0>: memory_ramBlocks_1.ram_symbol3.7.0.0 +Processing PQVexRiscvUlx3s.memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.ram: + Properties: ports=2 bits=14 rports=1 wports=1 dbits=2 abits=3 words=7 + Checking rule #1 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=505 dwaste=34 bwaste=18418 waste=18418 efficiency=0 + Rule #1 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'min efficiency 5' not met. + Checking rule #2 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=505 dwaste=34 bwaste=18418 waste=18418 efficiency=0 + Rule for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #3 for bram type $__ECP5_PDPW16KD (variant 1): + Bram geometry: abits=9 dbits=36 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_PDPW16KD: awaste=505 dwaste=34 bwaste=18418 waste=18418 efficiency=0 + Rule #3 for bram type $__ECP5_PDPW16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=1017 dwaste=16 bwaste=18418 waste=18418 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=2041 dwaste=7 bwaste=18418 waste=18418 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=4089 dwaste=2 bwaste=16370 waste=16370 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=8185 dwaste=0 bwaste=16370 waste=16370 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'min efficiency 5' not met. + Checking rule #4 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=16377 dwaste=0 bwaste=16377 waste=16377 efficiency=0 + Rule #4 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'min efficiency 5' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=1017 dwaste=16 bwaste=18418 waste=18418 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=2041 dwaste=7 bwaste=18418 waste=18418 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=4089 dwaste=2 bwaste=16370 waste=16370 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=8185 dwaste=0 bwaste=16370 waste=16370 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #5 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=16377 dwaste=0 bwaste=16377 waste=16377 efficiency=0 + Rule for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'attribute syn_ramstyle="block_ram" ...' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 1): + Bram geometry: abits=10 dbits=18 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=1017 dwaste=16 bwaste=18418 waste=18418 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 1) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 2): + Bram geometry: abits=11 dbits=9 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=2041 dwaste=7 bwaste=18418 waste=18418 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 2) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 3): + Bram geometry: abits=12 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=4089 dwaste=2 bwaste=16370 waste=16370 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 3) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 4): + Bram geometry: abits=13 dbits=2 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=8185 dwaste=0 bwaste=16370 waste=16370 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 4) rejected: requirement 'max wports 0' not met. + Checking rule #6 for bram type $__ECP5_DP16KD (variant 5): + Bram geometry: abits=14 dbits=1 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__ECP5_DP16KD: awaste=16377 dwaste=0 bwaste=16377 waste=16377 efficiency=0 + Rule #6 for bram type $__ECP5_DP16KD (variant 5) rejected: requirement 'max wports 0' not met. + No acceptable bram resources found. + +2.27. Executing TECHMAP pass (map to technology primitives). + +2.27.1. Executing Verilog-2005 frontend: /usr/local/bin/../share/yosys/ecp5/brams_map.v +Parsing Verilog input from `/usr/local/bin/../share/yosys/ecp5/brams_map.v' to AST representation. +Generating RTLIL representation for module `\$__ECP5_DP16KD'. +Generating RTLIL representation for module `\$__ECP5_PDPW16KD'. +Successfully finished Verilog frontend. + +2.27.2. Continuing TECHMAP pass. +Using template $paramod$38262e435a9f54db3b5bdc33b5e39b1fffa1b883\$__ECP5_DP16KD for cells of type $__ECP5_DP16KD. +No more expansions possible. + + +2.28. Executing MEMORY_BRAM pass (mapping $mem cells to block memories). +Processing PQVexRiscvUlx3s.apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_ram: + Properties: ports=2 bits=128 rports=1 wports=1 dbits=8 abits=4 words=16 + Checking rule #1 for bram type $__TRELLIS_DPR16X4 (variant 1): + Bram geometry: abits=4 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__TRELLIS_DPR16X4: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #1 for bram type $__TRELLIS_DPR16X4 (variant 1) accepted. + Mapping to bram type $__TRELLIS_DPR16X4 (variant 1): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port B1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port A1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Creating $__TRELLIS_DPR16X4 cell at grid position <0 0 0>: apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_ram.0.0.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <1 0 0>: apb3UartCtrl_1_.bridge_write_streamUnbuffered_queueWithOccupancy.logic_ram.1.0.0 +Processing PQVexRiscvUlx3s.apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_ram: + Properties: ports=2 bits=128 rports=1 wports=1 dbits=8 abits=4 words=16 + Checking rule #1 for bram type $__TRELLIS_DPR16X4 (variant 1): + Bram geometry: abits=4 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__TRELLIS_DPR16X4: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #1 for bram type $__TRELLIS_DPR16X4 (variant 1) accepted. + Mapping to bram type $__TRELLIS_DPR16X4 (variant 1): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port B1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port A1.1. + Updated properties: dups=1 waste=0 efficiency=100 + Creating $__TRELLIS_DPR16X4 cell at grid position <0 0 0>: apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_ram.0.0.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <1 0 0>: apb3UartCtrl_1_.uartCtrl_1__io_read_queueWithOccupancy.logic_ram.1.0.0 +Processing PQVexRiscvUlx3s.core_cpu.RegFilePlugin_regFile: + Properties: ports=3 bits=1024 rports=2 wports=1 dbits=32 abits=5 words=32 + Checking rule #1 for bram type $__TRELLIS_DPR16X4 (variant 1): + Bram geometry: abits=4 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__TRELLIS_DPR16X4: awaste=0 dwaste=0 bwaste=0 waste=0 efficiency=100 + Rule #1 for bram type $__TRELLIS_DPR16X4 (variant 1) accepted. + Mapping to bram type $__TRELLIS_DPR16X4 (variant 1): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port B1. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port A1.1. + Read port #1 is in clock domain \io_mainClock. + Failed to map read port #1. + Growing more read ports by duplicating bram cells. + Read port #0 is in clock domain \io_mainClock. + Mapped to bram port A1.1. + Read port #1 is in clock domain \io_mainClock. + Mapped to bram port A1.2. + Updated properties: dups=2 waste=0 efficiency=50 + Creating $__TRELLIS_DPR16X4 cell at grid position <0 0 0>: core_cpu.RegFilePlugin_regFile.0.0.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <0 0 1>: core_cpu.RegFilePlugin_regFile.0.0.1 + Creating $__TRELLIS_DPR16X4 cell at grid position <0 1 0>: core_cpu.RegFilePlugin_regFile.0.1.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <0 1 1>: core_cpu.RegFilePlugin_regFile.0.1.1 + Creating $__TRELLIS_DPR16X4 cell at grid position <1 0 0>: core_cpu.RegFilePlugin_regFile.1.0.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <1 0 1>: core_cpu.RegFilePlugin_regFile.1.0.1 + Creating $__TRELLIS_DPR16X4 cell at grid position <1 1 0>: core_cpu.RegFilePlugin_regFile.1.1.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <1 1 1>: core_cpu.RegFilePlugin_regFile.1.1.1 + Creating $__TRELLIS_DPR16X4 cell at grid position <2 0 0>: core_cpu.RegFilePlugin_regFile.2.0.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <2 0 1>: core_cpu.RegFilePlugin_regFile.2.0.1 + Creating $__TRELLIS_DPR16X4 cell at grid position <2 1 0>: core_cpu.RegFilePlugin_regFile.2.1.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <2 1 1>: core_cpu.RegFilePlugin_regFile.2.1.1 + Creating $__TRELLIS_DPR16X4 cell at grid position <3 0 0>: core_cpu.RegFilePlugin_regFile.3.0.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <3 0 1>: core_cpu.RegFilePlugin_regFile.3.0.1 + Creating $__TRELLIS_DPR16X4 cell at grid position <3 1 0>: core_cpu.RegFilePlugin_regFile.3.1.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <3 1 1>: core_cpu.RegFilePlugin_regFile.3.1.1 + Creating $__TRELLIS_DPR16X4 cell at grid position <4 0 0>: core_cpu.RegFilePlugin_regFile.4.0.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <4 0 1>: core_cpu.RegFilePlugin_regFile.4.0.1 + Creating $__TRELLIS_DPR16X4 cell at grid position <4 1 0>: core_cpu.RegFilePlugin_regFile.4.1.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <4 1 1>: core_cpu.RegFilePlugin_regFile.4.1.1 + Creating $__TRELLIS_DPR16X4 cell at grid position <5 0 0>: core_cpu.RegFilePlugin_regFile.5.0.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <5 0 1>: core_cpu.RegFilePlugin_regFile.5.0.1 + Creating $__TRELLIS_DPR16X4 cell at grid position <5 1 0>: core_cpu.RegFilePlugin_regFile.5.1.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <5 1 1>: core_cpu.RegFilePlugin_regFile.5.1.1 + Creating $__TRELLIS_DPR16X4 cell at grid position <6 0 0>: core_cpu.RegFilePlugin_regFile.6.0.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <6 0 1>: core_cpu.RegFilePlugin_regFile.6.0.1 + Creating $__TRELLIS_DPR16X4 cell at grid position <6 1 0>: core_cpu.RegFilePlugin_regFile.6.1.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <6 1 1>: core_cpu.RegFilePlugin_regFile.6.1.1 + Creating $__TRELLIS_DPR16X4 cell at grid position <7 0 0>: core_cpu.RegFilePlugin_regFile.7.0.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <7 0 1>: core_cpu.RegFilePlugin_regFile.7.0.1 + Creating $__TRELLIS_DPR16X4 cell at grid position <7 1 0>: core_cpu.RegFilePlugin_regFile.7.1.0 + Creating $__TRELLIS_DPR16X4 cell at grid position <7 1 1>: core_cpu.RegFilePlugin_regFile.7.1.1 +Processing PQVexRiscvUlx3s.memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.ram: + Properties: ports=2 bits=14 rports=1 wports=1 dbits=2 abits=3 words=7 + Checking rule #1 for bram type $__TRELLIS_DPR16X4 (variant 1): + Bram geometry: abits=4 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__TRELLIS_DPR16X4: awaste=9 dwaste=2 bwaste=50 waste=50 efficiency=21 + Rule #1 for bram type $__TRELLIS_DPR16X4 (variant 1) accepted. + Mapping to bram type $__TRELLIS_DPR16X4 (variant 1): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port B1. + Read port #0 is in clock domain !~async~. + Mapped to bram port A1.1. + Updated properties: dups=1 waste=50 efficiency=21 + Creating $__TRELLIS_DPR16X4 cell at grid position <0 0 0>: memory_ramBlocks_0_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.ram.0.0.0 +Processing PQVexRiscvUlx3s.memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.ram: + Properties: ports=2 bits=14 rports=1 wports=1 dbits=2 abits=3 words=7 + Checking rule #1 for bram type $__TRELLIS_DPR16X4 (variant 1): + Bram geometry: abits=4 dbits=4 wports=0 rports=0 + Estimated number of duplicates for more read ports: dups=1 + Metrics for $__TRELLIS_DPR16X4: awaste=9 dwaste=2 bwaste=50 waste=50 efficiency=21 + Rule #1 for bram type $__TRELLIS_DPR16X4 (variant 1) accepted. + Mapping to bram type $__TRELLIS_DPR16X4 (variant 1): + Write port #0 is in clock domain \io_mainClock. + Mapped to bram port B1. + Read port #0 is in clock domain !~async~. + Mapped to bram port A1.1. + Updated properties: dups=1 waste=50 efficiency=21 + Creating $__TRELLIS_DPR16X4 cell at grid position <0 0 0>: memory_ramBlocks_1_io_bus_arbiter.streamFork_2__io_outputs_1_translated_thrown_fifo.ram.0.0.0 + +2.29. Executing TECHMAP pass (map to technology primitives). + +2.29.1. Executing Verilog-2005 frontend: /usr/local/bin/../share/yosys/ecp5/lutrams_map.v +Parsing Verilog input from `/usr/local/bin/../share/yosys/ecp5/lutrams_map.v' to AST representation. +Generating RTLIL representation for module `\$__TRELLIS_DPR16X4'. +Successfully finished Verilog frontend. + +2.29.2. Continuing TECHMAP pass. +Using template $paramod\$__TRELLIS_DPR16X4\CLKPOL2=1 for cells of type $__TRELLIS_DPR16X4. +No more expansions possible. + + +2.30. Executing OPT pass (performing simple optimizations). + +2.30.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + + +2.30.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. + +Removed a total of 91 cells. + +2.30.3. Executing OPT_DFF pass (perform DFF optimizations). + +2.30.4. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. +Removed 3 unused cells and 1247 unused wires. + + +2.30.5. Finished fast OPT passes. + +2.31. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). + +2.32. Executing OPT pass (performing simple optimizations). + +2.32.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + + +2.32.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. +Removed a total of 0 cells. + +2.32.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \PQVexRiscvUlx3s.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.32.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \PQVexRiscvUlx3s. + Consolidated identical input bits for $mux cell $flatten\core_cpu.$procmux$2445: + Old ports: A=4'0111, B=4'0011, Y=$flatten\core_cpu.$procmux$2445_Y + New ports: A=1'1, B=1'0, Y=$flatten\core_cpu.$procmux$2445_Y [2] + New connections: { $flatten\core_cpu.$procmux$2445_Y [3] $flatten\core_cpu.$procmux$2445_Y [1:0] } = 3'011 + Consolidated identical input bits for $mux cell $flatten\core_cpu.$procmux$2662: + Old ports: A=4'0000, B={ \core_cpu.CsrPlugin_mcause_exceptionCode [3:2] 2'11 }, Y=\core_cpu._zz_130_ [3:0] + New ports: A=3'000, B={ \core_cpu.CsrPlugin_mcause_exceptionCode [3:2] 1'1 }, Y={ \core_cpu._zz_130_ [3:2] \core_cpu._zz_130_ [0] } + New connections: \core_cpu._zz_130_ [1] = \core_cpu._zz_130_ [0] + Consolidated identical input bits for $pmux cell $flatten\core_cpu.$procmux$2793: + Old ports: A={ \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [7] \core_cpu.decode_to_execute_INSTRUCTION [30:25] \core_cpu.decode_to_execute_INSTRUCTION [11:8] 1'0 }, B={ \core_cpu.decode_to_execute_INSTRUCTION [19:12] \core_cpu.decode_to_execute_INSTRUCTION [20] \core_cpu.decode_to_execute_INSTRUCTION [30:21] 1'0 \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31:20] }, Y=\core_cpu.execute_BranchPlugin_branch_src2 [19:0] + New ports: A={ \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [7] \core_cpu.decode_to_execute_INSTRUCTION [11:8] 1'0 }, B={ \core_cpu.decode_to_execute_INSTRUCTION [19:12] \core_cpu.decode_to_execute_INSTRUCTION [20] \core_cpu.decode_to_execute_INSTRUCTION [24:21] 1'0 \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [31] \core_cpu.decode_to_execute_INSTRUCTION [24:20] }, Y={ \core_cpu.execute_BranchPlugin_branch_src2 [19:11] \core_cpu.execute_BranchPlugin_branch_src2 [4:0] } + New connections: \core_cpu.execute_BranchPlugin_branch_src2 [10:5] = \core_cpu.decode_to_execute_INSTRUCTION [30:25] + Consolidated identical input bits for $pmux cell $flatten\core_cpu.$procmux$3044: + Old ports: A={ \core_cpu.memory_to_writeBack_MEMORY_READ_DATA [31:16] \core_cpu._zz_71_ [15:8] \core_cpu._zz_69_ [7:0] }, B={ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_69_ [7:0] \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_71_ [15:8] \core_cpu._zz_69_ [7:0] }, Y=\core_cpu.writeBack_DBusSimplePlugin_rspFormated + New ports: A={ \core_cpu.memory_to_writeBack_MEMORY_READ_DATA [31:16] \core_cpu._zz_71_ [15:8] }, B={ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_68_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_70_ \core_cpu._zz_71_ [15:8] }, Y=\core_cpu.writeBack_DBusSimplePlugin_rspFormated [31:8] + New connections: \core_cpu.writeBack_DBusSimplePlugin_rspFormated [7:0] = \core_cpu._zz_69_ [7:0] + Consolidated identical input bits for $pmux cell $flatten\core_cpu.$procmux$3061: + Old ports: A=\core_cpu.decode_to_execute_RS2, B={ \core_cpu.decode_to_execute_RS2 [7:0] \core_cpu.decode_to_execute_RS2 [7:0] \core_cpu.decode_to_execute_RS2 [7:0] \core_cpu.decode_to_execute_RS2 [7:0] \core_cpu.decode_to_execute_RS2 [15:0] \core_cpu.decode_to_execute_RS2 [15:0] }, Y=\_zz_20_ + New ports: A=\core_cpu.decode_to_execute_RS2 [31:8], B={ \core_cpu.decode_to_execute_RS2 [7:0] \core_cpu.decode_to_execute_RS2 [7:0] \core_cpu.decode_to_execute_RS2 [7:0] \core_cpu.decode_to_execute_RS2 [15:0] \core_cpu.decode_to_execute_RS2 [15:8] }, Y=\_zz_20_ [31:8] + New connections: \_zz_20_ [7:0] = \core_cpu.decode_to_execute_RS2 [7:0] + Consolidated identical input bits for $mux cell $flatten\core_cpu.$procmux$3115: + Old ports: A=0, B={ \core_cpu.CsrPlugin_mtvec_base 2'00 }, Y=$flatten\core_cpu.$1\CsrPlugin_jumpInterface_payload[31:0] + New ports: A=30'000000000000000000000000000000, B=\core_cpu.CsrPlugin_mtvec_base, Y=$flatten\core_cpu.$1\CsrPlugin_jumpInterface_payload[31:0] [31:2] + New connections: $flatten\core_cpu.$1\CsrPlugin_jumpInterface_payload[31:0] [1:0] = 2'00 + Consolidated identical input bits for $mux cell $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5659$955: + Old ports: A=4'0001, B=4'1001, Y=$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5659$955_Y + New ports: A=1'0, B=1'1, Y=$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5659$955_Y [3] + New connections: $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5659$955_Y [2:0] = 3'001 + Consolidated identical input bits for $mux cell $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5662$956: + Old ports: A=2'11, B=2'00, Y=$auto$wreduce.cc:454:run$4657 [1:0] + New ports: A=1'1, B=1'0, Y=$auto$wreduce.cc:454:run$4657 [0] + New connections: $auto$wreduce.cc:454:run$4657 [1] = $auto$wreduce.cc:454:run$4657 [0] + Consolidated identical input bits for $mux cell $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5671$959: + Old ports: A=4'0110, B=4'1000, Y=$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5671$959_Y + New ports: A=2'01, B=2'10, Y={ $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5671$959_Y [3] $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5671$959_Y [1] } + New connections: { $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5671$959_Y [2] $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5671$959_Y [0] } = { $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5671$959_Y [1] 1'0 } + Consolidated identical input bits for $mux cell $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5677$961: + Old ports: A=4'0100, B=4'1000, Y=$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5677$961_Y + New ports: A=2'01, B=2'10, Y=$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5677$961_Y [3:2] + New connections: $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5677$961_Y [1:0] = 2'00 + Consolidated identical input bits for $mux cell $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5683$963: + Old ports: A=4'1010, B=4'0010, Y=$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5683$963_Y + New ports: A=1'1, B=1'0, Y=$flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5683$963_Y [3] + New connections: $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5683$963_Y [2:0] = 3'010 + Consolidated identical input bits for $mux cell $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5686$964: + Old ports: A=3'011, B=3'100, Y=$auto$wreduce.cc:454:run$4660 [2:0] + New ports: A=2'01, B=2'10, Y={ $auto$wreduce.cc:454:run$4660 [2] $auto$wreduce.cc:454:run$4660 [0] } + New connections: $auto$wreduce.cc:454:run$4660 [1] = $auto$wreduce.cc:454:run$4660 [0] + Consolidated identical input bits for $mux cell $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5692$966: + Old ports: A=2'01, B=2'11, Y=$auto$wreduce.cc:454:run$4661 [1:0] + New ports: A=1'0, B=1'1, Y=$auto$wreduce.cc:454:run$4661 [1] + New connections: $auto$wreduce.cc:454:run$4661 [0] = 1'1 + Consolidated identical input bits for $mux cell $flatten\jtagBridge_1_.$ternary$PQVexRiscvUlx3s.v:5698$968: + Old ports: A=3'011, B=3'111, Y=$auto$wreduce.cc:454:run$4663 [2:0] + New ports: A=1'0, B=1'1, Y=$auto$wreduce.cc:454:run$4663 [2] + New connections: $auto$wreduce.cc:454:run$4663 [1:0] = 2'11 + Consolidated identical input bits for $pmux cell $procmux$1563: + Old ports: A=4'1111, B=8'00010011, Y=\_zz_22_ + New ports: A=2'11, B=4'0001, Y=\_zz_22_ [2:1] + New connections: { \_zz_22_ [3] \_zz_22_ [0] } = { \_zz_22_ [2] 1'1 } + Consolidated identical input bits for $mux cell $ternary$PQVexRiscvUlx3s.v:8194$1258: + Old ports: A={ \core_cpu.IBusSimplePlugin_fetchPc_pcReg [31:2] 2'00 }, B={ \_zz_7_ [31:2] 2'00 }, Y=$ternary$PQVexRiscvUlx3s.v:8194$1258_Y + New ports: A=\core_cpu.IBusSimplePlugin_fetchPc_pcReg [31:2], B=\_zz_7_ [31:2], Y=$ternary$PQVexRiscvUlx3s.v:8194$1258_Y [31:2] + New connections: $ternary$PQVexRiscvUlx3s.v:8194$1258_Y [1:0] = 2'00 + Optimizing cells in module \PQVexRiscvUlx3s. + Consolidated identical input bits for $mux cell $flatten\core_cpu.$procmux$3107: + Old ports: A=$flatten\core_cpu.$1\CsrPlugin_jumpInterface_payload[31:0], B={ \core_cpu.CsrPlugin_mepc [31:2] 2'00 }, Y=$flatten\core_cpu.$3\CsrPlugin_jumpInterface_payload[31:0] + New ports: A=$flatten\core_cpu.$1\CsrPlugin_jumpInterface_payload[31:0] [31:2], B=\core_cpu.CsrPlugin_mepc [31:2], Y=$flatten\core_cpu.$3\CsrPlugin_jumpInterface_payload[31:0] [31:2] + New connections: $flatten\core_cpu.$3\CsrPlugin_jumpInterface_payload[31:0] [1:0] = 2'00 + Optimizing cells in module \PQVexRiscvUlx3s. + Consolidated identical input bits for $mux cell $flatten\core_cpu.$procmux$3112: + Old ports: A=$flatten\core_cpu.$1\CsrPlugin_jumpInterface_payload[31:0], B=$flatten\core_cpu.$3\CsrPlugin_jumpInterface_payload[31:0], Y=\core_cpu.CsrPlugin_jumpInterface_payload + New ports: A=$flatten\core_cpu.$1\CsrPlugin_jumpInterface_payload[31:0] [31:2], B=$flatten\core_cpu.$3\CsrPlugin_jumpInterface_payload[31:0] [31:2], Y=\core_cpu.CsrPlugin_jumpInterface_payload [31:2] + New connections: \core_cpu.CsrPlugin_jumpInterface_payload [1:0] = 2'00 + Optimizing cells in module \PQVexRiscvUlx3s. + Consolidated identical input bits for $mux cell $flatten\core_cpu.$ternary$PQVexRiscvUlx3s.v:3749$513: + Old ports: A={ \core_cpu.execute_to_memory_BRANCH_CALC [31:1] 1'0 }, B=\core_cpu.CsrPlugin_jumpInterface_payload, Y=\core_cpu.IBusSimplePlugin_jump_pcLoad_payload + New ports: A=\core_cpu.execute_to_memory_BRANCH_CALC [31:1], B={ \core_cpu.CsrPlugin_jumpInterface_payload [31:2] 1'0 }, Y=\core_cpu.IBusSimplePlugin_jump_pcLoad_payload [31:1] + New connections: \core_cpu.IBusSimplePlugin_jump_pcLoad_payload [0] = 1'0 + Optimizing cells in module \PQVexRiscvUlx3s. + Consolidated identical input bits for $mux cell $flatten\core_cpu.$procmux$3088: + Old ports: A={ $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:3766$518_Y [31:2] 2'00 }, B=\core_cpu.IBusSimplePlugin_jump_pcLoad_payload, Y={ \core_cpu.IBusSimplePlugin_fetchPc_pc [31:2] $flatten\core_cpu.$1\IBusSimplePlugin_fetchPc_pc[31:0] [1:0] } + New ports: A={ $flatten\core_cpu.$add$PQVexRiscvUlx3s.v:3766$518_Y [31:2] 1'0 }, B=\core_cpu.IBusSimplePlugin_jump_pcLoad_payload [31:1], Y={ \core_cpu.IBusSimplePlugin_fetchPc_pc [31:2] $flatten\core_cpu.$1\IBusSimplePlugin_fetchPc_pc[31:0] [1] } + New connections: $flatten\core_cpu.$1\IBusSimplePlugin_fetchPc_pc[31:0] [0] = 1'0 + Optimizing cells in module \PQVexRiscvUlx3s. +Performed a total of 20 changes. + +2.32.5. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. + +Removed a total of 7 cells. + +2.32.6. Executing OPT_DFF pass (perform DFF optimizations). + +2.32.7. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. +Removed 1 unused cells and 8 unused wires. + + +2.32.8. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + +2.32.9. Rerunning OPT passes. (Maybe there is more to do..) + +2.32.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module \PQVexRiscvUlx3s.. + Creating internal representation of mux trees. + Evaluating internal representation of mux trees. + Analyzing evaluation results. +Removed 0 multiplexer ports. + + +2.32.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). + Optimizing cells in module \PQVexRiscvUlx3s. +Performed a total of 0 changes. + +2.32.12. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. +Removed a total of 0 cells. + +2.32.13. Executing OPT_DFF pass (perform DFF optimizations). + +2.32.14. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. + +2.32.15. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + +2.32.16. Finished OPT passes. (There is nothing left to do.) + +2.33. Executing TECHMAP pass (map to technology primitives). + +2.33.1. Executing Verilog-2005 frontend: /usr/local/bin/../share/yosys/techmap.v +Parsing Verilog input from `/usr/local/bin/../share/yosys/techmap.v' to AST representation. +Generating RTLIL representation for module `\_90_simplemap_bool_ops'. +Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. +Generating RTLIL representation for module `\_90_simplemap_logic_ops'. +Generating RTLIL representation for module `\_90_simplemap_compare_ops'. +Generating RTLIL representation for module `\_90_simplemap_various'. +Generating RTLIL representation for module `\_90_simplemap_registers'. +Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. +Generating RTLIL representation for module `\_90_shift_shiftx'. +Generating RTLIL representation for module `\_90_fa'. +Generating RTLIL representation for module `\_90_lcu'. +Generating RTLIL representation for module `\_90_alu'. +Generating RTLIL representation for module `\_90_macc'. +Generating RTLIL representation for module `\_90_alumacc'. +Generating RTLIL representation for module `\$__div_mod_u'. +Generating RTLIL representation for module `\$__div_mod_trunc'. +Generating RTLIL representation for module `\_90_div'. +Generating RTLIL representation for module `\_90_mod'. +Generating RTLIL representation for module `\$__div_mod_floor'. +Generating RTLIL representation for module `\_90_divfloor'. +Generating RTLIL representation for module `\_90_modfloor'. +Generating RTLIL representation for module `\_90_pow'. +Generating RTLIL representation for module `\_90_pmux'. +Generating RTLIL representation for module `\_90_lut'. +Successfully finished Verilog frontend. + +2.33.2. Executing Verilog-2005 frontend: /usr/local/bin/../share/yosys/ecp5/arith_map.v +Parsing Verilog input from `/usr/local/bin/../share/yosys/ecp5/arith_map.v' to AST representation. +Generating RTLIL representation for module `\_80_ecp5_alu'. +Successfully finished Verilog frontend. + +2.33.3. Continuing TECHMAP pass. +Using extmapper simplemap for cells of type $mux. +Using extmapper simplemap for cells of type $dff. +Using extmapper simplemap for cells of type $logic_not. +Using extmapper simplemap for cells of type $logic_and. +Using extmapper simplemap for cells of type $logic_or. +Using template $paramod\_90_pmux\WIDTH=2\S_WIDTH=2 for cells of type $pmux. +Using template $paramod$constmap:6e3026a439ed4a6e7983ca0e910890cc59b2f7b2$paramod$4953c9d565c18659745e06f13317fd2eea31522c\_90_shift_ops_shr_shl_sshl_sshr for cells of type $sshl. +Using extmapper simplemap for cells of type $adff. +Using extmapper simplemap for cells of type $ne. +Using extmapper simplemap for cells of type $reduce_and. +Using extmapper simplemap for cells of type $dffe. +Using extmapper simplemap for cells of type $adffe. +Using extmapper simplemap for cells of type $reduce_bool. +Using extmapper simplemap for cells of type $sdff. +Using extmapper simplemap for cells of type $sdffce. +Using extmapper simplemap for cells of type $reduce_or. +Using extmapper simplemap for cells of type $sdffe. +Using extmapper simplemap for cells of type $or. +Using extmapper simplemap for cells of type $and. +Using extmapper simplemap for cells of type $eq. +Using extmapper simplemap for cells of type $not. +Using template $paramod\_90_pmux\WIDTH=32\S_WIDTH=2 for cells of type $pmux. +Using template $paramod\_90_pmux\WIDTH=24\S_WIDTH=2 for cells of type $pmux. +Using template $paramod\_90_pmux\WIDTH=8\S_WIDTH=3 for cells of type $pmux. +Using template $paramod\_90_pmux\WIDTH=32\S_WIDTH=3 for cells of type $pmux. +Using template $paramod\_90_pmux\WIDTH=1\S_WIDTH=2 for cells of type $pmux. +Using template $paramod\_90_pmux\WIDTH=14\S_WIDTH=2 for cells of type $pmux. +Using template $paramod\_90_pmux\WIDTH=3\S_WIDTH=4 for cells of type $pmux. +Using extmapper simplemap for cells of type $xor. +Using template $paramod\_90_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=1\B_WIDTH=1\Y_WIDTH=1 for cells of type $alu. +Using template $paramod\_80_ecp5_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=1\B_WIDTH=32\Y_WIDTH=32 for cells of type $alu. +Using template $paramod\_80_ecp5_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=1\B_WIDTH=64\Y_WIDTH=64 for cells of type $alu. +Using template $paramod\_90_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=1\B_WIDTH=3\Y_WIDTH=3 for cells of type $alu. +Using template $paramod\_90_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=3\B_WIDTH=1\Y_WIDTH=3 for cells of type $alu. +Using extmapper maccmap for cells of type $macc. + add \core_ibus_decoder.logic_rspPendingCounter (2 bits, unsigned) + sub \core_cpu.IBusSimplePlugin_rspJoin_rspBuffer_c.io_push_valid (1 bits, unsigned) + add bits \core_ibus_decoder._zz_5_ (1 bits) + packed 1 (1) bits / 1 words into adder tree +Using template $paramod\_80_ecp5_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=32\B_WIDTH=32\Y_WIDTH=32 for cells of type $alu. +Using template $paramod\_80_ecp5_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=1\B_WIDTH=30\Y_WIDTH=30 for cells of type $alu. + add { \core_cpu.execute_to_memory_MUL_HH \core_cpu.execute_to_memory_MUL_LL [31:16] } (48 bits, unsigned) + add \core_cpu.execute_to_memory_MUL_LH (32 bits, unsigned) + add \core_cpu.execute_to_memory_MUL_HL (32 bits, unsigned) + add \core_cpu.memory_to_writeBack_MUL [63:32] (32 bits, unsigned) + add $flatten\core_cpu.$not$PQVexRiscvUlx3s.v:2506$287_Y (32 bits, unsigned) + add $flatten\core_cpu.$not$PQVexRiscvUlx3s.v:2505$285_Y (32 bits, unsigned) + add 2 (32 bits, unsigned) + packed 2 (1) bits / 1 words into adder tree +Using template $paramod\_80_ecp5_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=33\B_WIDTH=32\Y_WIDTH=33 for cells of type $alu. +Using template $paramod\_90_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=4\B_WIDTH=4\Y_WIDTH=4 for cells of type $alu. +Using template $paramod\_80_ecp5_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=5\B_WIDTH=5\Y_WIDTH=5 for cells of type $alu. +Using template $paramod$constmap:87f69c0bea22f84de4bcd0314b57cb19e61b5eb7$paramod$88abf4b792300efa328894e6936be740fdc22f6d\_90_shift_ops_shr_shl_sshl_sshr for cells of type $sshr. +Using template $paramod\_90_pmux\WIDTH=4\S_WIDTH=11 for cells of type $pmux. +Using template $paramod\_80_ecp5_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=1\B_WIDTH=6\Y_WIDTH=6 for cells of type $alu. +Using template $paramod\_90_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=1\B_WIDTH=4\Y_WIDTH=4 for cells of type $alu. +Using template $paramod\_80_ecp5_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=20\B_WIDTH=1\Y_WIDTH=20 for cells of type $alu. +Using template $paramod\_80_ecp5_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=1\B_WIDTH=7\Y_WIDTH=7 for cells of type $alu. +Using template $paramod$constmap:ee5af906ae0d3d414c6a0471604c553ef70c8e09$paramod$da4b7a069bab2d2cb126ab511d2c5f5d67aa4129\_90_shift_shiftx for cells of type $shiftx. +Using template $paramod\_90_pmux\WIDTH=3\S_WIDTH=2 for cells of type $pmux. +Using template $paramod$constmap:f062eb9b59fe112e8a37f22ba8867a126e0dc845$paramod$2c522b46cc21505f45a595eaa4706e490799228e\_90_shift_ops_shr_shl_sshl_sshr for cells of type $shl. +Analyzing pattern of constant bits for this cell: + Constant input on bit 0 of port A: 1'1 +Creating constmapped module `$paramod$constmap:1b6115d36d46c0296d0024e3e3623593810ba834$paramod$2c522b46cc21505f45a595eaa4706e490799228e\_90_shift_ops_shr_shl_sshl_sshr'. + +2.33.77. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +Running muxtree optimizer on module $paramod$constmap:1b6115d36d46c0296d0024e3e3623593810ba834$paramod$2c522b46cc21505f45a595eaa4706e490799228e\_90_shift_ops_shr_shl_sshl_sshr.. + Creating internal representation of mux trees. + No muxes found in this module. +Removed 0 multiplexer ports. + + +2.33.78. Executing OPT_EXPR pass (perform const folding). +Optimizing module $paramod$constmap:1b6115d36d46c0296d0024e3e3623593810ba834$paramod$2c522b46cc21505f45a595eaa4706e490799228e\_90_shift_ops_shr_shl_sshl_sshr. + +Removed 0 unused cells and 8 unused wires. +Using template $paramod$constmap:1b6115d36d46c0296d0024e3e3623593810ba834$paramod$2c522b46cc21505f45a595eaa4706e490799228e\_90_shift_ops_shr_shl_sshl_sshr for cells of type $shl. +Using template $paramod\_90_pmux\WIDTH=1\S_WIDTH=3 for cells of type $pmux. +Using template $paramod\_80_ecp5_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=1\B_WIDTH=16\Y_WIDTH=16 for cells of type $alu. +Using template $paramod\_90_pmux\WIDTH=2\S_WIDTH=9 for cells of type $pmux. +Using template $paramod\_90_pmux\WIDTH=14\S_WIDTH=5 for cells of type $pmux. + add \core_dbus_decoder.logic_rspPendingCounter (2 bits, unsigned) + sub \core_cpu.dBus_rsp_ready (1 bits, unsigned) + add bits \core_dbus_decoder._zz_6_ (1 bits) + packed 1 (1) bits / 1 words into adder tree + add \core_cpu.IBusSimplePlugin_pending_value (3 bits, unsigned) + sub \core_cpu.IBusSimplePlugin_pending_dec (1 bits, unsigned) + add bits \core_cpu.IBusSimplePlugin_pending_inc (1 bits) + packed 1 (1) bits / 1 words into adder tree + add \core_cpu.decode_to_execute_SRC1 (32 bits, signed) + add { 1'0 \core_cpu.decode_to_execute_SRC_USE_SUB_LESS } (2 bits, signed) + add \core_cpu._zz_211_ (32 bits, signed) + packed 1 (1) bits / 1 words into adder tree +Using template $paramod\_90_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=2\B_WIDTH=1\Y_WIDTH=2 for cells of type $alu. +Using extmapper simplemap for cells of type $pos. +Using template $paramod\_90_fa\WIDTH=48 for cells of type $fa. +Using template $paramod\_80_ecp5_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=48\B_WIDTH=48\Y_WIDTH=48 for cells of type $alu. +Using template $paramod\_90_fa\WIDTH=2 for cells of type $fa. +Using template $paramod\_90_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=2\B_WIDTH=2\Y_WIDTH=2 for cells of type $alu. +Using template $paramod\_90_lcu\WIDTH=4 for cells of type $lcu. +Using template $paramod\_90_lcu\WIDTH=3 for cells of type $lcu. +Using template $paramod\_90_fa\WIDTH=32 for cells of type $fa. +Using template $paramod\_90_lcu\WIDTH=1 for cells of type $lcu. +Using template $paramod\_90_fa\WIDTH=3 for cells of type $fa. +Using template $paramod\_90_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=3\B_WIDTH=3\Y_WIDTH=3 for cells of type $alu. +Using template $paramod\_90_lcu\WIDTH=2 for cells of type $lcu. +No more expansions possible. + + +2.34. Executing OPT pass (performing simple optimizations). + +2.34.1. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + + +2.34.2. Executing OPT_MERGE pass (detect identical cells). +Finding identical cells in module `\PQVexRiscvUlx3s'. + +Removed a total of 701 cells. + +2.34.3. Executing OPT_DFF pass (perform DFF optimizations). + +2.34.4. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. +Removed 1315 unused cells and 4250 unused wires. + + +2.34.5. Finished fast OPT passes. + +2.35. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. + +2.36. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). + +2.37. Executing TECHMAP pass (map to technology primitives). + +2.37.1. Executing Verilog-2005 frontend: /usr/local/bin/../share/yosys/ecp5/cells_map.v +Parsing Verilog input from `/usr/local/bin/../share/yosys/ecp5/cells_map.v' to AST representation. +Generating RTLIL representation for module `\$_DFF_N_'. +Generating RTLIL representation for module `\$_DFF_P_'. +Generating RTLIL representation for module `\$_DFFE_NN_'. +Generating RTLIL representation for module `\$_DFFE_PN_'. +Generating RTLIL representation for module `\$_DFFE_NP_'. +Generating RTLIL representation for module `\$_DFFE_PP_'. +Generating RTLIL representation for module `\$_DFF_NP0_'. +Generating RTLIL representation for module `\$_DFF_NP1_'. +Generating RTLIL representation for module `\$_DFF_PP0_'. +Generating RTLIL representation for module `\$_DFF_PP1_'. +Generating RTLIL representation for module `\$_SDFF_NP0_'. +Generating RTLIL representation for module `\$_SDFF_NP1_'. +Generating RTLIL representation for module `\$_SDFF_PP0_'. +Generating RTLIL representation for module `\$_SDFF_PP1_'. +Generating RTLIL representation for module `\$_DFFE_NP0P_'. +Generating RTLIL representation for module `\$_DFFE_NP1P_'. +Generating RTLIL representation for module `\$_DFFE_PP0P_'. +Generating RTLIL representation for module `\$_DFFE_PP1P_'. +Generating RTLIL representation for module `\$_DFFE_NP0N_'. +Generating RTLIL representation for module `\$_DFFE_NP1N_'. +Generating RTLIL representation for module `\$_DFFE_PP0N_'. +Generating RTLIL representation for module `\$_DFFE_PP1N_'. +Generating RTLIL representation for module `\$_SDFFE_NP0P_'. +Generating RTLIL representation for module `\$_SDFFE_NP1P_'. +Generating RTLIL representation for module `\$_SDFFE_PP0P_'. +Generating RTLIL representation for module `\$_SDFFE_PP1P_'. +Generating RTLIL representation for module `\$_SDFFE_NP0N_'. +Generating RTLIL representation for module `\$_SDFFE_NP1N_'. +Generating RTLIL representation for module `\$_SDFFE_PP0N_'. +Generating RTLIL representation for module `\$_SDFFE_PP1N_'. +Generating RTLIL representation for module `\FD1P3AX'. +Generating RTLIL representation for module `\FD1P3AY'. +Generating RTLIL representation for module `\FD1P3BX'. +Generating RTLIL representation for module `\FD1P3DX'. +Generating RTLIL representation for module `\FD1P3IX'. +Generating RTLIL representation for module `\FD1P3JX'. +Generating RTLIL representation for module `\FD1S3AX'. +Generating RTLIL representation for module `\FD1S3AY'. +Generating RTLIL representation for module `\FD1S3BX'. +Generating RTLIL representation for module `\FD1S3DX'. +Generating RTLIL representation for module `\FD1S3IX'. +Generating RTLIL representation for module `\FD1S3JX'. +Generating RTLIL representation for module `\IFS1P3BX'. +Generating RTLIL representation for module `\IFS1P3DX'. +Generating RTLIL representation for module `\IFS1P3IX'. +Generating RTLIL representation for module `\IFS1P3JX'. +Generating RTLIL representation for module `\OFS1P3BX'. +Generating RTLIL representation for module `\OFS1P3DX'. +Generating RTLIL representation for module `\OFS1P3IX'. +Generating RTLIL representation for module `\OFS1P3JX'. +Generating RTLIL representation for module `\IB'. +Generating RTLIL representation for module `\IBPU'. +Generating RTLIL representation for module `\IBPD'. +Generating RTLIL representation for module `\OB'. +Generating RTLIL representation for module `\OBZ'. +Generating RTLIL representation for module `\OBZPU'. +Generating RTLIL representation for module `\OBZPD'. +Generating RTLIL representation for module `\OBCO'. +Generating RTLIL representation for module `\BB'. +Generating RTLIL representation for module `\BBPU'. +Generating RTLIL representation for module `\BBPD'. +Generating RTLIL representation for module `\ILVDS'. +Generating RTLIL representation for module `\OLVDS'. +Successfully finished Verilog frontend. + +2.37.2. Continuing TECHMAP pass. +Using template $paramod\$_DFFE_PP_\_TECHMAP_WIREINIT_Q_=1'x for cells of type $_DFFE_PP_. +Using template $paramod\$_DFF_P_\_TECHMAP_WIREINIT_Q_=1'x for cells of type $_DFF_P_. +Using template $paramod\$_DFFE_PN_\_TECHMAP_WIREINIT_Q_=1'x for cells of type $_DFFE_PN_. +Using template \$_DFFE_PP0P_ for cells of type $_DFFE_PP0P_. +Using template \$_SDFF_PP1_ for cells of type $_SDFF_PP1_. +Using template \$_DFF_PP0_ for cells of type $_DFF_PP0_. +Using template $paramod\$_DFF_P_\_TECHMAP_WIREINIT_Q_=1'0 for cells of type $_DFF_P_. +Using template \$_SDFFE_PP0N_ for cells of type $_SDFFE_PP0N_. +Using template \$_SDFFE_PP0P_ for cells of type $_SDFFE_PP0P_. +Using template \$_SDFF_PP0_ for cells of type $_SDFF_PP0_. +Using template \$_DFFE_PP1P_ for cells of type $_DFFE_PP1P_. +Using template \$_SDFFE_PP1P_ for cells of type $_SDFFE_PP1P_. +Using template $paramod\$_DFFE_PP_\_TECHMAP_WIREINIT_Q_=1'0 for cells of type $_DFFE_PP_. +Using template \$_DFF_PP1_ for cells of type $_DFF_PP1_. +Using template \$_SDFFE_PP1N_ for cells of type $_SDFFE_PP1N_. +Using template $paramod\$_DFF_N_\_TECHMAP_WIREINIT_Q_=1'x for cells of type $_DFF_N_. +Using template \$_DFFE_PP1N_ for cells of type $_DFFE_PP1N_. +No more expansions possible. + + +2.38. Executing OPT_EXPR pass (perform const folding). +Optimizing module PQVexRiscvUlx3s. + + +2.39. Executing SIMPLEMAP pass (map simple cells to gate primitives). + +2.40. Executing ECP5_GSR pass (implement FF init values). +Handling GSR in PQVexRiscvUlx3s. + +2.41. Executing ATTRMVCP pass (move or copy attributes). + +2.42. Executing OPT_CLEAN pass (remove unused cells and wires). +Finding unused cells or wires in module \PQVexRiscvUlx3s.. +Removed 0 unused cells and 11101 unused wires. + + +2.43. Executing TECHMAP pass (map to technology primitives). + +2.43.1. Executing Verilog-2005 frontend: /usr/local/bin/../share/yosys/ecp5/latches_map.v +Parsing Verilog input from `/usr/local/bin/../share/yosys/ecp5/latches_map.v' to AST representation. +Generating RTLIL representation for module `\$_DLATCH_N_'. +Generating RTLIL representation for module `\$_DLATCH_P_'. +Successfully finished Verilog frontend. + +2.43.2. Continuing TECHMAP pass. +No more expansions possible. + + +2.44. Executing ABC pass (technology mapping using ABC). + +2.44.1. Extracting gate netlist of module `\PQVexRiscvUlx3s' to `/input.blif'.. +Extracted 6537 gates and 8799 wires to a netlist network with 2260 inputs and 1531 outputs. + +2.44.1.1. Executing ABC. +Running ABC command: /yosys-abc -s -f /abc.script 2>&1 +ABC: ABC command line: "source /abc.script". +ABC: +ABC: + read_blif /input.blif +ABC: + read_lut /lutdefs.txt +ABC: + strash +ABC: + ifraig +ABC: + scorr +ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). +ABC: + dc2 +ABC: + dretime +ABC: + strash +ABC: + dch -f +ABC: + if +ABC: + mfs2 +ABC: + dress +ABC: Total number of equiv classes = 2068. +ABC: Participating nodes from both networks = 4475. +ABC: Participating nodes from the first network = 2143. ( 76.62 % of nodes) +ABC: Participating nodes from the second network = 2332. ( 83.38 % of nodes) +ABC: Node pairs (any polarity) = 2143. ( 76.62 % of names can be moved) +ABC: Node pairs (same polarity) = 1679. ( 60.03 % of names can be moved) +ABC: Total runtime = 0.27 sec +ABC: + write_blif /output.blif + +2.44.1.2. Re-integrating ABC results. +ABC RESULTS: $lut cells: 2795 +ABC RESULTS: internal signals: 5008 +ABC RESULTS: input signals: 2260 +ABC RESULTS: output signals: 1531 +Removing temp directory. +Removed 0 unused cells and 5077 unused wires. + +2.45. Executing TECHMAP pass (map to technology primitives). + +2.45.1. Executing Verilog-2005 frontend: /usr/local/bin/../share/yosys/ecp5/cells_map.v +Parsing Verilog input from `/usr/local/bin/../share/yosys/ecp5/cells_map.v' to AST representation. +Generating RTLIL representation for module `\$_DFF_N_'. +Generating RTLIL representation for module `\$_DFF_P_'. +Generating RTLIL representation for module `\$_DFFE_NN_'. +Generating RTLIL representation for module `\$_DFFE_PN_'. +Generating RTLIL representation for module `\$_DFFE_NP_'. +Generating RTLIL representation for module `\$_DFFE_PP_'. +Generating RTLIL representation for module `\$_DFF_NP0_'. +Generating RTLIL representation for module `\$_DFF_NP1_'. +Generating RTLIL representation for module `\$_DFF_PP0_'. +Generating RTLIL representation for module `\$_DFF_PP1_'. +Generating RTLIL representation for module `\$_SDFF_NP0_'. +Generating RTLIL representation for module `\$_SDFF_NP1_'. +Generating RTLIL representation for module `\$_SDFF_PP0_'. +Generating RTLIL representation for module `\$_SDFF_PP1_'. +Generating RTLIL representation for module `\$_DFFE_NP0P_'. +Generating RTLIL representation for module `\$_DFFE_NP1P_'. +Generating RTLIL representation for module `\$_DFFE_PP0P_'. +Generating RTLIL representation for module `\$_DFFE_PP1P_'. +Generating RTLIL representation for module `\$_DFFE_NP0N_'. +Generating RTLIL representation for module `\$_DFFE_NP1N_'. +Generating RTLIL representation for module `\$_DFFE_PP0N_'. +Generating RTLIL representation for module `\$_DFFE_PP1N_'. +Generating RTLIL representation for module `\$_SDFFE_NP0P_'. +Generating RTLIL representation for module `\$_SDFFE_NP1P_'. +Generating RTLIL representation for module `\$_SDFFE_PP0P_'. +Generating RTLIL representation for module `\$_SDFFE_PP1P_'. +Generating RTLIL representation for module `\$_SDFFE_NP0N_'. +Generating RTLIL representation for module `\$_SDFFE_NP1N_'. +Generating RTLIL representation for module `\$_SDFFE_PP0N_'. +Generating RTLIL representation for module `\$_SDFFE_PP1N_'. +Generating RTLIL representation for module `\FD1P3AX'. +Generating RTLIL representation for module `\FD1P3AY'. +Generating RTLIL representation for module `\FD1P3BX'. +Generating RTLIL representation for module `\FD1P3DX'. +Generating RTLIL representation for module `\FD1P3IX'. +Generating RTLIL representation for module `\FD1P3JX'. +Generating RTLIL representation for module `\FD1S3AX'. +Generating RTLIL representation for module `\FD1S3AY'. +Generating RTLIL representation for module `\FD1S3BX'. +Generating RTLIL representation for module `\FD1S3DX'. +Generating RTLIL representation for module `\FD1S3IX'. +Generating RTLIL representation for module `\FD1S3JX'. +Generating RTLIL representation for module `\IFS1P3BX'. +Generating RTLIL representation for module `\IFS1P3DX'. +Generating RTLIL representation for module `\IFS1P3IX'. +Generating RTLIL representation for module `\IFS1P3JX'. +Generating RTLIL representation for module `\OFS1P3BX'. +Generating RTLIL representation for module `\OFS1P3DX'. +Generating RTLIL representation for module `\OFS1P3IX'. +Generating RTLIL representation for module `\OFS1P3JX'. +Generating RTLIL representation for module `\IB'. +Generating RTLIL representation for module `\IBPU'. +Generating RTLIL representation for module `\IBPD'. +Generating RTLIL representation for module `\OB'. +Generating RTLIL representation for module `\OBZ'. +Generating RTLIL representation for module `\OBZPU'. +Generating RTLIL representation for module `\OBZPD'. +Generating RTLIL representation for module `\OBCO'. +Generating RTLIL representation for module `\BB'. +Generating RTLIL representation for module `\BBPU'. +Generating RTLIL representation for module `\BBPD'. +Generating RTLIL representation for module `\ILVDS'. +Generating RTLIL representation for module `\OLVDS'. +Generating RTLIL representation for module `\$lut'. +Successfully finished Verilog frontend. + +2.45.2. Continuing TECHMAP pass. +Using template $paramod\$lut\WIDTH=5\LUT=1429470991 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1011111100000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=2\LUT=4'0001 for cells of type $lut. +Using template $paramod\$lut\WIDTH=2\LUT=4'0100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=2\LUT=4'1000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0011010100110011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'10111011101100000000000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000111101110111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11100000000000001111000011111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'11000101 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'10000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1011000000001011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1011000010111011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'10110000000010110000000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1000000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000011101110111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0111000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'10101100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1001010001001111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0011101011110011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'00110101 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000101000001100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000101100000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1001000000001001 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'10010000000000000000000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11110000111100001010101011001100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1001000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'01010011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=252663244 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11110000111100001100110010101010 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'11001010 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11110000111100000000000011101110 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'00001110 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'00000111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=48911 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000111000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11111100110011001111000010101010 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000000000000001 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000000100000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'00000001 for cells of type $lut. +Using template $paramod$33c350b0c33c8d11c06e32a4943a9c25a543a6b7\$lut for cells of type $lut. +Using template $paramod$89dd30d619d00b12368cbcf6b88c08bd89e1c657\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=2147450880 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=386990080 for cells of type $lut. +Using template $paramod$1922694d1ba66dc9e8c99f5f26ba1b86bfc1d372\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'10010000 for cells of type $lut. +Using template $paramod$ef003d70d3febf7a5568510cba4a0111646430ac\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=2\LUT=4'0111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'01110000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=1429409791 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1110111100000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=1911 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'10001111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11111000100010001111111111111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000010100000011 for cells of type $lut. +Using template $paramod$f6783b5b9c23cd67232c94ac1b12661d5b0309d0\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1000011100001000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=196131771 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0100110101110001 for cells of type $lut. +Using template $paramod$c708770091716d95e2d30be87305b107dccb9e26\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=16777216 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'00010000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0001000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=65536 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'10000000000000000000000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=2\LUT=4'1001 for cells of type $lut. +Using template $paramod\$lut\WIDTH=2\LUT=4'0110 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=268500992 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'01011100 for cells of type $lut. +Using template $paramod$b1bd2a921ec0f1ea0cc7578a2bcf32d761c7f62f\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0100000100000000 for cells of type $lut. +Using template $paramod$f340a7e85fbe3e11c384ecf1cd11a7f6ad674e2c\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0100000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'11010000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1101000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=218103821 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1011000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'10111011000010110000000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'10010000000010010000000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'10110000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=218103808 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=47883 for cells of type $lut. +Using template $paramod$31a944f0c6934f915f24e075bcacadd2906c8e5f\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'01000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111000010001000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11111111100000001000000010000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0101111111110011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=251986703 for cells of type $lut. +Using template $paramod$078354ad4f08d5c6e8687216ff1586f28ff6611c\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'11100000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'10101010001111110000000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=285212671 for cells of type $lut. +Using template $paramod$1519fab0160880cb5431b6d4859cd9e32e014092\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000011100000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'11111000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000000000001110 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11101100111111111010000011110000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1010110011001100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0101001110101100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0011110001011010 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1110111000001111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=2035471 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=252663091 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1010110000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11111111100011111111111100000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11110000111100001010101000110011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'00001101 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1011010001001011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1000111110001000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000000000000111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11110100111111110000000011111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111000100000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1000111100000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0100111101000100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111111011111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000000000001011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1011000011111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111100000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11111111111111111000000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000011100110011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'11110100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000000101000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111111111100000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=184549387 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1110111011100000 for cells of type $lut. +Using template $paramod$d6a97cece58353cd8de5b6e824f1d055bdb32a45\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1110000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11111111111110001111111111111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=458752 for cells of type $lut. +Using template $paramod\$lut\WIDTH=2\LUT=4'1011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000011111110000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1100010100000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11110000111110101111000011110011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'00010100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000000001111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000011100001000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0111011100001111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1000000011111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=2\LUT=4'1110 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111010001000100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000111011001100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'01111000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11111111000011101111111111110000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0111110110000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'01111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=3003 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000001000110000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000101100000100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0110111111111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=31 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=7697919 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000000000001101 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11111110000000001111111111111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11111111010011111111111100000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0111111100000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111111101000000 for cells of type $lut. +Using template $paramod$1823a31c85f9522086df2e636a0e5ffeb1bbf92b\$lut for cells of type $lut. +Using template $paramod$6e8e9a95aa7012438678197fd66a79121b4bccb4\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=268435456 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000000111111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'10111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=65408 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0011011111000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0011111110000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=268398592 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=1065336832 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=1073709056 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'10000000011111110000000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'10111111001100000001101111000111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1100101011001100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=1142743210 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=1333248160 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11101011011100001111000011100000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'01001111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=196148992 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'10101010110011001111000011110000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1100110011001010 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0101011100110000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11111000100010000000000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111111110000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000101111111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=65344 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'00011111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=1099049025 for cells of type $lut. +Using template $paramod$ea79ac074ef5daf30bdd86a73922fd1b4427f4d0\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=33488896 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111100010001000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111111000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=2004287600 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=1065304064 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'11100011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000101111110000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11001111101011110000111100001111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=8355711 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111010011111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=267444928 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111111100010000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=125239296 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111100011111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000111111101100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0101001100110011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'10100011 for cells of type $lut. +Using template $paramod$fa50846fb39690c96e73e2bf7881b0c024a78beb\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11110000000100010000000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11110000111100000000000010111011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000101000000011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=252641501 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11110000111011100000000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000010100001100 for cells of type $lut. +Using template $paramod$f19bb2391741f41ed6688663c633088d08e018c2\$lut for cells of type $lut. +Using template $paramod$2a0a976802391efa54393fb43c1a1243cd176ffd\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'00001011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11111111111101001111111100000000 for cells of type $lut. +Using template $paramod$063f7b90c9d87abb5e00dc22f30b48c5d12e80b3\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0111000011111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11101111111111110000000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0101001111111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111111111111000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'10111111000000001111111111111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11111111111111111111100010001000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0010101100100010 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1001011000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111000000010001 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11110000111100001000100011111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0011111101010000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000111110111011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1010001100110011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=16639 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11110111000010001110111100010000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11001100101010101111000011110000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'10101010001100111111000011110000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111111101110000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11111111111111110111111100000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0100101110110100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'11101000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'10010110 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'01100000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0100110111011101 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111000001000100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111111100001011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1000011101111000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'00011110 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11110000111100000101010100110011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000001100000101 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0011010101010011 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=866840816 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000000011111110 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11111111000100010000111100001111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=1429467376 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'00111010 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=252654421 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0011001100111010 for cells of type $lut. +Using template $paramod\$lut\WIDTH=1\LUT=2'01 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1110111111111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'10001111111110001000100010001000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0111000001110111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111101011111100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'10110010 for cells of type $lut. +Using template $paramod$176d9ae664c431997aaa426f223ab1bcc6188d13\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=251723656 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111000011101110 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000110000001010 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0011010100000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000111111101110 for cells of type $lut. +Using template $paramod$18ef73fafbda5af11588c66ef5f31f738568c5c8\$lut for cells of type $lut. +Using template $paramod$2ff21013616bea2c768a52e378d46babb5d3dc5a\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'11101111010000001100110011001100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=32'10111111111111110100000000000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1000011101110111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0111111111111111 for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'01101001 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0111100011100001 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0111111110000000 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0100110110110010 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1111111111110100 for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'0000001111111101 for cells of type $lut. +Using template $paramod$c6d51bbba2974d40075f64507965a1fed88c7c87\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=4\LUT=16'1101001010110100 for cells of type $lut. +Using template $paramod$9abd567e56fa5e5fe88aefab580dea7b3d3324a7\$lut for cells of type $lut. +Using template $paramod\$lut\WIDTH=3\LUT=8'00111110 for cells of type $lut. +Using template $paramod\$lut\WIDTH=5\LUT=1431683900 for cells of type $lut. +No more expansions possible. + + +2.46. Executing OPT_LUT_INS pass (discard unused LUT inputs). +Optimizing LUTs in PQVexRiscvUlx3s. + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27959.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27961.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27978.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27965.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27988.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27984.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27976.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27980.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27986.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27990.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27996.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28000.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28093.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28093.lut2 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28093.lut3 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28093.lut4 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28093.lut5 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28093.lut6 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28093.lut7 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28090.lut2 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28090.lut3 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28099.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28096.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28108.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28100.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28103.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28117.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28104.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28105.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28120.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28125.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28135.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28413.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28415.lut3 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28415.lut4 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28415.lut5 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28415.lut7 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27770.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27794.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26189.lut1 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27791.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26128.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27314.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27780.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27768.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27788.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27137.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27138.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27297.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27058.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27280.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28131.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28101.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28097.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28085.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27331.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27790.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27779.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27774.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27769.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27348.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27246.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27628.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27628.lut3 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27631.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27640.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27640.lut3 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27643.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27623.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27623.lut3 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27611.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27611.lut3 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27614.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27580.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27580.lut3 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27592.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27592.lut3 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27544.lut2 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27544.lut3 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27544.lut4 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27544.lut5 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27544.lut6 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27544.lut7 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27540.lut2 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27540.lut3 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27540.lut4 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27540.lut5 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27540.lut6 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27540.lut7 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27548.lut2 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27548.lut3 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27548.lut4 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27548.lut5 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27548.lut6 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27548.lut7 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27535.lut2 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27535.lut3 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27535.lut4 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27535.lut5 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27535.lut6 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27535.lut7 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27903.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27903.lut3 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27903.lut4 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27903.lut5 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27903.lut7 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27494.lut1 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27494.lut2 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27494.lut3 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27497.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27501.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26942.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27492.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27493.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27505.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27476.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27439.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26214.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26960.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26964.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26963.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27039.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27060.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27119.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27120.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27120.lut2 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27120.lut3 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27120.lut4 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27120.lut5 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27120.lut6 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27120.lut7 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27101.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27081.lut2 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27081.lut3 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27081.lut4 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27081.lut5 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27081.lut6 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27081.lut7 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26905.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26132.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26132.lut3 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26132.lut4 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26132.lut5 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26132.lut6 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26127.lut3 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25907.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25907.lut3 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25907.lut4 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25907.lut5 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25907.lut6 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25907.lut7 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26926.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26927.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26368.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25943.lut2 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25943.lut3 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25943.lut4 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25943.lut5 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25943.lut6 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26245.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26245.lut3 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26245.lut4 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26245.lut5 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26245.lut6 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26245.lut7 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26222.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26222.lut3 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26016.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26833.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26821.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26820.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26819.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27021.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27021.lut2 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27021.lut3 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27021.lut4 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27021.lut5 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27021.lut6 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27021.lut7 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26884.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26866.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25954.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25954.lut3 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25954.lut4 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25954.lut5 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25954.lut6 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25954.lut7 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26042.lut2 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26042.lut3 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26042.lut4 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26042.lut5 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26042.lut6 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25951.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25951.lut5 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25951.lut6 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26043.lut2 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26043.lut3 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26043.lut4 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26043.lut5 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27000.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26982.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25885.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25887.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25889.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25898.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25907.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25912.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25923.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25934.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25939.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25943.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26043.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25951.lut1 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25954.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25955.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26042.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25961.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25974.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25992.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26011.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26015.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26016.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26824.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26016.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25990.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26042.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26043.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26998.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26052.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25983.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26078.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26073.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26101.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26106.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26120.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26127.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26128.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26129.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26132.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26134.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25943.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26132.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26128.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26127.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26146.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26189.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26196.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26199.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26205.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26214.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26216.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26220.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26222.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26223.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26227.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26245.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26244.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26275.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26277.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26300.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26305.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26311.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26320.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26323.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26348.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26348.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26350.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26350.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26354.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26368.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26368.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27045.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26175.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26579.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26579.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26664.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26659.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26662.lut1 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26665.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27765.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26724.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26725.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27038.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26723.lut1 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26757.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$25954.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26978.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26785.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26785.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26799.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26800.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26817.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26818.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26823.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27020.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26817.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26818.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26819.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26820.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26821.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26822.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26823.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26824.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26827.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26829.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26830.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26833.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26837.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26837.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26841.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26829.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26843.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26848.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26827.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26999.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26864.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26864.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26865.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26866.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26869.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26869.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26871.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26855.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26865.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26879.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26881.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26883.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26883.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26884.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26885.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26888.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26890.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26885.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26888.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26901.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26903.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26903.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26904.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26905.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26904.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26908.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26908.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26910.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26923.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26925.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26925.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26926.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26927.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26930.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26930.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26932.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26942.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26944.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26945.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26946.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26945.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26949.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26949.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26951.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26946.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26944.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26960.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26962.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26963.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26964.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26967.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26967.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26969.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26962.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26978.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26980.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26981.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26982.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26985.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26985.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26987.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26981.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26980.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26998.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27000.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27007.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27007.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27010.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27020.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27021.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27022.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27022.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27029.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27038.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27040.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27045.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27048.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27058.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27060.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27040.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27100.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27065.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27081.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27068.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27065.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27080.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27081.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27082.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27089.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27082.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27099.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27101.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27106.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27106.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27109.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27119.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27120.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27121.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27080.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27121.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27128.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27137.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27139.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27140.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27144.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27144.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27147.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27157.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27159.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27169.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27181.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27183.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27190.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27201.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27203.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27210.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27221.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27223.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27766.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27230.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27241.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27242.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27243.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27583.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27246.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27248.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27258.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27259.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27260.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27263.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27265.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27263.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27259.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27275.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27276.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27277.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27595.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27280.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27282.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27276.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27292.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27293.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27294.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27297.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27299.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27580.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27293.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27309.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27310.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27311.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27314.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27316.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27611.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27310.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27326.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27327.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27328.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27331.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27333.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27343.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27344.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27345.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27348.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27350.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27360.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27344.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27362.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27626.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27369.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27380.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27381.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27382.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27385.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27385.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27387.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27397.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27399.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27381.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27406.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27417.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27418.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27419.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27422.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27422.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27424.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$26822.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27418.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27434.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27435.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27436.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27439.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27441.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27448.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27435.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27451.lut1 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27476.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27483.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27483.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27484.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27487.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27513.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27492.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27493.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27494.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27496.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27496.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27497.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27500.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27500.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27501.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27504.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27504.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27505.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27507.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27507.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27509.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27509.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27512.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27512.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27513.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27515.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27515.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27517.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27517.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27518.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27520.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27520.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27522.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27524.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27526.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27528.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27528.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27530.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27532.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27532.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27535.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27548.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27540.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27540.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27544.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27544.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27548.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27535.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27551.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27159.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27524.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27558.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27565.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27572.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27580.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27583.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27584.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27963.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27592.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27592.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27595.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27596.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27603.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27611.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27614.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27615.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27623.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27626.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27628.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27631.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27632.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27628.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27640.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27640.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27623.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27643.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27644.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27652.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27655.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27664.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27676.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27688.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27059.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27099.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27242.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27674.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27707.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27714.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27139.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27686.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27778.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27745.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27775.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27796.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27786.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27753.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27759.lut0 (4 -> 2) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27787.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27765.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27766.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27767.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27768.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27769.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27770.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27771.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27772.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27773.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27774.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27775.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27776.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27777.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27778.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27779.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27780.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27781.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27782.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27783.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27784.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27785.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27786.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27787.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27788.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27789.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27790.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27791.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27792.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27793.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27794.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27795.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27796.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27789.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27795.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27771.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27793.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27784.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27776.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27767.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27782.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27772.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27773.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27903.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27903.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27905.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27781.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27777.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27327.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27783.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27792.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27955.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27952.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27785.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27955.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27973.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27957.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27952.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27959.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27969.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27961.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27957.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27963.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27965.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27967.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27969.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27971.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27967.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27973.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27976.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27978.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27971.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27980.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27998.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27982.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27984.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27994.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27986.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27982.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27988.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27990.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28008.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27992.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27994.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28004.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27996.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27992.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$27998.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28000.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28002.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28004.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28006.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28002.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28008.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28010.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28012.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28006.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28012.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28010.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28098.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28063.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28087.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28102.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28087.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28090.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28093.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28107.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28096.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28097.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28098.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28099.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28100.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28101.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28102.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28103.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28104.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28105.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28106.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28107.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28108.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28106.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28111.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28090.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28114.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28111.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28117.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28114.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28137.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28120.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28121.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28142.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28125.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28128.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28138.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28121.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28131.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28128.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28143.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28134.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28135.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28137.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28138.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28139.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28140.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28141.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28142.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28143.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28141.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28139.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28134.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28140.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28237.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28235.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28418.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28415.lut1 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28413.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28415.lut0 (4 -> 0) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28417.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28418.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28420.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28420.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28446.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28451.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28451.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28508.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28552.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28480.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28480.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28569.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28565.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28504.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28507.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28508.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28446.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28517.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28517.lut0 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28507.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28534.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28534.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28562.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28540.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28540.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28504.lut1 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28546.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28546.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28567.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28552.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28557.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28560.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28562.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28557.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28565.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28567.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28569.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28571.lut0 (4 -> 3) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28560.lut1 (4 -> 1) + Optimizing lut $abc$25877$auto$blifparse.cc:498:parse_blif$28571.lut1 (4 -> 1) +Removed 0 unused cells and 5990 unused wires. + +2.47. Executing AUTONAME pass. +Renamed 120855 objects in module PQVexRiscvUlx3s (99 iterations). + + +2.48. Executing HIERARCHY pass (managing design hierarchy). + +2.48.1. Analyzing design hierarchy.. +Top module: \PQVexRiscvUlx3s + +2.48.2. Analyzing design hierarchy.. +Top module: \PQVexRiscvUlx3s +Removed 0 unused modules. + +2.49. Printing statistics. + +=== PQVexRiscvUlx3s === + + Number of wires: 4103 + Number of wire bits: 22419 + Number of public wires: 4103 + Number of public wire bits: 22419 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 6522 + CCU2C 260 + DP16KD 64 + L6MUX21 70 + LUT4 3303 + MULT18X18D 4 + PFUMX 512 + TRELLIS_DPR16X4 38 + TRELLIS_FF 2271 + +2.50. Executing CHECK pass (checking for obvious problems). +Checking module PQVexRiscvUlx3s... +Found and reported 0 problems. + +2.51. Executing JSON backend. + +Warnings: 1 unique messages, 2 total +End of script. Logfile hash: 86ff1874c2, CPU: user 11.28s system 0.12s, MEM: 316.02 MB peak +Yosys 0.9+3855 (git sha1 54294957, clang 10.0.0-4ubuntu1 -fPIC -Os) +Time spent: 16% 1x abc (2 sec), 15% 28x opt_clean (2 sec), ... diff --git a/ulx3s/ulx3s_v20_constraints.lpf b/ulx3s/ulx3s_v20_constraints.lpf new file mode 100644 index 0000000..0e570d1 --- /dev/null +++ b/ulx3s/ulx3s_v20_constraints.lpf @@ -0,0 +1,452 @@ +BLOCK RESETPATHS; +BLOCK ASYNCPATHS; +## ULX3S v2.x.x and v3.0.x + +# The clock "usb" and "gpdi" sheet +LOCATE COMP "io_mainClock" SITE "G2"; +IOBUF PORT "io_mainClock" PULLMODE=NONE IO_TYPE=LVCMOS33; +FREQUENCY PORT "io_mainClock" 25 MHZ; + +# JTAG and SPI FLASH voltage 3.3V and options to boot from SPI flash +# write to FLASH possible any time from JTAG: +# SYSCONFIG CONFIG_IOVOLTAGE=3.3 COMPRESS_CONFIG=ON MCCLK_FREQ=62 MASTER_SPI_PORT=ENABLE SLAVE_SPI_PORT=DISABLE SLAVE_PARALLEL_PORT=DISABLE; +# write to FLASH possible from user bitstream: +# SYSCONFIG CONFIG_IOVOLTAGE=3.3 COMPRESS_CONFIG=ON MCCLK_FREQ=62 MASTER_SPI_PORT=DISABLE SLAVE_SPI_PORT=DISABLE SLAVE_PARALLEL_PORT=DISABLE; + +## USBSERIAL FTDI-FPGA serial port "usb" sheet +LOCATE COMP "io_uart_txd" SITE "L4"; # FPGA transmits to ftdi +LOCATE COMP "io_uart_rxd" SITE "M1"; # FPGA receives from ftdi +LOCATE COMP "ftdi_nrts" SITE "M3"; # FPGA receives +LOCATE COMP "ftdi_ndtr" SITE "N1"; # FPGA receives +LOCATE COMP "ftdi_txden" SITE "L3"; # FPGA receives +IOBUF PORT "io_uart_txd" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "io_uart_rxd" PULLMODE=UP IO_TYPE=LVCMOS33; +IOBUF PORT "ftdi_nrts" PULLMODE=UP IO_TYPE=LVCMOS33; +IOBUF PORT "ftdi_ndtr" PULLMODE=UP IO_TYPE=LVCMOS33; +IOBUF PORT "ftdi_txden" PULLMODE=UP IO_TYPE=LVCMOS33; + +## LED indicators "blinkey" and "gpio" sheet +LOCATE COMP "led[7]" SITE "H3"; +LOCATE COMP "led[6]" SITE "E1"; +LOCATE COMP "led[5]" SITE "E2"; +LOCATE COMP "led[4]" SITE "D1"; +LOCATE COMP "led[3]" SITE "D2"; +LOCATE COMP "led[2]" SITE "C1"; +LOCATE COMP "led[1]" SITE "C2"; +LOCATE COMP "led[0]" SITE "B2"; +IOBUF PORT "led[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "led[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "led[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "led[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "led[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "led[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "led[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "led[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; + +## Pushbuttons "blinkey", "flash", "power", "gpdi" sheet +LOCATE COMP "btn[0]" SITE "D6"; # BTN_PWRn (inverted logic) +LOCATE COMP "io_asyncReset" SITE "R1"; # FIRE1 +LOCATE COMP "btn[2]" SITE "T1"; # FIRE2 +LOCATE COMP "btn[3]" SITE "R18"; # UP W1->R18 +LOCATE COMP "btn[4]" SITE "V1"; # DOWN +LOCATE COMP "btn[5]" SITE "U1"; # LEFT +LOCATE COMP "btn[6]" SITE "H16"; # RIGHT Y2->H16 +IOBUF PORT "btn[0]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "io_asyncReset" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "btn[2]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "btn[3]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "btn[4]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "btn[5]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "btn[6]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; + +## DIP switch "blinkey", "gpio" sheet +LOCATE COMP "sw[0]" SITE "E8"; # SW1 +LOCATE COMP "sw[1]" SITE "D8"; # SW2 +LOCATE COMP "sw[2]" SITE "D7"; # SW3 +LOCATE COMP "sw[3]" SITE "E7"; # SW4 +IOBUF PORT "sw[0]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sw[1]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sw[2]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sw[3]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; + +## SPI OLED DISPLAY SSD1331 (Color) or SSD1306 (B/W) "blinkey", "usb" sheet +LOCATE COMP "oled_clk" SITE "P4"; +LOCATE COMP "oled_mosi" SITE "P3"; +LOCATE COMP "oled_dc" SITE "P1"; +LOCATE COMP "oled_resn" SITE "P2"; +LOCATE COMP "oled_csn" SITE "N2"; +IOBUF PORT "oled_clk" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "oled_mosi" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "oled_dc" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "oled_resn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "oled_csn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +## SPI Flash chip "flash" sheet +LOCATE COMP "flash_csn" SITE "R2"; +LOCATE COMP "flash_clk" SITE "U3"; +LOCATE COMP "flash_mosi" SITE "W2"; +LOCATE COMP "flash_miso" SITE "V2"; +LOCATE COMP "flash_holdn" SITE "W1"; +LOCATE COMP "flash_wpn" SITE "Y2"; +#LOCATE COMP "flash_csspin" SITE "AJ3"; +#LOCATE COMP "flash_initn" SITE "AG4"; +#LOCATE COMP "flash_done" SITE "AJ4"; +#LOCATE COMP "flash_programn" SITE "AH4"; +#LOCATE COMP "flash_cfg_select[0]" SITE "AM4"; +#LOCATE COMP "flash_cfg_select[1]" SITE "AL4"; +#LOCATE COMP "flash_cfg_select[2]" SITE "AK4"; +IOBUF PORT "flash_csn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "flash_clk" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "flash_mosi" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "flash_miso" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "flash_holdn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "flash_wpn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "flash_csspin" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "flash_initn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "flash_done" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "flash_programn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "flash_cfg_select[0]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "flash_cfg_select[1]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "flash_cfg_select[2]" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; + +## SD card "sdcard", "usb" sheet +LOCATE COMP "sd_clk" SITE "H2"; # sd_clk WiFi_GPIO14 +LOCATE COMP "sd_cmd" SITE "J1"; # sd_cmd_di (MOSI) WiFi GPIO15 +LOCATE COMP "sd_d[0]" SITE "J3"; # sd_dat0_do (MISO) WiFi GPIO2 +LOCATE COMP "sd_d[1]" SITE "H1"; # sd_dat1_irq WiFi GPIO4 +LOCATE COMP "sd_d[2]" SITE "K1"; # sd_dat2 WiFi_GPIO12 +LOCATE COMP "sd_d[3]" SITE "K2"; # sd_dat3_csn WiFi_GPIO13 +LOCATE COMP "sd_wp" SITE "P5"; # not connected +LOCATE COMP "sd_cdn" SITE "N5"; # not connected +IOBUF PORT "sd_clk" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sd_cmd" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sd_d[0]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sd_d[1]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sd_d[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; # WiFi GPIO12 pulldown bootstrapping requirement +IOBUF PORT "sd_d[3]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sd_wp" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sd_cdn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +## ADC SPI (MAX11123) "analog", "ram" sheet +LOCATE COMP "adc_csn" SITE "R17"; +LOCATE COMP "adc_mosi" SITE "R16"; +LOCATE COMP "adc_miso" SITE "U16"; +LOCATE COMP "adc_sclk" SITE "P17"; +IOBUF PORT "adc_csn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "adc_mosi" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "adc_miso" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "adc_sclk" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +## Audio 4-bit DAC "analog", "gpio" sheet +# Output impedance 75 ohm. +# Strong enough to drive 16 ohm earphones. +LOCATE COMP "audio_l[3]" SITE "B3"; # JACK TIP (left audio) +LOCATE COMP "audio_l[2]" SITE "C3"; +LOCATE COMP "audio_l[1]" SITE "D3"; +LOCATE COMP "audio_l[0]" SITE "E4"; +LOCATE COMP "audio_r[3]" SITE "C5"; # JACK RING1 (right audio) +LOCATE COMP "audio_r[2]" SITE "D5"; +LOCATE COMP "audio_r[1]" SITE "B5"; +LOCATE COMP "audio_r[0]" SITE "A3"; +LOCATE COMP "audio_v[3]" SITE "E5"; # JACK RING2 (video or digital audio) +LOCATE COMP "audio_v[2]" SITE "F5"; +LOCATE COMP "audio_v[1]" SITE "F2"; +LOCATE COMP "audio_v[0]" SITE "H5"; +IOBUF PORT "audio_l[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_l[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_l[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_l[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_r[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_r[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_r[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_r[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_v[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_v[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_v[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "audio_v[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; + +## WiFi ESP-32 "wifi", "usb", "flash" sheet +# other pins are shared with GP/GN, SD card and JTAG +LOCATE COMP "wifi_en" SITE "F1"; # enable/reset WiFi +LOCATE COMP "wifi_rxd" SITE "K3"; # FPGA transmits to WiFi +LOCATE COMP "wifi_txd" SITE "K4"; # FPGA receives from WiFi +LOCATE COMP "wifi_gpio0" SITE "L2"; +LOCATE COMP "wifi_gpio5" SITE "N4"; # WIFI LED +LOCATE COMP "wifi_gpio16" SITE "L1"; # Serial1 RX +LOCATE COMP "wifi_gpio17" SITE "N3"; # Serial1 TX +# LOCATE COMP "prog_done" SITE "Y3"; # not GPIO, always active +IOBUF PORT "wifi_en" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "wifi_rxd" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "wifi_txd" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "wifi_gpio0" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "wifi_gpio16" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "wifi_gpio17" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +# IOBUF PORT "prog_done" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +## PCB antenna 433 MHz (may be also used for FM) "usb" sheet +LOCATE COMP "ant_433mhz" SITE "G1"; +IOBUF PORT "ant_433mhz" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; + +## Second USB port "US2" going directly into FPGA "usb", "ram" sheet +LOCATE COMP "usb_fpga_dp" SITE "E16"; # single ended or differential input only +LOCATE COMP "usb_fpga_dn" SITE "F16"; +IOBUF PORT "usb_fpga_dp" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "usb_fpga_dn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +LOCATE COMP "usb_fpga_bd_dp" SITE "D15"; # differential bidirectional +LOCATE COMP "usb_fpga_bd_dn" SITE "E15"; +IOBUF PORT "usb_fpga_bd_dp" PULLMODE=NONE IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "usb_fpga_bd_dn" PULLMODE=NONE IO_TYPE=LVCMOS33D DRIVE=4; +LOCATE COMP "usb_fpga_pu_dp" SITE "B12"; # pull up/down control +LOCATE COMP "usb_fpga_pu_dn" SITE "C12"; +IOBUF PORT "usb_fpga_pu_dp" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; +IOBUF PORT "usb_fpga_pu_dn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=16; + +## JTAG ESP-32 "usb" sheet +# connected to FT231X and ESP-32 +# commented out because those are dedicated pins, not directly useable as GPIO +# but could be used by some vendor-specific JTAG bridging (boundary scan) module +#LOCATE COMP "jtag_tdi" SITE "R5"; # FTDI_nRI FPGA receives +#LOCATE COMP "jtag_tdo" SITE "V4"; # FTDI_nCTS FPGA transmits +#LOCATE COMP "jtag_tck" SITE "T5"; # FTDI_nDSR FPGA receives +#LOCATE COMP "jtag_tms" SITE "U5"; # FTDI_nDCD FPGA receives +#IOBUF PORT "jtag_tdi" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "jtag_tdo" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "jtag_tck" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +#IOBUF PORT "jtag_tms" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +## SDRAM "ram" sheet +LOCATE COMP "sdram_clk" SITE "F19"; +LOCATE COMP "sdram_cke" SITE "F20"; +LOCATE COMP "sdram_csn" SITE "P20"; +LOCATE COMP "sdram_wen" SITE "T20"; +LOCATE COMP "sdram_rasn" SITE "R20"; +LOCATE COMP "sdram_casn" SITE "T19"; +LOCATE COMP "sdram_a[0]" SITE "M20"; +LOCATE COMP "sdram_a[1]" SITE "M19"; +LOCATE COMP "sdram_a[2]" SITE "L20"; +LOCATE COMP "sdram_a[3]" SITE "L19"; +LOCATE COMP "sdram_a[4]" SITE "K20"; +LOCATE COMP "sdram_a[5]" SITE "K19"; +LOCATE COMP "sdram_a[6]" SITE "K18"; +LOCATE COMP "sdram_a[7]" SITE "J20"; +LOCATE COMP "sdram_a[8]" SITE "J19"; +LOCATE COMP "sdram_a[9]" SITE "H20"; +LOCATE COMP "sdram_a[10]" SITE "N19"; +LOCATE COMP "sdram_a[11]" SITE "G20"; +LOCATE COMP "sdram_a[12]" SITE "G19"; +LOCATE COMP "sdram_ba[0]" SITE "P19"; +LOCATE COMP "sdram_ba[1]" SITE "N20"; +LOCATE COMP "sdram_dqm[0]" SITE "U19"; +LOCATE COMP "sdram_dqm[1]" SITE "E20"; +LOCATE COMP "sdram_d[0]" SITE "J16"; +LOCATE COMP "sdram_d[1]" SITE "L18"; +LOCATE COMP "sdram_d[2]" SITE "M18"; +LOCATE COMP "sdram_d[3]" SITE "N18"; +LOCATE COMP "sdram_d[4]" SITE "P18"; +LOCATE COMP "sdram_d[5]" SITE "T18"; +LOCATE COMP "sdram_d[6]" SITE "T17"; +LOCATE COMP "sdram_d[7]" SITE "U20"; +LOCATE COMP "sdram_d[8]" SITE "E19"; +LOCATE COMP "sdram_d[9]" SITE "D20"; +LOCATE COMP "sdram_d[10]" SITE "D19"; +LOCATE COMP "sdram_d[11]" SITE "C20"; +LOCATE COMP "sdram_d[12]" SITE "E18"; +LOCATE COMP "sdram_d[13]" SITE "F18"; +LOCATE COMP "sdram_d[14]" SITE "J18"; +LOCATE COMP "sdram_d[15]" SITE "J17"; +IOBUF PORT "sdram_clk" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_cke" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_csn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_wen" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_rasn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_casn" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[8]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[9]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[10]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[11]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_a[12]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_ba[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_ba[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_dqm[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_dqm[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[0]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[1]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[2]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[3]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[4]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[5]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[6]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[7]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[8]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[9]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[10]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[11]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[12]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[13]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[14]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "sdram_d[15]" PULLMODE=NONE IO_TYPE=LVCMOS33 DRIVE=4; + +# GPDI differential interface (Video) "gpdi" sheet +LOCATE COMP "gpdi_dp[0]" SITE "A16"; # Blue + +LOCATE COMP "gpdi_dn[0]" SITE "B16"; # Blue - +LOCATE COMP "gpdi_dp[1]" SITE "A14"; # Green + +LOCATE COMP "gpdi_dn[1]" SITE "C14"; # Green - +LOCATE COMP "gpdi_dp[2]" SITE "A12"; # Red + +LOCATE COMP "gpdi_dn[2]" SITE "A13"; # Red - +LOCATE COMP "gpdi_dp[3]" SITE "A17"; # Clock + +LOCATE COMP "gpdi_dn[3]" SITE "B18"; # Clock - +LOCATE COMP "gpdi_ethp" SITE "A19"; # Ethernet + +LOCATE COMP "gpdi_ethn" SITE "B20"; # Ethernet - +LOCATE COMP "gpdi_cec" SITE "A18"; +LOCATE COMP "gpdi_sda" SITE "B19"; # I2C shared with RTC +LOCATE COMP "gpdi_scl" SITE "E12"; # I2C shared with RTC C12->E12 +IOBUF PORT "gpdi_dp[0]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_dn[0]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_dp[1]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_dn[1]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_dp[2]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_dn[2]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_dp[3]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_dn[3]" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_ethp" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_ethn" IO_TYPE=LVCMOS33D DRIVE=4; +IOBUF PORT "gpdi_cec" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gpdi_sda" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gpdi_scl" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +# GPIO (default single-ended) "gpio", "ram", "gpdi" sheet +# Pins enumerated gp[0-27], gn[0-27]. +# With differential mode enabled on Lattice, +# gp[] (+) are used, gn[] (-) are ignored from design +# as they handle inverted signal by default. +# To enable differential, rename LVCMOS33->LVCMOS33D +LOCATE COMP "gp[0]" SITE "B11"; # J1_5+ GP0 +LOCATE COMP "gn[0]" SITE "C11"; # J1_5- GN0 +LOCATE COMP "gp[1]" SITE "A10"; # J1_7+ GP1 +LOCATE COMP "gn[1]" SITE "A11"; # J1_7- GN1 +LOCATE COMP "gp[2]" SITE "A9"; # J1_9+ GP2 +LOCATE COMP "gn[2]" SITE "B10"; # J1_9- GN2 +LOCATE COMP "gp[3]" SITE "B9"; # J1_11+ GP3 +LOCATE COMP "gn[3]" SITE "C10"; # J1_11- GN3 +LOCATE COMP "gp[4]" SITE "A7"; # J1_13+ GP4 +LOCATE COMP "gn[4]" SITE "A8"; # J1_13- GN4 +LOCATE COMP "gp[5]" SITE "C8"; # J1_15+ GP5 +LOCATE COMP "gn[5]" SITE "B8"; # J1_15- GN5 +LOCATE COMP "gp[6]" SITE "C6"; # J1_17+ GP6 +LOCATE COMP "gn[6]" SITE "C7"; # J1_17- GN6 +IOBUF PORT "gp[0]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[0]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[1]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[1]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[2]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[2]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[3]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[3]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[4]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[4]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[5]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[5]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[6]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[6]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +LOCATE COMP "gp[7]" SITE "A6"; # J1_23+ GP7 +LOCATE COMP "gn[7]" SITE "B6"; # J1_23- GN7 +LOCATE COMP "gp[8]" SITE "A4"; # J1_25+ GP8 +LOCATE COMP "gn[8]" SITE "A5"; # J1_25- GN8 +LOCATE COMP "gp[9]" SITE "A2"; # J1_27+ GP9 +LOCATE COMP "gn[9]" SITE "B1"; # J1_27- GN9 +LOCATE COMP "gp[10]" SITE "C4"; # J1_29+ GP10 WIFI_GPIO27 +LOCATE COMP "gn[10]" SITE "B4"; # J1_29- GN10 +LOCATE COMP "gp[11]" SITE "F4"; # J1_31+ GP11 WIFI_GPIO25 +LOCATE COMP "gn[11]" SITE "E3"; # J1_31- GN11 WIFI_GPIO26 +LOCATE COMP "gp[12]" SITE "G3"; # J1_33+ GP12 WIFI_GPIO32 +LOCATE COMP "gn[12]" SITE "F3"; # J1_33- GN12 WIFI_GPIO33 +LOCATE COMP "gp[13]" SITE "H4"; # J1_35+ GP13 WIFI_GPIO34 +LOCATE COMP "gn[13]" SITE "G5"; # J1_35- GN13 WIFI_GPIO35 +IOBUF PORT "gp[7]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[7]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[8]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[8]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[9]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[9]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[10]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[10]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[11]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[11]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[12]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[12]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[13]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[13]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +LOCATE COMP "gp[14]" SITE "U18"; # J2_5+ GP14 +LOCATE COMP "gn[14]" SITE "U17"; # J2_5- GN14 +LOCATE COMP "gp[15]" SITE "N17"; # J2_7+ GP15 +LOCATE COMP "gn[15]" SITE "P16"; # J2_7- GN15 +LOCATE COMP "gp[16]" SITE "N16"; # J2_9+ GP16 +LOCATE COMP "gn[16]" SITE "M17"; # J2_9- GN16 +LOCATE COMP "gp[17]" SITE "L16"; # J2_11+ GP17 +LOCATE COMP "gn[17]" SITE "L17"; # J2_11- GN17 +LOCATE COMP "gp[18]" SITE "H18"; # J2_13+ GP18 +LOCATE COMP "gn[18]" SITE "H17"; # J2_13- GN18 +LOCATE COMP "gp[19]" SITE "F17"; # J2_15+ GP19 +LOCATE COMP "gn[19]" SITE "G18"; # J2_15- GN19 +LOCATE COMP "gp[20]" SITE "D18"; # J2_17+ GP20 +LOCATE COMP "gn[20]" SITE "E17"; # J2_17- GN20 +IOBUF PORT "gp[14]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[14]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[15]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[15]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[16]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[16]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[17]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[17]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[18]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[18]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[19]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[19]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[20]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[20]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +LOCATE COMP "io_jtag_tms" SITE "C18"; # J2_23+ GP21 +LOCATE COMP "gn[21]" SITE "D17"; # J2_23- GN21 +LOCATE COMP "io_jtag_tdi" SITE "B15"; # J2_25+ GP22 D15->B15 +LOCATE COMP "gn[22]" SITE "C15"; # J2_25- GN22 E15->C15 +LOCATE COMP "io_jtag_tdo" SITE "B17"; # J2_27+ GP23 +LOCATE COMP "gn[23]" SITE "C17"; # J2_27- GN23 +LOCATE COMP "io_jtag_tck" SITE "C16"; # J2_29+ GP24 +LOCATE COMP "gn[24]" SITE "D16"; # J2_29- GN24 +LOCATE COMP "gp[25]" SITE "D14"; # J2_31+ GP25 B15->D14 +LOCATE COMP "gn[25]" SITE "E14"; # J2_31- GN25 C15->E14 +LOCATE COMP "gp[26]" SITE "B13"; # J2_33+ GP26 +LOCATE COMP "gn[26]" SITE "C13"; # J2_33- GN26 +LOCATE COMP "gp[27]" SITE "D13"; # J2_35+ GP27 +LOCATE COMP "gn[27]" SITE "E13"; # J2_35- GN27 +IOBUF PORT "io_jtag_tms" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[21]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "io_jtag_tdi" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[22]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "io_jtag_tdo" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[23]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "io_jtag_tck" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[24]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[25]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[25]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[26]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[26]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gp[27]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; +IOBUF PORT "gn[27]" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +## PROGRAMN (reload bitstream from FLASH, exit from bootloader) +# PCB v2.0.5 and higher +LOCATE COMP "user_programn" SITE "M4"; +IOBUF PORT "user_programn" PULLMODE=UP IO_TYPE=LVCMOS33 DRIVE=4; + +## SHUTDOWN "power", "ram" sheet (connected from PCB v1.7.5) +# on PCB v1.7 shutdown is not connected to FPGA +LOCATE COMP "shutdown" SITE "G16"; # FPGA receives +IOBUF PORT "shutdown" PULLMODE=DOWN IO_TYPE=LVCMOS33 DRIVE=4; diff --git a/vexriscv/PQVexRiscvUlx3s.v b/vexriscv/PQVexRiscvUlx3s.v new file mode 100644 index 0000000..a4af251 --- /dev/null +++ b/vexriscv/PQVexRiscvUlx3s.v @@ -0,0 +1,8225 @@ +// Generator : SpinalHDL v1.4.0 git head : ecb5a80b713566f417ea3ea061f9969e73770a7f +// Date : 27/01/2021, 14:47:17 +// Component : PQVexRiscvUlx3s + + +`define AluCtrlEnum_defaultEncoding_type [1:0] +`define AluCtrlEnum_defaultEncoding_ADD_SUB 2'b00 +`define AluCtrlEnum_defaultEncoding_SLT_SLTU 2'b01 +`define AluCtrlEnum_defaultEncoding_BITWISE 2'b10 + +`define AluBitwiseCtrlEnum_defaultEncoding_type [1:0] +`define AluBitwiseCtrlEnum_defaultEncoding_XOR_1 2'b00 +`define AluBitwiseCtrlEnum_defaultEncoding_OR_1 2'b01 +`define AluBitwiseCtrlEnum_defaultEncoding_AND_1 2'b10 + +`define BranchCtrlEnum_defaultEncoding_type [1:0] +`define BranchCtrlEnum_defaultEncoding_INC 2'b00 +`define BranchCtrlEnum_defaultEncoding_B 2'b01 +`define BranchCtrlEnum_defaultEncoding_JAL 2'b10 +`define BranchCtrlEnum_defaultEncoding_JALR 2'b11 + +`define ShiftCtrlEnum_defaultEncoding_type [1:0] +`define ShiftCtrlEnum_defaultEncoding_DISABLE_1 2'b00 +`define ShiftCtrlEnum_defaultEncoding_SLL_1 2'b01 +`define ShiftCtrlEnum_defaultEncoding_SRL_1 2'b10 +`define ShiftCtrlEnum_defaultEncoding_SRA_1 2'b11 + +`define EnvCtrlEnum_defaultEncoding_type [0:0] +`define EnvCtrlEnum_defaultEncoding_NONE 1'b0 +`define EnvCtrlEnum_defaultEncoding_XRET 1'b1 + +`define Src2CtrlEnum_defaultEncoding_type [1:0] +`define Src2CtrlEnum_defaultEncoding_RS 2'b00 +`define Src2CtrlEnum_defaultEncoding_IMI 2'b01 +`define Src2CtrlEnum_defaultEncoding_IMS 2'b10 +`define Src2CtrlEnum_defaultEncoding_PC 2'b11 + +`define Src1CtrlEnum_defaultEncoding_type [1:0] +`define Src1CtrlEnum_defaultEncoding_RS 2'b00 +`define Src1CtrlEnum_defaultEncoding_IMU 2'b01 +`define Src1CtrlEnum_defaultEncoding_PC_INCREMENT 2'b10 +`define Src1CtrlEnum_defaultEncoding_URS1 2'b11 + +`define JtagState_defaultEncoding_type [3:0] +`define JtagState_defaultEncoding_RESET 4'b0000 +`define JtagState_defaultEncoding_IDLE 4'b0001 +`define JtagState_defaultEncoding_IR_SELECT 4'b0010 +`define JtagState_defaultEncoding_IR_CAPTURE 4'b0011 +`define JtagState_defaultEncoding_IR_SHIFT 4'b0100 +`define JtagState_defaultEncoding_IR_EXIT1 4'b0101 +`define JtagState_defaultEncoding_IR_PAUSE 4'b0110 +`define JtagState_defaultEncoding_IR_EXIT2 4'b0111 +`define JtagState_defaultEncoding_IR_UPDATE 4'b1000 +`define JtagState_defaultEncoding_DR_SELECT 4'b1001 +`define JtagState_defaultEncoding_DR_CAPTURE 4'b1010 +`define JtagState_defaultEncoding_DR_SHIFT 4'b1011 +`define JtagState_defaultEncoding_DR_EXIT1 4'b1100 +`define JtagState_defaultEncoding_DR_PAUSE 4'b1101 +`define JtagState_defaultEncoding_DR_EXIT2 4'b1110 +`define JtagState_defaultEncoding_DR_UPDATE 4'b1111 + +`define UartStopType_defaultEncoding_type [0:0] +`define UartStopType_defaultEncoding_ONE 1'b0 +`define UartStopType_defaultEncoding_TWO 1'b1 + +`define UartParityType_defaultEncoding_type [1:0] +`define UartParityType_defaultEncoding_NONE 2'b00 +`define UartParityType_defaultEncoding_EVEN 2'b01 +`define UartParityType_defaultEncoding_ODD 2'b10 + +`define UartCtrlTxState_defaultEncoding_type [2:0] +`define UartCtrlTxState_defaultEncoding_IDLE 3'b000 +`define UartCtrlTxState_defaultEncoding_START 3'b001 +`define UartCtrlTxState_defaultEncoding_DATA 3'b010 +`define UartCtrlTxState_defaultEncoding_PARITY 3'b011 +`define UartCtrlTxState_defaultEncoding_STOP 3'b100 + +`define UartCtrlRxState_defaultEncoding_type [2:0] +`define UartCtrlRxState_defaultEncoding_IDLE 3'b000 +`define UartCtrlRxState_defaultEncoding_START 3'b001 +`define UartCtrlRxState_defaultEncoding_DATA 3'b010 +`define UartCtrlRxState_defaultEncoding_PARITY 3'b011 +`define UartCtrlRxState_defaultEncoding_STOP 3'b100 + + +module BufferCC ( + input io_initial, + input io_dataIn, + output io_dataOut, + input mainClock, + input resetCtrl_systemClockReset +); + reg buffers_0; + reg buffers_1; + + assign io_dataOut = buffers_1; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + buffers_0 <= io_initial; + buffers_1 <= io_initial; + end else begin + buffers_0 <= io_dataIn; + buffers_1 <= buffers_0; + end + end + + +endmodule + +module BufferCC_1_ ( + input io_dataIn, + output io_dataOut, + input mainClock, + input resetCtrl_mainClockReset +); + reg buffers_0; + reg buffers_1; + + assign io_dataOut = buffers_1; + always @ (posedge mainClock) begin + buffers_0 <= io_dataIn; + buffers_1 <= buffers_0; + end + + +endmodule + +module UartCtrlTx ( + input [2:0] io_configFrame_dataLength, + input `UartStopType_defaultEncoding_type io_configFrame_stop, + input `UartParityType_defaultEncoding_type io_configFrame_parity, + input io_samplingTick, + input io_write_valid, + output reg io_write_ready, + input [7:0] io_write_payload, + input io_cts, + output io_txd, + input io_break, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_2_; + wire [0:0] _zz_3_; + wire [2:0] _zz_4_; + wire [0:0] _zz_5_; + wire [2:0] _zz_6_; + reg clockDivider_counter_willIncrement; + wire clockDivider_counter_willClear; + reg [2:0] clockDivider_counter_valueNext; + reg [2:0] clockDivider_counter_value; + wire clockDivider_counter_willOverflowIfInc; + wire clockDivider_counter_willOverflow; + reg [2:0] tickCounter_value; + reg `UartCtrlTxState_defaultEncoding_type stateMachine_state; + reg stateMachine_parity; + reg stateMachine_txd; + reg _zz_1_; + `ifndef SYNTHESIS + reg [23:0] io_configFrame_stop_string; + reg [31:0] io_configFrame_parity_string; + reg [47:0] stateMachine_state_string; + `endif + + + assign _zz_2_ = (tickCounter_value == io_configFrame_dataLength); + assign _zz_3_ = clockDivider_counter_willIncrement; + assign _zz_4_ = {2'd0, _zz_3_}; + assign _zz_5_ = ((io_configFrame_stop == `UartStopType_defaultEncoding_ONE) ? (1'b0) : (1'b1)); + assign _zz_6_ = {2'd0, _zz_5_}; + `ifndef SYNTHESIS + always @(*) begin + case(io_configFrame_stop) + `UartStopType_defaultEncoding_ONE : io_configFrame_stop_string = "ONE"; + `UartStopType_defaultEncoding_TWO : io_configFrame_stop_string = "TWO"; + default : io_configFrame_stop_string = "???"; + endcase + end + always @(*) begin + case(io_configFrame_parity) + `UartParityType_defaultEncoding_NONE : io_configFrame_parity_string = "NONE"; + `UartParityType_defaultEncoding_EVEN : io_configFrame_parity_string = "EVEN"; + `UartParityType_defaultEncoding_ODD : io_configFrame_parity_string = "ODD "; + default : io_configFrame_parity_string = "????"; + endcase + end + always @(*) begin + case(stateMachine_state) + `UartCtrlTxState_defaultEncoding_IDLE : stateMachine_state_string = "IDLE "; + `UartCtrlTxState_defaultEncoding_START : stateMachine_state_string = "START "; + `UartCtrlTxState_defaultEncoding_DATA : stateMachine_state_string = "DATA "; + `UartCtrlTxState_defaultEncoding_PARITY : stateMachine_state_string = "PARITY"; + `UartCtrlTxState_defaultEncoding_STOP : stateMachine_state_string = "STOP "; + default : stateMachine_state_string = "??????"; + endcase + end + `endif + + always @ (*) begin + clockDivider_counter_willIncrement = 1'b0; + if(io_samplingTick)begin + clockDivider_counter_willIncrement = 1'b1; + end + end + + assign clockDivider_counter_willClear = 1'b0; + assign clockDivider_counter_willOverflowIfInc = (clockDivider_counter_value == (3'b100)); + assign clockDivider_counter_willOverflow = (clockDivider_counter_willOverflowIfInc && clockDivider_counter_willIncrement); + always @ (*) begin + if(clockDivider_counter_willOverflow)begin + clockDivider_counter_valueNext = (3'b000); + end else begin + clockDivider_counter_valueNext = (clockDivider_counter_value + _zz_4_); + end + if(clockDivider_counter_willClear)begin + clockDivider_counter_valueNext = (3'b000); + end + end + + always @ (*) begin + stateMachine_txd = 1'b1; + case(stateMachine_state) + `UartCtrlTxState_defaultEncoding_IDLE : begin + end + `UartCtrlTxState_defaultEncoding_START : begin + stateMachine_txd = 1'b0; + end + `UartCtrlTxState_defaultEncoding_DATA : begin + stateMachine_txd = io_write_payload[tickCounter_value]; + end + `UartCtrlTxState_defaultEncoding_PARITY : begin + stateMachine_txd = stateMachine_parity; + end + default : begin + end + endcase + end + + always @ (*) begin + io_write_ready = io_break; + case(stateMachine_state) + `UartCtrlTxState_defaultEncoding_IDLE : begin + end + `UartCtrlTxState_defaultEncoding_START : begin + end + `UartCtrlTxState_defaultEncoding_DATA : begin + if(clockDivider_counter_willOverflow)begin + if(_zz_2_)begin + io_write_ready = 1'b1; + end + end + end + `UartCtrlTxState_defaultEncoding_PARITY : begin + end + default : begin + end + endcase + end + + assign io_txd = _zz_1_; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + clockDivider_counter_value <= (3'b000); + stateMachine_state <= `UartCtrlTxState_defaultEncoding_IDLE; + _zz_1_ <= 1'b1; + end else begin + clockDivider_counter_value <= clockDivider_counter_valueNext; + case(stateMachine_state) + `UartCtrlTxState_defaultEncoding_IDLE : begin + if(((io_write_valid && (! io_cts)) && clockDivider_counter_willOverflow))begin + stateMachine_state <= `UartCtrlTxState_defaultEncoding_START; + end + end + `UartCtrlTxState_defaultEncoding_START : begin + if(clockDivider_counter_willOverflow)begin + stateMachine_state <= `UartCtrlTxState_defaultEncoding_DATA; + end + end + `UartCtrlTxState_defaultEncoding_DATA : begin + if(clockDivider_counter_willOverflow)begin + if(_zz_2_)begin + if((io_configFrame_parity == `UartParityType_defaultEncoding_NONE))begin + stateMachine_state <= `UartCtrlTxState_defaultEncoding_STOP; + end else begin + stateMachine_state <= `UartCtrlTxState_defaultEncoding_PARITY; + end + end + end + end + `UartCtrlTxState_defaultEncoding_PARITY : begin + if(clockDivider_counter_willOverflow)begin + stateMachine_state <= `UartCtrlTxState_defaultEncoding_STOP; + end + end + default : begin + if(clockDivider_counter_willOverflow)begin + if((tickCounter_value == _zz_6_))begin + stateMachine_state <= (io_write_valid ? `UartCtrlTxState_defaultEncoding_START : `UartCtrlTxState_defaultEncoding_IDLE); + end + end + end + endcase + _zz_1_ <= (stateMachine_txd && (! io_break)); + end + end + + always @ (posedge mainClock) begin + if(clockDivider_counter_willOverflow)begin + tickCounter_value <= (tickCounter_value + (3'b001)); + end + if(clockDivider_counter_willOverflow)begin + stateMachine_parity <= (stateMachine_parity ^ stateMachine_txd); + end + case(stateMachine_state) + `UartCtrlTxState_defaultEncoding_IDLE : begin + end + `UartCtrlTxState_defaultEncoding_START : begin + if(clockDivider_counter_willOverflow)begin + stateMachine_parity <= (io_configFrame_parity == `UartParityType_defaultEncoding_ODD); + tickCounter_value <= (3'b000); + end + end + `UartCtrlTxState_defaultEncoding_DATA : begin + if(clockDivider_counter_willOverflow)begin + if(_zz_2_)begin + tickCounter_value <= (3'b000); + end + end + end + `UartCtrlTxState_defaultEncoding_PARITY : begin + if(clockDivider_counter_willOverflow)begin + tickCounter_value <= (3'b000); + end + end + default : begin + end + endcase + end + + +endmodule + +module UartCtrlRx ( + input [2:0] io_configFrame_dataLength, + input `UartStopType_defaultEncoding_type io_configFrame_stop, + input `UartParityType_defaultEncoding_type io_configFrame_parity, + input io_samplingTick, + output io_read_valid, + input io_read_ready, + output [7:0] io_read_payload, + input io_rxd, + output io_rts, + output reg io_error, + output io_break, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_2_; + wire io_rxd_buffercc_io_dataOut; + wire _zz_3_; + wire _zz_4_; + wire _zz_5_; + wire _zz_6_; + wire _zz_7_; + wire [0:0] _zz_8_; + wire [2:0] _zz_9_; + reg _zz_1_; + wire sampler_synchroniser; + wire sampler_samples_0; + reg sampler_samples_1; + reg sampler_samples_2; + reg sampler_value; + reg sampler_tick; + reg [2:0] bitTimer_counter; + reg bitTimer_tick; + reg [2:0] bitCounter_value; + reg [6:0] break_counter; + wire break_valid; + reg `UartCtrlRxState_defaultEncoding_type stateMachine_state; + reg stateMachine_parity; + reg [7:0] stateMachine_shifter; + reg stateMachine_validReg; + `ifndef SYNTHESIS + reg [23:0] io_configFrame_stop_string; + reg [31:0] io_configFrame_parity_string; + reg [47:0] stateMachine_state_string; + `endif + + + assign _zz_3_ = (stateMachine_parity == sampler_value); + assign _zz_4_ = (! sampler_value); + assign _zz_5_ = (bitTimer_counter == (3'b000)); + assign _zz_6_ = ((sampler_tick && (! sampler_value)) && (! break_valid)); + assign _zz_7_ = (bitCounter_value == io_configFrame_dataLength); + assign _zz_8_ = ((io_configFrame_stop == `UartStopType_defaultEncoding_ONE) ? (1'b0) : (1'b1)); + assign _zz_9_ = {2'd0, _zz_8_}; + BufferCC io_rxd_buffercc ( + .io_initial (_zz_2_ ), //i + .io_dataIn (io_rxd ), //i + .io_dataOut (io_rxd_buffercc_io_dataOut ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + `ifndef SYNTHESIS + always @(*) begin + case(io_configFrame_stop) + `UartStopType_defaultEncoding_ONE : io_configFrame_stop_string = "ONE"; + `UartStopType_defaultEncoding_TWO : io_configFrame_stop_string = "TWO"; + default : io_configFrame_stop_string = "???"; + endcase + end + always @(*) begin + case(io_configFrame_parity) + `UartParityType_defaultEncoding_NONE : io_configFrame_parity_string = "NONE"; + `UartParityType_defaultEncoding_EVEN : io_configFrame_parity_string = "EVEN"; + `UartParityType_defaultEncoding_ODD : io_configFrame_parity_string = "ODD "; + default : io_configFrame_parity_string = "????"; + endcase + end + always @(*) begin + case(stateMachine_state) + `UartCtrlRxState_defaultEncoding_IDLE : stateMachine_state_string = "IDLE "; + `UartCtrlRxState_defaultEncoding_START : stateMachine_state_string = "START "; + `UartCtrlRxState_defaultEncoding_DATA : stateMachine_state_string = "DATA "; + `UartCtrlRxState_defaultEncoding_PARITY : stateMachine_state_string = "PARITY"; + `UartCtrlRxState_defaultEncoding_STOP : stateMachine_state_string = "STOP "; + default : stateMachine_state_string = "??????"; + endcase + end + `endif + + always @ (*) begin + io_error = 1'b0; + case(stateMachine_state) + `UartCtrlRxState_defaultEncoding_IDLE : begin + end + `UartCtrlRxState_defaultEncoding_START : begin + end + `UartCtrlRxState_defaultEncoding_DATA : begin + end + `UartCtrlRxState_defaultEncoding_PARITY : begin + if(bitTimer_tick)begin + if(! _zz_3_) begin + io_error = 1'b1; + end + end + end + default : begin + if(bitTimer_tick)begin + if(_zz_4_)begin + io_error = 1'b1; + end + end + end + endcase + end + + assign io_rts = _zz_1_; + assign _zz_2_ = 1'b0; + assign sampler_synchroniser = io_rxd_buffercc_io_dataOut; + assign sampler_samples_0 = sampler_synchroniser; + always @ (*) begin + bitTimer_tick = 1'b0; + if(sampler_tick)begin + if(_zz_5_)begin + bitTimer_tick = 1'b1; + end + end + end + + assign break_valid = (break_counter == 7'h41); + assign io_break = break_valid; + assign io_read_valid = stateMachine_validReg; + assign io_read_payload = stateMachine_shifter; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + _zz_1_ <= 1'b0; + sampler_samples_1 <= 1'b1; + sampler_samples_2 <= 1'b1; + sampler_value <= 1'b1; + sampler_tick <= 1'b0; + break_counter <= 7'h0; + stateMachine_state <= `UartCtrlRxState_defaultEncoding_IDLE; + stateMachine_validReg <= 1'b0; + end else begin + _zz_1_ <= (! io_read_ready); + if(io_samplingTick)begin + sampler_samples_1 <= sampler_samples_0; + end + if(io_samplingTick)begin + sampler_samples_2 <= sampler_samples_1; + end + sampler_value <= (((1'b0 || ((1'b1 && sampler_samples_0) && sampler_samples_1)) || ((1'b1 && sampler_samples_0) && sampler_samples_2)) || ((1'b1 && sampler_samples_1) && sampler_samples_2)); + sampler_tick <= io_samplingTick; + if(sampler_value)begin + break_counter <= 7'h0; + end else begin + if((io_samplingTick && (! break_valid)))begin + break_counter <= (break_counter + 7'h01); + end + end + stateMachine_validReg <= 1'b0; + case(stateMachine_state) + `UartCtrlRxState_defaultEncoding_IDLE : begin + if(_zz_6_)begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_START; + end + end + `UartCtrlRxState_defaultEncoding_START : begin + if(bitTimer_tick)begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_DATA; + if((sampler_value == 1'b1))begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_IDLE; + end + end + end + `UartCtrlRxState_defaultEncoding_DATA : begin + if(bitTimer_tick)begin + if(_zz_7_)begin + if((io_configFrame_parity == `UartParityType_defaultEncoding_NONE))begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_STOP; + stateMachine_validReg <= 1'b1; + end else begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_PARITY; + end + end + end + end + `UartCtrlRxState_defaultEncoding_PARITY : begin + if(bitTimer_tick)begin + if(_zz_3_)begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_STOP; + stateMachine_validReg <= 1'b1; + end else begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_IDLE; + end + end + end + default : begin + if(bitTimer_tick)begin + if(_zz_4_)begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_IDLE; + end else begin + if((bitCounter_value == _zz_9_))begin + stateMachine_state <= `UartCtrlRxState_defaultEncoding_IDLE; + end + end + end + end + endcase + end + end + + always @ (posedge mainClock) begin + if(sampler_tick)begin + bitTimer_counter <= (bitTimer_counter - (3'b001)); + if(_zz_5_)begin + bitTimer_counter <= (3'b100); + end + end + if(bitTimer_tick)begin + bitCounter_value <= (bitCounter_value + (3'b001)); + end + if(bitTimer_tick)begin + stateMachine_parity <= (stateMachine_parity ^ sampler_value); + end + case(stateMachine_state) + `UartCtrlRxState_defaultEncoding_IDLE : begin + if(_zz_6_)begin + bitTimer_counter <= (3'b001); + end + end + `UartCtrlRxState_defaultEncoding_START : begin + if(bitTimer_tick)begin + bitCounter_value <= (3'b000); + stateMachine_parity <= (io_configFrame_parity == `UartParityType_defaultEncoding_ODD); + end + end + `UartCtrlRxState_defaultEncoding_DATA : begin + if(bitTimer_tick)begin + stateMachine_shifter[bitCounter_value] <= sampler_value; + if(_zz_7_)begin + bitCounter_value <= (3'b000); + end + end + end + `UartCtrlRxState_defaultEncoding_PARITY : begin + if(bitTimer_tick)begin + bitCounter_value <= (3'b000); + end + end + default : begin + end + endcase + end + + +endmodule + +module StreamFifoLowLatency ( + input io_push_valid, + output io_push_ready, + input io_push_payload_error, + input [31:0] io_push_payload_inst, + output reg io_pop_valid, + input io_pop_ready, + output reg io_pop_payload_error, + output reg [31:0] io_pop_payload_inst, + input io_flush, + output [0:0] io_occupancy, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_4_; + wire [0:0] _zz_5_; + reg _zz_1_; + reg pushPtr_willIncrement; + reg pushPtr_willClear; + wire pushPtr_willOverflowIfInc; + wire pushPtr_willOverflow; + reg popPtr_willIncrement; + reg popPtr_willClear; + wire popPtr_willOverflowIfInc; + wire popPtr_willOverflow; + wire ptrMatch; + reg risingOccupancy; + wire empty; + wire full; + wire pushing; + wire popping; + wire [32:0] _zz_2_; + reg [32:0] _zz_3_; + + assign _zz_4_ = (! empty); + assign _zz_5_ = _zz_2_[0 : 0]; + always @ (*) begin + _zz_1_ = 1'b0; + if(pushing)begin + _zz_1_ = 1'b1; + end + end + + always @ (*) begin + pushPtr_willIncrement = 1'b0; + if(pushing)begin + pushPtr_willIncrement = 1'b1; + end + end + + always @ (*) begin + pushPtr_willClear = 1'b0; + if(io_flush)begin + pushPtr_willClear = 1'b1; + end + end + + assign pushPtr_willOverflowIfInc = 1'b1; + assign pushPtr_willOverflow = (pushPtr_willOverflowIfInc && pushPtr_willIncrement); + always @ (*) begin + popPtr_willIncrement = 1'b0; + if(popping)begin + popPtr_willIncrement = 1'b1; + end + end + + always @ (*) begin + popPtr_willClear = 1'b0; + if(io_flush)begin + popPtr_willClear = 1'b1; + end + end + + assign popPtr_willOverflowIfInc = 1'b1; + assign popPtr_willOverflow = (popPtr_willOverflowIfInc && popPtr_willIncrement); + assign ptrMatch = 1'b1; + assign empty = (ptrMatch && (! risingOccupancy)); + assign full = (ptrMatch && risingOccupancy); + assign pushing = (io_push_valid && io_push_ready); + assign popping = (io_pop_valid && io_pop_ready); + assign io_push_ready = (! full); + always @ (*) begin + if(_zz_4_)begin + io_pop_valid = 1'b1; + end else begin + io_pop_valid = io_push_valid; + end + end + + assign _zz_2_ = _zz_3_; + always @ (*) begin + if(_zz_4_)begin + io_pop_payload_error = _zz_5_[0]; + end else begin + io_pop_payload_error = io_push_payload_error; + end + end + + always @ (*) begin + if(_zz_4_)begin + io_pop_payload_inst = _zz_2_[32 : 1]; + end else begin + io_pop_payload_inst = io_push_payload_inst; + end + end + + assign io_occupancy = (risingOccupancy && ptrMatch); + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + risingOccupancy <= 1'b0; + end else begin + if((pushing != popping))begin + risingOccupancy <= pushing; + end + if(io_flush)begin + risingOccupancy <= 1'b0; + end + end + end + + always @ (posedge mainClock) begin + if(_zz_1_)begin + _zz_3_ <= {io_push_payload_inst,io_push_payload_error}; + end + end + + +endmodule + +module FlowCCByToggle ( + input io_input_valid, + input io_input_payload_last, + input [0:0] io_input_payload_fragment, + output io_output_valid, + output io_output_payload_last, + output [0:0] io_output_payload_fragment, + input io_jtag_tck, + input mainClock, + input resetCtrl_mainClockReset +); + wire inputArea_target_buffercc_io_dataOut; + wire outHitSignal; + reg inputArea_target = 0; + reg inputArea_data_last; + reg [0:0] inputArea_data_fragment; + wire outputArea_target; + reg outputArea_hit; + wire outputArea_flow_valid; + wire outputArea_flow_payload_last; + wire [0:0] outputArea_flow_payload_fragment; + reg outputArea_flow_regNext_valid; + reg outputArea_flow_regNext_payload_last; + reg [0:0] outputArea_flow_regNext_payload_fragment; + + BufferCC_1_ inputArea_target_buffercc ( + .io_dataIn (inputArea_target ), //i + .io_dataOut (inputArea_target_buffercc_io_dataOut ), //o + .mainClock (mainClock ), //i + .resetCtrl_mainClockReset (resetCtrl_mainClockReset ) //i + ); + assign outputArea_target = inputArea_target_buffercc_io_dataOut; + assign outputArea_flow_valid = (outputArea_target != outputArea_hit); + assign outputArea_flow_payload_last = inputArea_data_last; + assign outputArea_flow_payload_fragment = inputArea_data_fragment; + assign io_output_valid = outputArea_flow_regNext_valid; + assign io_output_payload_last = outputArea_flow_regNext_payload_last; + assign io_output_payload_fragment = outputArea_flow_regNext_payload_fragment; + always @ (posedge io_jtag_tck) begin + if(io_input_valid)begin + inputArea_target <= (! inputArea_target); + inputArea_data_last <= io_input_payload_last; + inputArea_data_fragment <= io_input_payload_fragment; + end + end + + always @ (posedge mainClock) begin + outputArea_hit <= outputArea_target; + outputArea_flow_regNext_payload_last <= outputArea_flow_payload_last; + outputArea_flow_regNext_payload_fragment <= outputArea_flow_payload_fragment; + end + + always @ (posedge mainClock or posedge resetCtrl_mainClockReset) begin + if (resetCtrl_mainClockReset) begin + outputArea_flow_regNext_valid <= 1'b0; + end else begin + outputArea_flow_regNext_valid <= outputArea_flow_valid; + end + end + + +endmodule + +module UartCtrl ( + input [2:0] io_config_frame_dataLength, + input `UartStopType_defaultEncoding_type io_config_frame_stop, + input `UartParityType_defaultEncoding_type io_config_frame_parity, + input [19:0] io_config_clockDivider, + input io_write_valid, + output reg io_write_ready, + input [7:0] io_write_payload, + output io_read_valid, + input io_read_ready, + output [7:0] io_read_payload, + output io_uart_txd, + input io_uart_rxd, + output io_readError, + input io_writeBreak, + output io_readBreak, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_1_; + wire tx_io_write_ready; + wire tx_io_txd; + wire rx_io_read_valid; + wire [7:0] rx_io_read_payload; + wire rx_io_rts; + wire rx_io_error; + wire rx_io_break; + reg [19:0] clockDivider_counter; + wire clockDivider_tick; + reg io_write_thrown_valid; + wire io_write_thrown_ready; + wire [7:0] io_write_thrown_payload; + `ifndef SYNTHESIS + reg [23:0] io_config_frame_stop_string; + reg [31:0] io_config_frame_parity_string; + `endif + + + UartCtrlTx tx ( + .io_configFrame_dataLength (io_config_frame_dataLength[2:0] ), //i + .io_configFrame_stop (io_config_frame_stop ), //i + .io_configFrame_parity (io_config_frame_parity[1:0] ), //i + .io_samplingTick (clockDivider_tick ), //i + .io_write_valid (io_write_thrown_valid ), //i + .io_write_ready (tx_io_write_ready ), //o + .io_write_payload (io_write_thrown_payload[7:0] ), //i + .io_cts (_zz_1_ ), //i + .io_txd (tx_io_txd ), //o + .io_break (io_writeBreak ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + UartCtrlRx rx ( + .io_configFrame_dataLength (io_config_frame_dataLength[2:0] ), //i + .io_configFrame_stop (io_config_frame_stop ), //i + .io_configFrame_parity (io_config_frame_parity[1:0] ), //i + .io_samplingTick (clockDivider_tick ), //i + .io_read_valid (rx_io_read_valid ), //o + .io_read_ready (io_read_ready ), //i + .io_read_payload (rx_io_read_payload[7:0] ), //o + .io_rxd (io_uart_rxd ), //i + .io_rts (rx_io_rts ), //o + .io_error (rx_io_error ), //o + .io_break (rx_io_break ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + `ifndef SYNTHESIS + always @(*) begin + case(io_config_frame_stop) + `UartStopType_defaultEncoding_ONE : io_config_frame_stop_string = "ONE"; + `UartStopType_defaultEncoding_TWO : io_config_frame_stop_string = "TWO"; + default : io_config_frame_stop_string = "???"; + endcase + end + always @(*) begin + case(io_config_frame_parity) + `UartParityType_defaultEncoding_NONE : io_config_frame_parity_string = "NONE"; + `UartParityType_defaultEncoding_EVEN : io_config_frame_parity_string = "EVEN"; + `UartParityType_defaultEncoding_ODD : io_config_frame_parity_string = "ODD "; + default : io_config_frame_parity_string = "????"; + endcase + end + `endif + + assign clockDivider_tick = (clockDivider_counter == 20'h0); + always @ (*) begin + io_write_thrown_valid = io_write_valid; + if(rx_io_break)begin + io_write_thrown_valid = 1'b0; + end + end + + always @ (*) begin + io_write_ready = io_write_thrown_ready; + if(rx_io_break)begin + io_write_ready = 1'b1; + end + end + + assign io_write_thrown_payload = io_write_payload; + assign io_write_thrown_ready = tx_io_write_ready; + assign io_read_valid = rx_io_read_valid; + assign io_read_payload = rx_io_read_payload; + assign io_uart_txd = tx_io_txd; + assign io_readError = rx_io_error; + assign _zz_1_ = 1'b0; + assign io_readBreak = rx_io_break; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + clockDivider_counter <= 20'h0; + end else begin + clockDivider_counter <= (clockDivider_counter - 20'h00001); + if(clockDivider_tick)begin + clockDivider_counter <= io_config_clockDivider; + end + end + end + + +endmodule + +module StreamFifo ( + input io_push_valid, + output io_push_ready, + input [7:0] io_push_payload, + output io_pop_valid, + input io_pop_ready, + output [7:0] io_pop_payload, + input io_flush, + output [4:0] io_occupancy, + output [4:0] io_availability, + input mainClock, + input resetCtrl_systemClockReset +); + reg [7:0] _zz_3_; + wire [0:0] _zz_4_; + wire [3:0] _zz_5_; + wire [0:0] _zz_6_; + wire [3:0] _zz_7_; + wire [3:0] _zz_8_; + wire _zz_9_; + reg _zz_1_; + reg logic_pushPtr_willIncrement; + reg logic_pushPtr_willClear; + reg [3:0] logic_pushPtr_valueNext; + reg [3:0] logic_pushPtr_value; + wire logic_pushPtr_willOverflowIfInc; + wire logic_pushPtr_willOverflow; + reg logic_popPtr_willIncrement; + reg logic_popPtr_willClear; + reg [3:0] logic_popPtr_valueNext; + reg [3:0] logic_popPtr_value; + wire logic_popPtr_willOverflowIfInc; + wire logic_popPtr_willOverflow; + wire logic_ptrMatch; + reg logic_risingOccupancy; + wire logic_pushing; + wire logic_popping; + wire logic_empty; + wire logic_full; + reg _zz_2_; + wire [3:0] logic_ptrDif; + reg [7:0] logic_ram [0:15]; + + assign _zz_4_ = logic_pushPtr_willIncrement; + assign _zz_5_ = {3'd0, _zz_4_}; + assign _zz_6_ = logic_popPtr_willIncrement; + assign _zz_7_ = {3'd0, _zz_6_}; + assign _zz_8_ = (logic_popPtr_value - logic_pushPtr_value); + assign _zz_9_ = 1'b1; + always @ (posedge mainClock) begin + if(_zz_9_) begin + _zz_3_ <= logic_ram[logic_popPtr_valueNext]; + end + end + + always @ (posedge mainClock) begin + if(_zz_1_) begin + logic_ram[logic_pushPtr_value] <= io_push_payload; + end + end + + always @ (*) begin + _zz_1_ = 1'b0; + if(logic_pushing)begin + _zz_1_ = 1'b1; + end + end + + always @ (*) begin + logic_pushPtr_willIncrement = 1'b0; + if(logic_pushing)begin + logic_pushPtr_willIncrement = 1'b1; + end + end + + always @ (*) begin + logic_pushPtr_willClear = 1'b0; + if(io_flush)begin + logic_pushPtr_willClear = 1'b1; + end + end + + assign logic_pushPtr_willOverflowIfInc = (logic_pushPtr_value == (4'b1111)); + assign logic_pushPtr_willOverflow = (logic_pushPtr_willOverflowIfInc && logic_pushPtr_willIncrement); + always @ (*) begin + logic_pushPtr_valueNext = (logic_pushPtr_value + _zz_5_); + if(logic_pushPtr_willClear)begin + logic_pushPtr_valueNext = (4'b0000); + end + end + + always @ (*) begin + logic_popPtr_willIncrement = 1'b0; + if(logic_popping)begin + logic_popPtr_willIncrement = 1'b1; + end + end + + always @ (*) begin + logic_popPtr_willClear = 1'b0; + if(io_flush)begin + logic_popPtr_willClear = 1'b1; + end + end + + assign logic_popPtr_willOverflowIfInc = (logic_popPtr_value == (4'b1111)); + assign logic_popPtr_willOverflow = (logic_popPtr_willOverflowIfInc && logic_popPtr_willIncrement); + always @ (*) begin + logic_popPtr_valueNext = (logic_popPtr_value + _zz_7_); + if(logic_popPtr_willClear)begin + logic_popPtr_valueNext = (4'b0000); + end + end + + assign logic_ptrMatch = (logic_pushPtr_value == logic_popPtr_value); + assign logic_pushing = (io_push_valid && io_push_ready); + assign logic_popping = (io_pop_valid && io_pop_ready); + assign logic_empty = (logic_ptrMatch && (! logic_risingOccupancy)); + assign logic_full = (logic_ptrMatch && logic_risingOccupancy); + assign io_push_ready = (! logic_full); + assign io_pop_valid = ((! logic_empty) && (! (_zz_2_ && (! logic_full)))); + assign io_pop_payload = _zz_3_; + assign logic_ptrDif = (logic_pushPtr_value - logic_popPtr_value); + assign io_occupancy = {(logic_risingOccupancy && logic_ptrMatch),logic_ptrDif}; + assign io_availability = {((! logic_risingOccupancy) && logic_ptrMatch),_zz_8_}; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + logic_pushPtr_value <= (4'b0000); + logic_popPtr_value <= (4'b0000); + logic_risingOccupancy <= 1'b0; + _zz_2_ <= 1'b0; + end else begin + logic_pushPtr_value <= logic_pushPtr_valueNext; + logic_popPtr_value <= logic_popPtr_valueNext; + _zz_2_ <= (logic_popPtr_valueNext == logic_pushPtr_value); + if((logic_pushing != logic_popping))begin + logic_risingOccupancy <= logic_pushing; + end + if(io_flush)begin + logic_risingOccupancy <= 1'b0; + end + end + end + + +endmodule +//StreamFifo_1_ replaced by StreamFifo + +module Prescaler ( + input io_clear, + input [15:0] io_limit, + output io_overflow, + input mainClock, + input resetCtrl_systemClockReset +); + reg [15:0] counter; + + assign io_overflow = (counter == io_limit); + always @ (posedge mainClock) begin + counter <= (counter + 16'h0001); + if((io_clear || io_overflow))begin + counter <= 16'h0; + end + end + + +endmodule + +module Timer ( + input io_tick, + input io_clear, + input [15:0] io_limit, + output io_full, + output [15:0] io_value, + input mainClock, + input resetCtrl_systemClockReset +); + wire [0:0] _zz_1_; + wire [15:0] _zz_2_; + reg [15:0] counter; + wire limitHit; + reg inhibitFull; + + assign _zz_1_ = (! limitHit); + assign _zz_2_ = {15'd0, _zz_1_}; + assign limitHit = (counter == io_limit); + assign io_full = ((limitHit && io_tick) && (! inhibitFull)); + assign io_value = counter; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + inhibitFull <= 1'b0; + end else begin + if(io_tick)begin + inhibitFull <= limitHit; + end + if(io_clear)begin + inhibitFull <= 1'b0; + end + end + end + + always @ (posedge mainClock) begin + if(io_tick)begin + counter <= (counter + _zz_2_); + end + if(io_clear)begin + counter <= 16'h0; + end + end + + +endmodule +//Timer_1_ replaced by Timer + +module InterruptCtrl ( + input [1:0] io_inputs, + input [1:0] io_clears, + input [1:0] io_masks, + output [1:0] io_pendings, + input mainClock, + input resetCtrl_systemClockReset +); + reg [1:0] pendings; + + assign io_pendings = (pendings & io_masks); + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + pendings <= (2'b00); + end else begin + pendings <= ((pendings & (~ io_clears)) | io_inputs); + end + end + + +endmodule + +module StreamArbiter ( + input io_inputs_0_valid, + output io_inputs_0_ready, + input io_inputs_0_payload_write, + input [15:0] io_inputs_0_payload_address, + input [31:0] io_inputs_0_payload_data, + input [3:0] io_inputs_0_payload_mask, + input io_inputs_1_valid, + output io_inputs_1_ready, + input io_inputs_1_payload_write, + input [15:0] io_inputs_1_payload_address, + input [31:0] io_inputs_1_payload_data, + input [3:0] io_inputs_1_payload_mask, + output io_output_valid, + input io_output_ready, + output io_output_payload_write, + output [15:0] io_output_payload_address, + output [31:0] io_output_payload_data, + output [3:0] io_output_payload_mask, + output [0:0] io_chosen, + output [1:0] io_chosenOH, + input mainClock, + input resetCtrl_systemClockReset +); + wire [1:0] _zz_3_; + wire [1:0] _zz_4_; + reg locked; + wire maskProposal_0; + wire maskProposal_1; + reg maskLocked_0; + reg maskLocked_1; + wire maskRouted_0; + wire maskRouted_1; + wire [1:0] _zz_1_; + wire _zz_2_; + + assign _zz_3_ = (_zz_1_ & (~ _zz_4_)); + assign _zz_4_ = (_zz_1_ - (2'b01)); + assign maskRouted_0 = (locked ? maskLocked_0 : maskProposal_0); + assign maskRouted_1 = (locked ? maskLocked_1 : maskProposal_1); + assign _zz_1_ = {io_inputs_1_valid,io_inputs_0_valid}; + assign maskProposal_0 = io_inputs_0_valid; + assign maskProposal_1 = _zz_3_[1]; + assign io_output_valid = ((io_inputs_0_valid && maskRouted_0) || (io_inputs_1_valid && maskRouted_1)); + assign io_output_payload_write = (maskRouted_0 ? io_inputs_0_payload_write : io_inputs_1_payload_write); + assign io_output_payload_address = (maskRouted_0 ? io_inputs_0_payload_address : io_inputs_1_payload_address); + assign io_output_payload_data = (maskRouted_0 ? io_inputs_0_payload_data : io_inputs_1_payload_data); + assign io_output_payload_mask = (maskRouted_0 ? io_inputs_0_payload_mask : io_inputs_1_payload_mask); + assign io_inputs_0_ready = (maskRouted_0 && io_output_ready); + assign io_inputs_1_ready = (maskRouted_1 && io_output_ready); + assign io_chosenOH = {maskRouted_1,maskRouted_0}; + assign _zz_2_ = io_chosenOH[1]; + assign io_chosen = _zz_2_; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + locked <= 1'b0; + end else begin + if(io_output_valid)begin + locked <= 1'b1; + end + if((io_output_valid && io_output_ready))begin + locked <= 1'b0; + end + end + end + + always @ (posedge mainClock) begin + if(io_output_valid)begin + maskLocked_0 <= maskRouted_0; + maskLocked_1 <= maskRouted_1; + end + end + + +endmodule + +module StreamFork ( + input io_input_valid, + output reg io_input_ready, + input io_input_payload_write, + input [15:0] io_input_payload_address, + input [31:0] io_input_payload_data, + input [3:0] io_input_payload_mask, + output io_outputs_0_valid, + input io_outputs_0_ready, + output io_outputs_0_payload_write, + output [15:0] io_outputs_0_payload_address, + output [31:0] io_outputs_0_payload_data, + output [3:0] io_outputs_0_payload_mask, + output io_outputs_1_valid, + input io_outputs_1_ready, + output io_outputs_1_payload_write, + output [15:0] io_outputs_1_payload_address, + output [31:0] io_outputs_1_payload_data, + output [3:0] io_outputs_1_payload_mask, + input mainClock, + input resetCtrl_systemClockReset +); + reg _zz_1_; + reg _zz_2_; + + always @ (*) begin + io_input_ready = 1'b1; + if(((! io_outputs_0_ready) && _zz_1_))begin + io_input_ready = 1'b0; + end + if(((! io_outputs_1_ready) && _zz_2_))begin + io_input_ready = 1'b0; + end + end + + assign io_outputs_0_valid = (io_input_valid && _zz_1_); + assign io_outputs_0_payload_write = io_input_payload_write; + assign io_outputs_0_payload_address = io_input_payload_address; + assign io_outputs_0_payload_data = io_input_payload_data; + assign io_outputs_0_payload_mask = io_input_payload_mask; + assign io_outputs_1_valid = (io_input_valid && _zz_2_); + assign io_outputs_1_payload_write = io_input_payload_write; + assign io_outputs_1_payload_address = io_input_payload_address; + assign io_outputs_1_payload_data = io_input_payload_data; + assign io_outputs_1_payload_mask = io_input_payload_mask; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + _zz_1_ <= 1'b1; + _zz_2_ <= 1'b1; + end else begin + if((io_outputs_0_valid && io_outputs_0_ready))begin + _zz_1_ <= 1'b0; + end + if((io_outputs_1_valid && io_outputs_1_ready))begin + _zz_2_ <= 1'b0; + end + if(io_input_ready)begin + _zz_1_ <= 1'b1; + _zz_2_ <= 1'b1; + end + end + end + + +endmodule + +module StreamFifoLowLatency_1_ ( + input io_push_valid, + output io_push_ready, + input [1:0] io_push_payload, + output io_pop_valid, + input io_pop_ready, + output [1:0] io_pop_payload, + input io_flush, + output reg [2:0] io_occupancy, + input mainClock, + input resetCtrl_systemClockReset +); + wire [1:0] _zz_2_; + wire [0:0] _zz_3_; + wire [2:0] _zz_4_; + wire [0:0] _zz_5_; + wire [2:0] _zz_6_; + wire [2:0] _zz_7_; + reg _zz_1_; + reg pushPtr_willIncrement; + reg pushPtr_willClear; + reg [2:0] pushPtr_valueNext; + reg [2:0] pushPtr_value; + wire pushPtr_willOverflowIfInc; + wire pushPtr_willOverflow; + reg popPtr_willIncrement; + reg popPtr_willClear; + reg [2:0] popPtr_valueNext; + reg [2:0] popPtr_value; + wire popPtr_willOverflowIfInc; + wire popPtr_willOverflow; + wire ptrMatch; + reg risingOccupancy; + wire empty; + wire full; + wire pushing; + wire popping; + wire [2:0] ptrDif; + reg [1:0] ram [0:6]; + + assign _zz_3_ = pushPtr_willIncrement; + assign _zz_4_ = {2'd0, _zz_3_}; + assign _zz_5_ = popPtr_willIncrement; + assign _zz_6_ = {2'd0, _zz_5_}; + assign _zz_7_ = ((3'b111) + ptrDif); + assign _zz_2_ = ram[popPtr_value]; + always @ (posedge mainClock) begin + if(_zz_1_) begin + ram[pushPtr_value] <= io_push_payload; + end + end + + always @ (*) begin + _zz_1_ = 1'b0; + if(pushing)begin + _zz_1_ = 1'b1; + end + end + + always @ (*) begin + pushPtr_willIncrement = 1'b0; + if(pushing)begin + pushPtr_willIncrement = 1'b1; + end + end + + always @ (*) begin + pushPtr_willClear = 1'b0; + if(io_flush)begin + pushPtr_willClear = 1'b1; + end + end + + assign pushPtr_willOverflowIfInc = (pushPtr_value == (3'b110)); + assign pushPtr_willOverflow = (pushPtr_willOverflowIfInc && pushPtr_willIncrement); + always @ (*) begin + if(pushPtr_willOverflow)begin + pushPtr_valueNext = (3'b000); + end else begin + pushPtr_valueNext = (pushPtr_value + _zz_4_); + end + if(pushPtr_willClear)begin + pushPtr_valueNext = (3'b000); + end + end + + always @ (*) begin + popPtr_willIncrement = 1'b0; + if(popping)begin + popPtr_willIncrement = 1'b1; + end + end + + always @ (*) begin + popPtr_willClear = 1'b0; + if(io_flush)begin + popPtr_willClear = 1'b1; + end + end + + assign popPtr_willOverflowIfInc = (popPtr_value == (3'b110)); + assign popPtr_willOverflow = (popPtr_willOverflowIfInc && popPtr_willIncrement); + always @ (*) begin + if(popPtr_willOverflow)begin + popPtr_valueNext = (3'b000); + end else begin + popPtr_valueNext = (popPtr_value + _zz_6_); + end + if(popPtr_willClear)begin + popPtr_valueNext = (3'b000); + end + end + + assign ptrMatch = (pushPtr_value == popPtr_value); + assign empty = (ptrMatch && (! risingOccupancy)); + assign full = (ptrMatch && risingOccupancy); + assign pushing = (io_push_valid && io_push_ready); + assign popping = (io_pop_valid && io_pop_ready); + assign io_push_ready = (! full); + assign io_pop_valid = (! empty); + assign io_pop_payload = _zz_2_; + assign ptrDif = (pushPtr_value - popPtr_value); + always @ (*) begin + if(ptrMatch)begin + io_occupancy = (risingOccupancy ? (3'b111) : (3'b000)); + end else begin + io_occupancy = ((popPtr_value < pushPtr_value) ? ptrDif : _zz_7_); + end + end + + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + pushPtr_value <= (3'b000); + popPtr_value <= (3'b000); + risingOccupancy <= 1'b0; + end else begin + pushPtr_value <= pushPtr_valueNext; + popPtr_value <= popPtr_valueNext; + if((pushing != popping))begin + risingOccupancy <= pushing; + end + if(io_flush)begin + risingOccupancy <= 1'b0; + end + end + end + + +endmodule +//StreamArbiter_1_ replaced by StreamArbiter +//StreamFork_1_ replaced by StreamFork +//StreamFifoLowLatency_2_ replaced by StreamFifoLowLatency_1_ + +module BufferCC_2_ ( + input io_dataIn, + output io_dataOut, + input mainClock +); + reg buffers_0; + reg buffers_1; + + assign io_dataOut = buffers_1; + always @ (posedge mainClock) begin + buffers_0 <= io_dataIn; + buffers_1 <= buffers_0; + end + + +endmodule + +module VexRiscv ( + output iBus_cmd_valid, + input iBus_cmd_ready, + output [31:0] iBus_cmd_payload_pc, + input iBus_rsp_valid, + input iBus_rsp_payload_error, + input [31:0] iBus_rsp_payload_inst, + input timerInterrupt, + input externalInterrupt, + input softwareInterrupt, + input debug_bus_cmd_valid, + output reg debug_bus_cmd_ready, + input debug_bus_cmd_payload_wr, + input [7:0] debug_bus_cmd_payload_address, + input [31:0] debug_bus_cmd_payload_data, + output reg [31:0] debug_bus_rsp_data, + output debug_resetOut, + output dBus_cmd_valid, + input dBus_cmd_ready, + output dBus_cmd_payload_wr, + output [31:0] dBus_cmd_payload_address, + output [31:0] dBus_cmd_payload_data, + output [1:0] dBus_cmd_payload_size, + input dBus_rsp_ready, + input dBus_rsp_error, + input [31:0] dBus_rsp_data, + input mainClock, + input resetCtrl_systemClockReset, + input resetCtrl_mainClockReset +); + wire _zz_135_; + wire _zz_136_; + reg [31:0] _zz_137_; + reg [31:0] _zz_138_; + wire IBusSimplePlugin_rspJoin_rspBuffer_c_io_push_ready; + wire IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid; + wire IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_error; + wire [31:0] IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_inst; + wire [0:0] IBusSimplePlugin_rspJoin_rspBuffer_c_io_occupancy; + wire _zz_139_; + wire _zz_140_; + wire _zz_141_; + wire _zz_142_; + wire _zz_143_; + wire _zz_144_; + wire _zz_145_; + wire _zz_146_; + wire _zz_147_; + wire _zz_148_; + wire _zz_149_; + wire [1:0] _zz_150_; + wire _zz_151_; + wire _zz_152_; + wire _zz_153_; + wire _zz_154_; + wire _zz_155_; + wire _zz_156_; + wire [1:0] _zz_157_; + wire _zz_158_; + wire _zz_159_; + wire [5:0] _zz_160_; + wire _zz_161_; + wire _zz_162_; + wire _zz_163_; + wire _zz_164_; + wire [1:0] _zz_165_; + wire _zz_166_; + wire [1:0] _zz_167_; + wire [0:0] _zz_168_; + wire [0:0] _zz_169_; + wire [0:0] _zz_170_; + wire [0:0] _zz_171_; + wire [0:0] _zz_172_; + wire [32:0] _zz_173_; + wire [31:0] _zz_174_; + wire [32:0] _zz_175_; + wire [0:0] _zz_176_; + wire [0:0] _zz_177_; + wire [47:0] _zz_178_; + wire [47:0] _zz_179_; + wire [0:0] _zz_180_; + wire [0:0] _zz_181_; + wire [30:0] _zz_182_; + wire [30:0] _zz_183_; + wire [30:0] _zz_184_; + wire [0:0] _zz_185_; + wire [0:0] _zz_186_; + wire [0:0] _zz_187_; + wire [0:0] _zz_188_; + wire [0:0] _zz_189_; + wire [0:0] _zz_190_; + wire [0:0] _zz_191_; + wire [1:0] _zz_192_; + wire [1:0] _zz_193_; + wire [2:0] _zz_194_; + wire [31:0] _zz_195_; + wire [2:0] _zz_196_; + wire [0:0] _zz_197_; + wire [2:0] _zz_198_; + wire [0:0] _zz_199_; + wire [2:0] _zz_200_; + wire [0:0] _zz_201_; + wire [2:0] _zz_202_; + wire [0:0] _zz_203_; + wire [2:0] _zz_204_; + wire [4:0] _zz_205_; + wire [11:0] _zz_206_; + wire [11:0] _zz_207_; + wire [31:0] _zz_208_; + wire [31:0] _zz_209_; + wire [31:0] _zz_210_; + wire [31:0] _zz_211_; + wire [31:0] _zz_212_; + wire [31:0] _zz_213_; + wire [31:0] _zz_214_; + wire [19:0] _zz_215_; + wire [11:0] _zz_216_; + wire [11:0] _zz_217_; + wire [32:0] _zz_218_; + wire [31:0] _zz_219_; + wire [31:0] _zz_220_; + wire [31:0] _zz_221_; + wire [0:0] _zz_222_; + wire [5:0] _zz_223_; + wire [32:0] _zz_224_; + wire [31:0] _zz_225_; + wire [31:0] _zz_226_; + wire [32:0] _zz_227_; + wire [32:0] _zz_228_; + wire [32:0] _zz_229_; + wire [32:0] _zz_230_; + wire [0:0] _zz_231_; + wire [32:0] _zz_232_; + wire [0:0] _zz_233_; + wire [32:0] _zz_234_; + wire [0:0] _zz_235_; + wire [31:0] _zz_236_; + wire [0:0] _zz_237_; + wire [0:0] _zz_238_; + wire [0:0] _zz_239_; + wire [0:0] _zz_240_; + wire [0:0] _zz_241_; + wire [0:0] _zz_242_; + wire [0:0] _zz_243_; + wire [0:0] _zz_244_; + wire [0:0] _zz_245_; + wire _zz_246_; + wire _zz_247_; + wire [31:0] _zz_248_; + wire _zz_249_; + wire [0:0] _zz_250_; + wire [1:0] _zz_251_; + wire _zz_252_; + wire [0:0] _zz_253_; + wire [0:0] _zz_254_; + wire _zz_255_; + wire [0:0] _zz_256_; + wire [23:0] _zz_257_; + wire [31:0] _zz_258_; + wire [31:0] _zz_259_; + wire [31:0] _zz_260_; + wire _zz_261_; + wire _zz_262_; + wire [1:0] _zz_263_; + wire [1:0] _zz_264_; + wire _zz_265_; + wire [0:0] _zz_266_; + wire [20:0] _zz_267_; + wire [31:0] _zz_268_; + wire [31:0] _zz_269_; + wire [31:0] _zz_270_; + wire [31:0] _zz_271_; + wire [0:0] _zz_272_; + wire [0:0] _zz_273_; + wire [0:0] _zz_274_; + wire [0:0] _zz_275_; + wire _zz_276_; + wire [0:0] _zz_277_; + wire [17:0] _zz_278_; + wire [31:0] _zz_279_; + wire [31:0] _zz_280_; + wire [31:0] _zz_281_; + wire [0:0] _zz_282_; + wire [0:0] _zz_283_; + wire [2:0] _zz_284_; + wire [2:0] _zz_285_; + wire _zz_286_; + wire [0:0] _zz_287_; + wire [14:0] _zz_288_; + wire [31:0] _zz_289_; + wire [31:0] _zz_290_; + wire [31:0] _zz_291_; + wire [31:0] _zz_292_; + wire _zz_293_; + wire _zz_294_; + wire _zz_295_; + wire [0:0] _zz_296_; + wire [0:0] _zz_297_; + wire [0:0] _zz_298_; + wire [3:0] _zz_299_; + wire [0:0] _zz_300_; + wire [0:0] _zz_301_; + wire _zz_302_; + wire [0:0] _zz_303_; + wire [11:0] _zz_304_; + wire [31:0] _zz_305_; + wire [31:0] _zz_306_; + wire [31:0] _zz_307_; + wire [31:0] _zz_308_; + wire [31:0] _zz_309_; + wire [31:0] _zz_310_; + wire [31:0] _zz_311_; + wire _zz_312_; + wire [0:0] _zz_313_; + wire [1:0] _zz_314_; + wire [31:0] _zz_315_; + wire [31:0] _zz_316_; + wire _zz_317_; + wire [0:0] _zz_318_; + wire [0:0] _zz_319_; + wire _zz_320_; + wire [0:0] _zz_321_; + wire [9:0] _zz_322_; + wire [31:0] _zz_323_; + wire [31:0] _zz_324_; + wire [31:0] _zz_325_; + wire [31:0] _zz_326_; + wire [31:0] _zz_327_; + wire [31:0] _zz_328_; + wire _zz_329_; + wire [0:0] _zz_330_; + wire [2:0] _zz_331_; + wire [0:0] _zz_332_; + wire [0:0] _zz_333_; + wire [5:0] _zz_334_; + wire [5:0] _zz_335_; + wire _zz_336_; + wire [0:0] _zz_337_; + wire [6:0] _zz_338_; + wire [31:0] _zz_339_; + wire _zz_340_; + wire [0:0] _zz_341_; + wire [0:0] _zz_342_; + wire [31:0] _zz_343_; + wire [31:0] _zz_344_; + wire [31:0] _zz_345_; + wire [31:0] _zz_346_; + wire [0:0] _zz_347_; + wire [3:0] _zz_348_; + wire _zz_349_; + wire [1:0] _zz_350_; + wire [1:0] _zz_351_; + wire _zz_352_; + wire [0:0] _zz_353_; + wire [4:0] _zz_354_; + wire [31:0] _zz_355_; + wire [31:0] _zz_356_; + wire [31:0] _zz_357_; + wire [31:0] _zz_358_; + wire [31:0] _zz_359_; + wire [31:0] _zz_360_; + wire [31:0] _zz_361_; + wire _zz_362_; + wire [0:0] _zz_363_; + wire [1:0] _zz_364_; + wire [31:0] _zz_365_; + wire _zz_366_; + wire [0:0] _zz_367_; + wire [0:0] _zz_368_; + wire [1:0] _zz_369_; + wire [1:0] _zz_370_; + wire _zz_371_; + wire [0:0] _zz_372_; + wire [2:0] _zz_373_; + wire [31:0] _zz_374_; + wire [31:0] _zz_375_; + wire [31:0] _zz_376_; + wire _zz_377_; + wire _zz_378_; + wire [31:0] _zz_379_; + wire [31:0] _zz_380_; + wire [31:0] _zz_381_; + wire _zz_382_; + wire [0:0] _zz_383_; + wire [0:0] _zz_384_; + wire [1:0] _zz_385_; + wire [1:0] _zz_386_; + wire _zz_387_; + wire [0:0] _zz_388_; + wire [0:0] _zz_389_; + wire [31:0] _zz_390_; + wire [31:0] _zz_391_; + wire [31:0] _zz_392_; + wire [31:0] _zz_393_; + wire [31:0] _zz_394_; + wire [31:0] _zz_395_; + wire [31:0] _zz_396_; + wire _zz_397_; + wire _zz_398_; + wire decode_MEMORY_ENABLE; + wire execute_BRANCH_DO; + wire decode_IS_RS2_SIGNED; + wire [31:0] execute_MUL_HH; + wire [31:0] writeBack_FORMAL_PC_NEXT; + wire [31:0] memory_FORMAL_PC_NEXT; + wire [31:0] execute_FORMAL_PC_NEXT; + wire [31:0] decode_FORMAL_PC_NEXT; + wire `AluCtrlEnum_defaultEncoding_type decode_ALU_CTRL; + wire `AluCtrlEnum_defaultEncoding_type _zz_1_; + wire `AluCtrlEnum_defaultEncoding_type _zz_2_; + wire `AluCtrlEnum_defaultEncoding_type _zz_3_; + wire decode_IS_CSR; + wire `AluBitwiseCtrlEnum_defaultEncoding_type decode_ALU_BITWISE_CTRL; + wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_4_; + wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_5_; + wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_6_; + wire decode_SRC2_FORCE_ZERO; + wire decode_IS_DIV; + wire [31:0] execute_MUL_LH; + wire decode_MEMORY_STORE; + wire [31:0] execute_MUL_HL; + wire [31:0] execute_BRANCH_CALC; + wire decode_CSR_WRITE_OPCODE; + wire [31:0] execute_SHIFT_RIGHT; + wire [31:0] memory_PC; + wire decode_IS_RS1_SIGNED; + wire decode_BYPASSABLE_EXECUTE_STAGE; + wire [63:0] memory_MUL; + wire [31:0] memory_SRC2; + wire [31:0] decode_SRC2; + wire memory_IS_MUL; + wire execute_IS_MUL; + wire decode_IS_MUL; + wire execute_BYPASSABLE_MEMORY_STAGE; + wire decode_BYPASSABLE_MEMORY_STAGE; + wire `BranchCtrlEnum_defaultEncoding_type decode_BRANCH_CTRL; + wire `BranchCtrlEnum_defaultEncoding_type _zz_7_; + wire `BranchCtrlEnum_defaultEncoding_type _zz_8_; + wire `BranchCtrlEnum_defaultEncoding_type _zz_9_; + wire decode_DO_EBREAK; + wire [31:0] memory_SRC1; + wire [31:0] decode_SRC1; + wire decode_SRC_LESS_UNSIGNED; + wire [1:0] memory_MEMORY_ADDRESS_LOW; + wire [1:0] execute_MEMORY_ADDRESS_LOW; + wire decode_CSR_READ_OPCODE; + wire [31:0] memory_MEMORY_READ_DATA; + wire [31:0] writeBack_REGFILE_WRITE_DATA; + wire [31:0] memory_REGFILE_WRITE_DATA; + wire [31:0] execute_REGFILE_WRITE_DATA; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_10_; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_11_; + wire `ShiftCtrlEnum_defaultEncoding_type decode_SHIFT_CTRL; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_12_; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_13_; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_14_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_15_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_16_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_17_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_18_; + wire `EnvCtrlEnum_defaultEncoding_type decode_ENV_CTRL; + wire `EnvCtrlEnum_defaultEncoding_type _zz_19_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_20_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_21_; + wire [31:0] execute_MUL_LL; + wire execute_DO_EBREAK; + wire decode_IS_EBREAK; + wire execute_IS_RS1_SIGNED; + wire execute_IS_DIV; + wire execute_IS_RS2_SIGNED; + wire memory_IS_DIV; + wire [63:0] writeBack_MUL; + wire writeBack_IS_MUL; + wire [31:0] writeBack_SRC2; + wire [31:0] writeBack_SRC1; + wire [31:0] memory_MUL_HH; + wire [31:0] memory_MUL_HL; + wire [31:0] memory_MUL_LH; + wire [31:0] memory_MUL_LL; + wire [31:0] memory_BRANCH_CALC; + wire memory_BRANCH_DO; + wire [31:0] execute_PC; + wire [31:0] execute_RS1; + wire `BranchCtrlEnum_defaultEncoding_type execute_BRANCH_CTRL; + wire `BranchCtrlEnum_defaultEncoding_type _zz_22_; + wire decode_RS2_USE; + wire decode_RS1_USE; + wire execute_REGFILE_WRITE_VALID; + wire execute_BYPASSABLE_EXECUTE_STAGE; + wire memory_REGFILE_WRITE_VALID; + wire [31:0] memory_INSTRUCTION; + wire memory_BYPASSABLE_MEMORY_STAGE; + wire writeBack_REGFILE_WRITE_VALID; + reg [31:0] decode_RS2; + reg [31:0] decode_RS1; + wire [31:0] memory_SHIFT_RIGHT; + reg [31:0] _zz_23_; + wire `ShiftCtrlEnum_defaultEncoding_type memory_SHIFT_CTRL; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_24_; + wire `ShiftCtrlEnum_defaultEncoding_type execute_SHIFT_CTRL; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_25_; + wire execute_SRC_LESS_UNSIGNED; + wire execute_SRC2_FORCE_ZERO; + wire execute_SRC_USE_SUB_LESS; + wire [31:0] _zz_26_; + wire [31:0] _zz_27_; + wire `Src2CtrlEnum_defaultEncoding_type decode_SRC2_CTRL; + wire `Src2CtrlEnum_defaultEncoding_type _zz_28_; + wire [31:0] _zz_29_; + wire `Src1CtrlEnum_defaultEncoding_type decode_SRC1_CTRL; + wire `Src1CtrlEnum_defaultEncoding_type _zz_30_; + wire decode_SRC_USE_SUB_LESS; + wire decode_SRC_ADD_ZERO; + wire [31:0] execute_SRC_ADD_SUB; + wire execute_SRC_LESS; + wire `AluCtrlEnum_defaultEncoding_type execute_ALU_CTRL; + wire `AluCtrlEnum_defaultEncoding_type _zz_31_; + wire [31:0] execute_SRC2; + wire `AluBitwiseCtrlEnum_defaultEncoding_type execute_ALU_BITWISE_CTRL; + wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_32_; + wire [31:0] _zz_33_; + wire _zz_34_; + reg _zz_35_; + wire [31:0] decode_INSTRUCTION_ANTICIPATED; + reg decode_REGFILE_WRITE_VALID; + wire `AluCtrlEnum_defaultEncoding_type _zz_36_; + wire `BranchCtrlEnum_defaultEncoding_type _zz_37_; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_38_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_39_; + wire `Src2CtrlEnum_defaultEncoding_type _zz_40_; + wire `Src1CtrlEnum_defaultEncoding_type _zz_41_; + wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_42_; + reg [31:0] _zz_43_; + wire [31:0] execute_SRC1; + wire execute_CSR_READ_OPCODE; + wire execute_CSR_WRITE_OPCODE; + wire execute_IS_CSR; + wire `EnvCtrlEnum_defaultEncoding_type memory_ENV_CTRL; + wire `EnvCtrlEnum_defaultEncoding_type _zz_44_; + wire `EnvCtrlEnum_defaultEncoding_type execute_ENV_CTRL; + wire `EnvCtrlEnum_defaultEncoding_type _zz_45_; + wire `EnvCtrlEnum_defaultEncoding_type writeBack_ENV_CTRL; + wire `EnvCtrlEnum_defaultEncoding_type _zz_46_; + wire writeBack_MEMORY_STORE; + reg [31:0] _zz_47_; + wire writeBack_MEMORY_ENABLE; + wire [1:0] writeBack_MEMORY_ADDRESS_LOW; + wire [31:0] writeBack_MEMORY_READ_DATA; + wire memory_MEMORY_STORE; + wire memory_MEMORY_ENABLE; + wire [31:0] execute_SRC_ADD; + wire [31:0] execute_RS2; + wire [31:0] execute_INSTRUCTION; + wire execute_MEMORY_STORE; + wire execute_MEMORY_ENABLE; + wire execute_ALIGNEMENT_FAULT; + reg [31:0] _zz_48_; + wire [31:0] decode_PC; + wire [31:0] decode_INSTRUCTION; + wire [31:0] writeBack_PC; + wire [31:0] writeBack_INSTRUCTION; + reg decode_arbitration_haltItself; + reg decode_arbitration_haltByOther; + reg decode_arbitration_removeIt; + wire decode_arbitration_flushIt; + wire decode_arbitration_flushNext; + reg decode_arbitration_isValid; + wire decode_arbitration_isStuck; + wire decode_arbitration_isStuckByOthers; + wire decode_arbitration_isFlushed; + wire decode_arbitration_isMoving; + wire decode_arbitration_isFiring; + reg execute_arbitration_haltItself; + reg execute_arbitration_haltByOther; + reg execute_arbitration_removeIt; + reg execute_arbitration_flushIt; + reg execute_arbitration_flushNext; + reg execute_arbitration_isValid; + wire execute_arbitration_isStuck; + wire execute_arbitration_isStuckByOthers; + wire execute_arbitration_isFlushed; + wire execute_arbitration_isMoving; + wire execute_arbitration_isFiring; + reg memory_arbitration_haltItself; + wire memory_arbitration_haltByOther; + reg memory_arbitration_removeIt; + wire memory_arbitration_flushIt; + reg memory_arbitration_flushNext; + reg memory_arbitration_isValid; + wire memory_arbitration_isStuck; + wire memory_arbitration_isStuckByOthers; + wire memory_arbitration_isFlushed; + wire memory_arbitration_isMoving; + wire memory_arbitration_isFiring; + wire writeBack_arbitration_haltItself; + wire writeBack_arbitration_haltByOther; + reg writeBack_arbitration_removeIt; + wire writeBack_arbitration_flushIt; + reg writeBack_arbitration_flushNext; + reg writeBack_arbitration_isValid; + wire writeBack_arbitration_isStuck; + wire writeBack_arbitration_isStuckByOthers; + wire writeBack_arbitration_isFlushed; + wire writeBack_arbitration_isMoving; + wire writeBack_arbitration_isFiring; + wire [31:0] lastStageInstruction /* verilator public */ ; + wire [31:0] lastStagePc /* verilator public */ ; + wire lastStageIsValid /* verilator public */ ; + wire lastStageIsFiring /* verilator public */ ; + reg IBusSimplePlugin_fetcherHalt; + reg IBusSimplePlugin_incomingInstruction; + wire IBusSimplePlugin_pcValids_0; + wire IBusSimplePlugin_pcValids_1; + wire IBusSimplePlugin_pcValids_2; + wire IBusSimplePlugin_pcValids_3; + wire CsrPlugin_inWfi /* verilator public */ ; + reg CsrPlugin_thirdPartyWake; + reg CsrPlugin_jumpInterface_valid; + reg [31:0] CsrPlugin_jumpInterface_payload; + wire CsrPlugin_exceptionPendings_0; + wire CsrPlugin_exceptionPendings_1; + wire CsrPlugin_exceptionPendings_2; + wire CsrPlugin_exceptionPendings_3; + wire contextSwitching; + reg [1:0] CsrPlugin_privilege; + reg CsrPlugin_forceMachineWire; + reg CsrPlugin_allowInterrupts; + reg CsrPlugin_allowException; + wire BranchPlugin_jumpInterface_valid; + wire [31:0] BranchPlugin_jumpInterface_payload; + reg IBusSimplePlugin_injectionPort_valid; + reg IBusSimplePlugin_injectionPort_ready; + wire [31:0] IBusSimplePlugin_injectionPort_payload; + wire IBusSimplePlugin_externalFlush; + wire IBusSimplePlugin_jump_pcLoad_valid; + wire [31:0] IBusSimplePlugin_jump_pcLoad_payload; + wire [1:0] _zz_49_; + wire IBusSimplePlugin_fetchPc_output_valid; + wire IBusSimplePlugin_fetchPc_output_ready; + wire [31:0] IBusSimplePlugin_fetchPc_output_payload; + reg [31:0] IBusSimplePlugin_fetchPc_pcReg /* verilator public */ ; + reg IBusSimplePlugin_fetchPc_correction; + reg IBusSimplePlugin_fetchPc_correctionReg; + wire IBusSimplePlugin_fetchPc_corrected; + reg IBusSimplePlugin_fetchPc_pcRegPropagate; + reg IBusSimplePlugin_fetchPc_booted; + reg IBusSimplePlugin_fetchPc_inc; + reg [31:0] IBusSimplePlugin_fetchPc_pc; + reg IBusSimplePlugin_fetchPc_flushed; + wire IBusSimplePlugin_iBusRsp_redoFetch; + wire IBusSimplePlugin_iBusRsp_stages_0_input_valid; + wire IBusSimplePlugin_iBusRsp_stages_0_input_ready; + wire [31:0] IBusSimplePlugin_iBusRsp_stages_0_input_payload; + wire IBusSimplePlugin_iBusRsp_stages_0_output_valid; + wire IBusSimplePlugin_iBusRsp_stages_0_output_ready; + wire [31:0] IBusSimplePlugin_iBusRsp_stages_0_output_payload; + wire IBusSimplePlugin_iBusRsp_stages_0_halt; + wire IBusSimplePlugin_iBusRsp_stages_1_input_valid; + wire IBusSimplePlugin_iBusRsp_stages_1_input_ready; + wire [31:0] IBusSimplePlugin_iBusRsp_stages_1_input_payload; + wire IBusSimplePlugin_iBusRsp_stages_1_output_valid; + wire IBusSimplePlugin_iBusRsp_stages_1_output_ready; + wire [31:0] IBusSimplePlugin_iBusRsp_stages_1_output_payload; + reg IBusSimplePlugin_iBusRsp_stages_1_halt; + wire IBusSimplePlugin_iBusRsp_stages_2_input_valid; + wire IBusSimplePlugin_iBusRsp_stages_2_input_ready; + wire [31:0] IBusSimplePlugin_iBusRsp_stages_2_input_payload; + wire IBusSimplePlugin_iBusRsp_stages_2_output_valid; + wire IBusSimplePlugin_iBusRsp_stages_2_output_ready; + wire [31:0] IBusSimplePlugin_iBusRsp_stages_2_output_payload; + wire IBusSimplePlugin_iBusRsp_stages_2_halt; + wire _zz_50_; + wire _zz_51_; + wire _zz_52_; + wire IBusSimplePlugin_iBusRsp_flush; + wire _zz_53_; + wire _zz_54_; + reg _zz_55_; + wire _zz_56_; + reg _zz_57_; + reg [31:0] _zz_58_; + reg IBusSimplePlugin_iBusRsp_readyForError; + wire IBusSimplePlugin_iBusRsp_output_valid; + wire IBusSimplePlugin_iBusRsp_output_ready; + wire [31:0] IBusSimplePlugin_iBusRsp_output_payload_pc; + wire IBusSimplePlugin_iBusRsp_output_payload_rsp_error; + wire [31:0] IBusSimplePlugin_iBusRsp_output_payload_rsp_inst; + wire IBusSimplePlugin_iBusRsp_output_payload_isRvc; + wire IBusSimplePlugin_injector_decodeInput_valid; + wire IBusSimplePlugin_injector_decodeInput_ready; + wire [31:0] IBusSimplePlugin_injector_decodeInput_payload_pc; + wire IBusSimplePlugin_injector_decodeInput_payload_rsp_error; + wire [31:0] IBusSimplePlugin_injector_decodeInput_payload_rsp_inst; + wire IBusSimplePlugin_injector_decodeInput_payload_isRvc; + reg _zz_59_; + reg [31:0] _zz_60_; + reg _zz_61_; + reg [31:0] _zz_62_; + reg _zz_63_; + reg IBusSimplePlugin_injector_nextPcCalc_valids_0; + reg IBusSimplePlugin_injector_nextPcCalc_valids_1; + reg IBusSimplePlugin_injector_nextPcCalc_valids_2; + reg IBusSimplePlugin_injector_nextPcCalc_valids_3; + reg IBusSimplePlugin_injector_nextPcCalc_valids_4; + reg IBusSimplePlugin_injector_nextPcCalc_valids_5; + reg [31:0] IBusSimplePlugin_injector_formal_rawInDecode; + wire IBusSimplePlugin_cmd_valid; + wire IBusSimplePlugin_cmd_ready; + wire [31:0] IBusSimplePlugin_cmd_payload_pc; + wire IBusSimplePlugin_pending_inc; + wire IBusSimplePlugin_pending_dec; + reg [2:0] IBusSimplePlugin_pending_value; + wire [2:0] IBusSimplePlugin_pending_next; + wire IBusSimplePlugin_cmdFork_canEmit; + wire IBusSimplePlugin_rspJoin_rspBuffer_output_valid; + wire IBusSimplePlugin_rspJoin_rspBuffer_output_ready; + wire IBusSimplePlugin_rspJoin_rspBuffer_output_payload_error; + wire [31:0] IBusSimplePlugin_rspJoin_rspBuffer_output_payload_inst; + reg [2:0] IBusSimplePlugin_rspJoin_rspBuffer_discardCounter; + wire IBusSimplePlugin_rspJoin_rspBuffer_flush; + wire [31:0] IBusSimplePlugin_rspJoin_fetchRsp_pc; + reg IBusSimplePlugin_rspJoin_fetchRsp_rsp_error; + wire [31:0] IBusSimplePlugin_rspJoin_fetchRsp_rsp_inst; + wire IBusSimplePlugin_rspJoin_fetchRsp_isRvc; + wire IBusSimplePlugin_rspJoin_join_valid; + wire IBusSimplePlugin_rspJoin_join_ready; + wire [31:0] IBusSimplePlugin_rspJoin_join_payload_pc; + wire IBusSimplePlugin_rspJoin_join_payload_rsp_error; + wire [31:0] IBusSimplePlugin_rspJoin_join_payload_rsp_inst; + wire IBusSimplePlugin_rspJoin_join_payload_isRvc; + wire IBusSimplePlugin_rspJoin_exceptionDetected; + wire _zz_64_; + wire _zz_65_; + reg execute_DBusSimplePlugin_skipCmd; + reg [31:0] _zz_66_; + reg [3:0] _zz_67_; + wire [3:0] execute_DBusSimplePlugin_formalMask; + reg [31:0] writeBack_DBusSimplePlugin_rspShifted; + wire _zz_68_; + reg [31:0] _zz_69_; + wire _zz_70_; + reg [31:0] _zz_71_; + reg [31:0] writeBack_DBusSimplePlugin_rspFormated; + wire [1:0] CsrPlugin_misa_base; + wire [25:0] CsrPlugin_misa_extensions; + reg [1:0] CsrPlugin_mtvec_mode; + reg [29:0] CsrPlugin_mtvec_base; + reg [31:0] CsrPlugin_mepc; + reg CsrPlugin_mstatus_MIE; + reg CsrPlugin_mstatus_MPIE; + reg [1:0] CsrPlugin_mstatus_MPP; + reg CsrPlugin_mip_MEIP; + reg CsrPlugin_mip_MTIP; + reg CsrPlugin_mip_MSIP; + reg CsrPlugin_mie_MEIE; + reg CsrPlugin_mie_MTIE; + reg CsrPlugin_mie_MSIE; + reg CsrPlugin_mcause_interrupt; + reg [3:0] CsrPlugin_mcause_exceptionCode; + reg [31:0] CsrPlugin_mtval; + reg [63:0] CsrPlugin_mcycle = 64'b0000000000000000000000000000000000000000000000000000000000000000; + reg [63:0] CsrPlugin_minstret = 64'b0000000000000000000000000000000000000000000000000000000000000000; + wire _zz_72_; + wire _zz_73_; + wire _zz_74_; + reg CsrPlugin_interrupt_valid; + reg [3:0] CsrPlugin_interrupt_code /* verilator public */ ; + reg [1:0] CsrPlugin_interrupt_targetPrivilege; + wire CsrPlugin_exception; + wire CsrPlugin_lastStageWasWfi; + reg CsrPlugin_pipelineLiberator_pcValids_0; + reg CsrPlugin_pipelineLiberator_pcValids_1; + reg CsrPlugin_pipelineLiberator_pcValids_2; + wire CsrPlugin_pipelineLiberator_active; + reg CsrPlugin_pipelineLiberator_done; + wire CsrPlugin_interruptJump /* verilator public */ ; + reg CsrPlugin_hadException; + wire [1:0] CsrPlugin_targetPrivilege; + wire [3:0] CsrPlugin_trapCause; + reg [1:0] CsrPlugin_xtvec_mode; + reg [29:0] CsrPlugin_xtvec_base; + reg execute_CsrPlugin_wfiWake; + wire execute_CsrPlugin_blockedBySideEffects; + reg execute_CsrPlugin_illegalAccess; + reg execute_CsrPlugin_illegalInstruction; + wire [31:0] execute_CsrPlugin_readData; + wire execute_CsrPlugin_writeInstruction; + wire execute_CsrPlugin_readInstruction; + wire execute_CsrPlugin_writeEnable; + wire execute_CsrPlugin_readEnable; + wire [31:0] execute_CsrPlugin_readToWriteData; + reg [31:0] execute_CsrPlugin_writeData; + wire [11:0] execute_CsrPlugin_csrAddress; + wire [29:0] _zz_75_; + wire _zz_76_; + wire _zz_77_; + wire _zz_78_; + wire _zz_79_; + wire _zz_80_; + wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_81_; + wire `Src1CtrlEnum_defaultEncoding_type _zz_82_; + wire `Src2CtrlEnum_defaultEncoding_type _zz_83_; + wire `EnvCtrlEnum_defaultEncoding_type _zz_84_; + wire `ShiftCtrlEnum_defaultEncoding_type _zz_85_; + wire `BranchCtrlEnum_defaultEncoding_type _zz_86_; + wire `AluCtrlEnum_defaultEncoding_type _zz_87_; + wire [4:0] decode_RegFilePlugin_regFileReadAddress1; + wire [4:0] decode_RegFilePlugin_regFileReadAddress2; + wire [31:0] decode_RegFilePlugin_rs1Data; + wire [31:0] decode_RegFilePlugin_rs2Data; + reg lastStageRegFileWrite_valid /* verilator public */ ; + wire [4:0] lastStageRegFileWrite_payload_address /* verilator public */ ; + wire [31:0] lastStageRegFileWrite_payload_data /* verilator public */ ; + reg _zz_88_; + reg [31:0] execute_IntAluPlugin_bitwise; + reg [31:0] _zz_89_; + reg [31:0] _zz_90_; + wire _zz_91_; + reg [19:0] _zz_92_; + wire _zz_93_; + reg [19:0] _zz_94_; + reg [31:0] _zz_95_; + reg [31:0] execute_SrcPlugin_addSub; + wire execute_SrcPlugin_less; + wire [4:0] execute_FullBarrelShifterPlugin_amplitude; + reg [31:0] _zz_96_; + wire [31:0] execute_FullBarrelShifterPlugin_reversed; + reg [31:0] _zz_97_; + reg _zz_98_; + reg _zz_99_; + reg _zz_100_; + reg [4:0] _zz_101_; + reg [31:0] _zz_102_; + wire _zz_103_; + wire _zz_104_; + wire _zz_105_; + wire _zz_106_; + wire _zz_107_; + wire _zz_108_; + wire execute_BranchPlugin_eq; + wire [2:0] _zz_109_; + reg _zz_110_; + reg _zz_111_; + wire [31:0] execute_BranchPlugin_branch_src1; + wire _zz_112_; + reg [10:0] _zz_113_; + wire _zz_114_; + reg [19:0] _zz_115_; + wire _zz_116_; + reg [18:0] _zz_117_; + reg [31:0] _zz_118_; + wire [31:0] execute_BranchPlugin_branch_src2; + wire [31:0] execute_BranchPlugin_branchAdder; + wire [31:0] execute_Mul16Plugin_a; + wire [31:0] execute_Mul16Plugin_b; + wire [15:0] execute_Mul16Plugin_aLow; + wire [15:0] execute_Mul16Plugin_bLow; + wire [15:0] execute_Mul16Plugin_aHigh; + wire [15:0] execute_Mul16Plugin_bHigh; + wire [31:0] memory_Mul16Plugin_ll; + wire [32:0] memory_Mul16Plugin_lh; + wire [31:0] memory_Mul16Plugin_hl; + wire [31:0] memory_Mul16Plugin_hh; + wire [32:0] memory_Mul16Plugin_hllh; + reg writeBack_Mul16Plugin_aSigned; + reg writeBack_Mul16Plugin_bSigned; + wire [31:0] writeBack_Mul16Plugin_a; + wire [31:0] writeBack_Mul16Plugin_b; + reg [32:0] memory_MulDivIterativePlugin_rs1; + reg [31:0] memory_MulDivIterativePlugin_rs2; + reg [64:0] memory_MulDivIterativePlugin_accumulator; + wire memory_MulDivIterativePlugin_frontendOk; + reg memory_MulDivIterativePlugin_div_needRevert; + reg memory_MulDivIterativePlugin_div_counter_willIncrement; + reg memory_MulDivIterativePlugin_div_counter_willClear; + reg [5:0] memory_MulDivIterativePlugin_div_counter_valueNext; + reg [5:0] memory_MulDivIterativePlugin_div_counter_value; + wire memory_MulDivIterativePlugin_div_counter_willOverflowIfInc; + wire memory_MulDivIterativePlugin_div_counter_willOverflow; + reg memory_MulDivIterativePlugin_div_done; + reg [31:0] memory_MulDivIterativePlugin_div_result; + wire [31:0] _zz_119_; + wire [32:0] memory_MulDivIterativePlugin_div_stage_0_remainderShifted; + wire [32:0] memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator; + wire [31:0] memory_MulDivIterativePlugin_div_stage_0_outRemainder; + wire [31:0] memory_MulDivIterativePlugin_div_stage_0_outNumerator; + wire [31:0] _zz_120_; + wire _zz_121_; + wire _zz_122_; + reg [32:0] _zz_123_; + reg DebugPlugin_firstCycle; + reg DebugPlugin_secondCycle; + reg DebugPlugin_resetIt; + reg DebugPlugin_haltIt; + reg DebugPlugin_stepIt; + reg DebugPlugin_isPipBusy; + reg DebugPlugin_godmode; + reg DebugPlugin_haltedByBreak; + reg DebugPlugin_hardwareBreakpoints_0_valid; + reg [30:0] DebugPlugin_hardwareBreakpoints_0_pc; + reg DebugPlugin_hardwareBreakpoints_1_valid; + reg [30:0] DebugPlugin_hardwareBreakpoints_1_pc; + reg DebugPlugin_hardwareBreakpoints_2_valid; + reg [30:0] DebugPlugin_hardwareBreakpoints_2_pc; + reg [31:0] DebugPlugin_busReadDataReg; + reg _zz_124_; + reg DebugPlugin_resetIt_regNext; + reg [31:0] execute_to_memory_MUL_LL; + reg `EnvCtrlEnum_defaultEncoding_type decode_to_execute_ENV_CTRL; + reg `EnvCtrlEnum_defaultEncoding_type execute_to_memory_ENV_CTRL; + reg `EnvCtrlEnum_defaultEncoding_type memory_to_writeBack_ENV_CTRL; + reg `ShiftCtrlEnum_defaultEncoding_type decode_to_execute_SHIFT_CTRL; + reg `ShiftCtrlEnum_defaultEncoding_type execute_to_memory_SHIFT_CTRL; + reg [31:0] execute_to_memory_REGFILE_WRITE_DATA; + reg [31:0] memory_to_writeBack_REGFILE_WRITE_DATA; + reg [31:0] decode_to_execute_RS2; + reg [31:0] memory_to_writeBack_MEMORY_READ_DATA; + reg decode_to_execute_CSR_READ_OPCODE; + reg [1:0] execute_to_memory_MEMORY_ADDRESS_LOW; + reg [1:0] memory_to_writeBack_MEMORY_ADDRESS_LOW; + reg decode_to_execute_SRC_LESS_UNSIGNED; + reg [31:0] decode_to_execute_SRC1; + reg [31:0] execute_to_memory_SRC1; + reg [31:0] memory_to_writeBack_SRC1; + reg decode_to_execute_DO_EBREAK; + reg `BranchCtrlEnum_defaultEncoding_type decode_to_execute_BRANCH_CTRL; + reg decode_to_execute_BYPASSABLE_MEMORY_STAGE; + reg execute_to_memory_BYPASSABLE_MEMORY_STAGE; + reg decode_to_execute_IS_MUL; + reg execute_to_memory_IS_MUL; + reg memory_to_writeBack_IS_MUL; + reg [31:0] decode_to_execute_INSTRUCTION; + reg [31:0] execute_to_memory_INSTRUCTION; + reg [31:0] memory_to_writeBack_INSTRUCTION; + reg [31:0] decode_to_execute_SRC2; + reg [31:0] execute_to_memory_SRC2; + reg [31:0] memory_to_writeBack_SRC2; + reg [63:0] memory_to_writeBack_MUL; + reg decode_to_execute_SRC_USE_SUB_LESS; + reg decode_to_execute_BYPASSABLE_EXECUTE_STAGE; + reg decode_to_execute_IS_RS1_SIGNED; + reg [31:0] decode_to_execute_PC; + reg [31:0] execute_to_memory_PC; + reg [31:0] memory_to_writeBack_PC; + reg [31:0] execute_to_memory_SHIFT_RIGHT; + reg decode_to_execute_CSR_WRITE_OPCODE; + reg [31:0] execute_to_memory_BRANCH_CALC; + reg decode_to_execute_REGFILE_WRITE_VALID; + reg execute_to_memory_REGFILE_WRITE_VALID; + reg memory_to_writeBack_REGFILE_WRITE_VALID; + reg [31:0] execute_to_memory_MUL_HL; + reg decode_to_execute_MEMORY_STORE; + reg execute_to_memory_MEMORY_STORE; + reg memory_to_writeBack_MEMORY_STORE; + reg [31:0] execute_to_memory_MUL_LH; + reg decode_to_execute_IS_DIV; + reg execute_to_memory_IS_DIV; + reg decode_to_execute_SRC2_FORCE_ZERO; + reg `AluBitwiseCtrlEnum_defaultEncoding_type decode_to_execute_ALU_BITWISE_CTRL; + reg decode_to_execute_IS_CSR; + reg `AluCtrlEnum_defaultEncoding_type decode_to_execute_ALU_CTRL; + reg [31:0] decode_to_execute_FORMAL_PC_NEXT; + reg [31:0] execute_to_memory_FORMAL_PC_NEXT; + reg [31:0] memory_to_writeBack_FORMAL_PC_NEXT; + reg [31:0] execute_to_memory_MUL_HH; + reg decode_to_execute_IS_RS2_SIGNED; + reg execute_to_memory_BRANCH_DO; + reg decode_to_execute_MEMORY_ENABLE; + reg execute_to_memory_MEMORY_ENABLE; + reg memory_to_writeBack_MEMORY_ENABLE; + reg [31:0] decode_to_execute_RS1; + reg [2:0] _zz_125_; + reg execute_CsrPlugin_csr_768; + reg execute_CsrPlugin_csr_836; + reg execute_CsrPlugin_csr_772; + reg execute_CsrPlugin_csr_773; + reg execute_CsrPlugin_csr_834; + reg execute_CsrPlugin_csr_2816; + reg execute_CsrPlugin_csr_2944; + reg execute_CsrPlugin_csr_2818; + reg execute_CsrPlugin_csr_2946; + reg [31:0] _zz_126_; + reg [31:0] _zz_127_; + reg [31:0] _zz_128_; + reg [31:0] _zz_129_; + reg [31:0] _zz_130_; + reg [31:0] _zz_131_; + reg [31:0] _zz_132_; + reg [31:0] _zz_133_; + reg [31:0] _zz_134_; + `ifndef SYNTHESIS + reg [63:0] decode_ALU_CTRL_string; + reg [63:0] _zz_1__string; + reg [63:0] _zz_2__string; + reg [63:0] _zz_3__string; + reg [39:0] decode_ALU_BITWISE_CTRL_string; + reg [39:0] _zz_4__string; + reg [39:0] _zz_5__string; + reg [39:0] _zz_6__string; + reg [31:0] decode_BRANCH_CTRL_string; + reg [31:0] _zz_7__string; + reg [31:0] _zz_8__string; + reg [31:0] _zz_9__string; + reg [71:0] _zz_10__string; + reg [71:0] _zz_11__string; + reg [71:0] decode_SHIFT_CTRL_string; + reg [71:0] _zz_12__string; + reg [71:0] _zz_13__string; + reg [71:0] _zz_14__string; + reg [31:0] _zz_15__string; + reg [31:0] _zz_16__string; + reg [31:0] _zz_17__string; + reg [31:0] _zz_18__string; + reg [31:0] decode_ENV_CTRL_string; + reg [31:0] _zz_19__string; + reg [31:0] _zz_20__string; + reg [31:0] _zz_21__string; + reg [31:0] execute_BRANCH_CTRL_string; + reg [31:0] _zz_22__string; + reg [71:0] memory_SHIFT_CTRL_string; + reg [71:0] _zz_24__string; + reg [71:0] execute_SHIFT_CTRL_string; + reg [71:0] _zz_25__string; + reg [23:0] decode_SRC2_CTRL_string; + reg [23:0] _zz_28__string; + reg [95:0] decode_SRC1_CTRL_string; + reg [95:0] _zz_30__string; + reg [63:0] execute_ALU_CTRL_string; + reg [63:0] _zz_31__string; + reg [39:0] execute_ALU_BITWISE_CTRL_string; + reg [39:0] _zz_32__string; + reg [63:0] _zz_36__string; + reg [31:0] _zz_37__string; + reg [71:0] _zz_38__string; + reg [31:0] _zz_39__string; + reg [23:0] _zz_40__string; + reg [95:0] _zz_41__string; + reg [39:0] _zz_42__string; + reg [31:0] memory_ENV_CTRL_string; + reg [31:0] _zz_44__string; + reg [31:0] execute_ENV_CTRL_string; + reg [31:0] _zz_45__string; + reg [31:0] writeBack_ENV_CTRL_string; + reg [31:0] _zz_46__string; + reg [39:0] _zz_81__string; + reg [95:0] _zz_82__string; + reg [23:0] _zz_83__string; + reg [31:0] _zz_84__string; + reg [71:0] _zz_85__string; + reg [31:0] _zz_86__string; + reg [63:0] _zz_87__string; + reg [31:0] decode_to_execute_ENV_CTRL_string; + reg [31:0] execute_to_memory_ENV_CTRL_string; + reg [31:0] memory_to_writeBack_ENV_CTRL_string; + reg [71:0] decode_to_execute_SHIFT_CTRL_string; + reg [71:0] execute_to_memory_SHIFT_CTRL_string; + reg [31:0] decode_to_execute_BRANCH_CTRL_string; + reg [39:0] decode_to_execute_ALU_BITWISE_CTRL_string; + reg [63:0] decode_to_execute_ALU_CTRL_string; + `endif + + reg [31:0] RegFilePlugin_regFile [0:31] /* verilator public */ ; + + assign _zz_139_ = (writeBack_arbitration_isValid && writeBack_REGFILE_WRITE_VALID); + assign _zz_140_ = 1'b1; + assign _zz_141_ = (memory_arbitration_isValid && memory_REGFILE_WRITE_VALID); + assign _zz_142_ = (execute_arbitration_isValid && execute_REGFILE_WRITE_VALID); + assign _zz_143_ = (memory_arbitration_isValid && memory_IS_DIV); + assign _zz_144_ = (execute_arbitration_isValid && execute_IS_CSR); + assign _zz_145_ = (execute_arbitration_isValid && execute_DO_EBREAK); + assign _zz_146_ = (({writeBack_arbitration_isValid,memory_arbitration_isValid} != (2'b00)) == 1'b0); + assign _zz_147_ = (CsrPlugin_hadException || CsrPlugin_interruptJump); + assign _zz_148_ = (writeBack_arbitration_isValid && (writeBack_ENV_CTRL == `EnvCtrlEnum_defaultEncoding_XRET)); + assign _zz_149_ = (DebugPlugin_stepIt && IBusSimplePlugin_incomingInstruction); + assign _zz_150_ = writeBack_INSTRUCTION[29 : 28]; + assign _zz_151_ = (writeBack_arbitration_isValid && writeBack_REGFILE_WRITE_VALID); + assign _zz_152_ = (1'b0 || (! 1'b1)); + assign _zz_153_ = (memory_arbitration_isValid && memory_REGFILE_WRITE_VALID); + assign _zz_154_ = (1'b0 || (! memory_BYPASSABLE_MEMORY_STAGE)); + assign _zz_155_ = (execute_arbitration_isValid && execute_REGFILE_WRITE_VALID); + assign _zz_156_ = (1'b0 || (! execute_BYPASSABLE_EXECUTE_STAGE)); + assign _zz_157_ = writeBack_INSTRUCTION[13 : 12]; + assign _zz_158_ = (memory_MulDivIterativePlugin_frontendOk && (! memory_MulDivIterativePlugin_div_done)); + assign _zz_159_ = (! memory_arbitration_isStuck); + assign _zz_160_ = debug_bus_cmd_payload_address[7 : 2]; + assign _zz_161_ = (CsrPlugin_mstatus_MIE || (CsrPlugin_privilege < (2'b11))); + assign _zz_162_ = ((_zz_72_ && 1'b1) && (! 1'b0)); + assign _zz_163_ = ((_zz_73_ && 1'b1) && (! 1'b0)); + assign _zz_164_ = ((_zz_74_ && 1'b1) && (! 1'b0)); + assign _zz_165_ = writeBack_INSTRUCTION[13 : 12]; + assign _zz_166_ = execute_INSTRUCTION[13]; + assign _zz_167_ = writeBack_INSTRUCTION[13 : 12]; + assign _zz_168_ = _zz_75_[12 : 12]; + assign _zz_169_ = _zz_75_[19 : 19]; + assign _zz_170_ = _zz_75_[10 : 10]; + assign _zz_171_ = _zz_75_[29 : 29]; + assign _zz_172_ = _zz_75_[0 : 0]; + assign _zz_173_ = ($signed(_zz_175_) >>> execute_FullBarrelShifterPlugin_amplitude); + assign _zz_174_ = _zz_173_[31 : 0]; + assign _zz_175_ = {((execute_SHIFT_CTRL == `ShiftCtrlEnum_defaultEncoding_SRA_1) && execute_FullBarrelShifterPlugin_reversed[31]),execute_FullBarrelShifterPlugin_reversed}; + assign _zz_176_ = _zz_75_[22 : 22]; + assign _zz_177_ = _zz_75_[15 : 15]; + assign _zz_178_ = ({memory_Mul16Plugin_hh,memory_Mul16Plugin_ll[31 : 16]} + _zz_179_); + assign _zz_179_ = {15'd0, memory_Mul16Plugin_hllh}; + assign _zz_180_ = _zz_75_[14 : 14]; + assign _zz_181_ = _zz_75_[11 : 11]; + assign _zz_182_ = (decode_PC >>> 1); + assign _zz_183_ = (decode_PC >>> 1); + assign _zz_184_ = (decode_PC >>> 1); + assign _zz_185_ = _zz_75_[3 : 3]; + assign _zz_186_ = _zz_75_[8 : 8]; + assign _zz_187_ = _zz_75_[23 : 23]; + assign _zz_188_ = _zz_75_[28 : 28]; + assign _zz_189_ = _zz_75_[16 : 16]; + assign _zz_190_ = _zz_75_[20 : 20]; + assign _zz_191_ = _zz_75_[9 : 9]; + assign _zz_192_ = (_zz_49_ & (~ _zz_193_)); + assign _zz_193_ = (_zz_49_ - (2'b01)); + assign _zz_194_ = {IBusSimplePlugin_fetchPc_inc,(2'b00)}; + assign _zz_195_ = {29'd0, _zz_194_}; + assign _zz_196_ = (IBusSimplePlugin_pending_value + _zz_198_); + assign _zz_197_ = IBusSimplePlugin_pending_inc; + assign _zz_198_ = {2'd0, _zz_197_}; + assign _zz_199_ = IBusSimplePlugin_pending_dec; + assign _zz_200_ = {2'd0, _zz_199_}; + assign _zz_201_ = (IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid && (IBusSimplePlugin_rspJoin_rspBuffer_discardCounter != (3'b000))); + assign _zz_202_ = {2'd0, _zz_201_}; + assign _zz_203_ = execute_SRC_LESS; + assign _zz_204_ = (3'b100); + assign _zz_205_ = decode_INSTRUCTION[19 : 15]; + assign _zz_206_ = decode_INSTRUCTION[31 : 20]; + assign _zz_207_ = {decode_INSTRUCTION[31 : 25],decode_INSTRUCTION[11 : 7]}; + assign _zz_208_ = ($signed(_zz_209_) + $signed(_zz_212_)); + assign _zz_209_ = ($signed(_zz_210_) + $signed(_zz_211_)); + assign _zz_210_ = execute_SRC1; + assign _zz_211_ = (execute_SRC_USE_SUB_LESS ? (~ execute_SRC2) : execute_SRC2); + assign _zz_212_ = (execute_SRC_USE_SUB_LESS ? _zz_213_ : _zz_214_); + assign _zz_213_ = 32'h00000001; + assign _zz_214_ = 32'h0; + assign _zz_215_ = {{{execute_INSTRUCTION[31],execute_INSTRUCTION[19 : 12]},execute_INSTRUCTION[20]},execute_INSTRUCTION[30 : 21]}; + assign _zz_216_ = execute_INSTRUCTION[31 : 20]; + assign _zz_217_ = {{{execute_INSTRUCTION[31],execute_INSTRUCTION[7]},execute_INSTRUCTION[30 : 25]},execute_INSTRUCTION[11 : 8]}; + assign _zz_218_ = {1'd0, memory_Mul16Plugin_hl}; + assign _zz_219_ = (_zz_220_ + _zz_221_); + assign _zz_220_ = (writeBack_MUL[63 : 32] + (~ writeBack_Mul16Plugin_a)); + assign _zz_221_ = ((~ writeBack_Mul16Plugin_b) + 32'h00000002); + assign _zz_222_ = memory_MulDivIterativePlugin_div_counter_willIncrement; + assign _zz_223_ = {5'd0, _zz_222_}; + assign _zz_224_ = {1'd0, memory_MulDivIterativePlugin_rs2}; + assign _zz_225_ = memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator[31:0]; + assign _zz_226_ = memory_MulDivIterativePlugin_div_stage_0_remainderShifted[31:0]; + assign _zz_227_ = {_zz_119_,(! memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator[32])}; + assign _zz_228_ = _zz_229_; + assign _zz_229_ = _zz_230_; + assign _zz_230_ = ({1'b0,(memory_MulDivIterativePlugin_div_needRevert ? (~ _zz_120_) : _zz_120_)} + _zz_232_); + assign _zz_231_ = memory_MulDivIterativePlugin_div_needRevert; + assign _zz_232_ = {32'd0, _zz_231_}; + assign _zz_233_ = _zz_122_; + assign _zz_234_ = {32'd0, _zz_233_}; + assign _zz_235_ = _zz_121_; + assign _zz_236_ = {31'd0, _zz_235_}; + assign _zz_237_ = debug_bus_cmd_payload_data[0 : 0]; + assign _zz_238_ = debug_bus_cmd_payload_data[0 : 0]; + assign _zz_239_ = debug_bus_cmd_payload_data[0 : 0]; + assign _zz_240_ = execute_CsrPlugin_writeData[7 : 7]; + assign _zz_241_ = execute_CsrPlugin_writeData[3 : 3]; + assign _zz_242_ = execute_CsrPlugin_writeData[3 : 3]; + assign _zz_243_ = execute_CsrPlugin_writeData[11 : 11]; + assign _zz_244_ = execute_CsrPlugin_writeData[7 : 7]; + assign _zz_245_ = execute_CsrPlugin_writeData[3 : 3]; + assign _zz_246_ = 1'b1; + assign _zz_247_ = 1'b1; + assign _zz_248_ = 32'h02004064; + assign _zz_249_ = ((decode_INSTRUCTION & 32'h00000044) == 32'h0); + assign _zz_250_ = ((decode_INSTRUCTION & _zz_258_) == 32'h0); + assign _zz_251_ = {_zz_80_,(_zz_259_ == _zz_260_)}; + assign _zz_252_ = ((decode_INSTRUCTION & 32'h00004004) == 32'h00004000); + assign _zz_253_ = _zz_80_; + assign _zz_254_ = (1'b0); + assign _zz_255_ = ({_zz_78_,_zz_261_} != (2'b00)); + assign _zz_256_ = (_zz_262_ != (1'b0)); + assign _zz_257_ = {(_zz_263_ != _zz_264_),{_zz_265_,{_zz_266_,_zz_267_}}}; + assign _zz_258_ = 32'h00000018; + assign _zz_259_ = (decode_INSTRUCTION & 32'h00005004); + assign _zz_260_ = 32'h00001000; + assign _zz_261_ = ((decode_INSTRUCTION & 32'h0000001c) == 32'h00000004); + assign _zz_262_ = ((decode_INSTRUCTION & 32'h00000058) == 32'h00000040); + assign _zz_263_ = {(_zz_268_ == _zz_269_),(_zz_270_ == _zz_271_)}; + assign _zz_264_ = (2'b00); + assign _zz_265_ = (_zz_79_ != (1'b0)); + assign _zz_266_ = ({_zz_272_,_zz_273_} != (2'b00)); + assign _zz_267_ = {(_zz_274_ != _zz_275_),{_zz_276_,{_zz_277_,_zz_278_}}}; + assign _zz_268_ = (decode_INSTRUCTION & 32'h00000034); + assign _zz_269_ = 32'h00000020; + assign _zz_270_ = (decode_INSTRUCTION & 32'h00000064); + assign _zz_271_ = 32'h00000020; + assign _zz_272_ = ((decode_INSTRUCTION & _zz_279_) == 32'h00000040); + assign _zz_273_ = ((decode_INSTRUCTION & _zz_280_) == 32'h00000040); + assign _zz_274_ = ((decode_INSTRUCTION & _zz_281_) == 32'h00000024); + assign _zz_275_ = (1'b0); + assign _zz_276_ = (_zz_79_ != (1'b0)); + assign _zz_277_ = ({_zz_282_,_zz_283_} != (2'b00)); + assign _zz_278_ = {(_zz_284_ != _zz_285_),{_zz_286_,{_zz_287_,_zz_288_}}}; + assign _zz_279_ = 32'h00000050; + assign _zz_280_ = 32'h00103040; + assign _zz_281_ = 32'h00000064; + assign _zz_282_ = ((decode_INSTRUCTION & _zz_289_) == 32'h00005010); + assign _zz_283_ = ((decode_INSTRUCTION & _zz_290_) == 32'h00005020); + assign _zz_284_ = {(_zz_291_ == _zz_292_),{_zz_293_,_zz_294_}}; + assign _zz_285_ = (3'b000); + assign _zz_286_ = ({_zz_295_,{_zz_296_,_zz_297_}} != (3'b000)); + assign _zz_287_ = ({_zz_298_,_zz_299_} != 5'h0); + assign _zz_288_ = {(_zz_300_ != _zz_301_),{_zz_302_,{_zz_303_,_zz_304_}}}; + assign _zz_289_ = 32'h00007034; + assign _zz_290_ = 32'h02007064; + assign _zz_291_ = (decode_INSTRUCTION & 32'h40003054); + assign _zz_292_ = 32'h40001010; + assign _zz_293_ = ((decode_INSTRUCTION & _zz_305_) == 32'h00001010); + assign _zz_294_ = ((decode_INSTRUCTION & _zz_306_) == 32'h00001010); + assign _zz_295_ = ((decode_INSTRUCTION & _zz_307_) == 32'h00000040); + assign _zz_296_ = (_zz_308_ == _zz_309_); + assign _zz_297_ = (_zz_310_ == _zz_311_); + assign _zz_298_ = _zz_77_; + assign _zz_299_ = {_zz_312_,{_zz_313_,_zz_314_}}; + assign _zz_300_ = (_zz_315_ == _zz_316_); + assign _zz_301_ = (1'b0); + assign _zz_302_ = (_zz_317_ != (1'b0)); + assign _zz_303_ = (_zz_318_ != _zz_319_); + assign _zz_304_ = {_zz_320_,{_zz_321_,_zz_322_}}; + assign _zz_305_ = 32'h00007034; + assign _zz_306_ = 32'h02007054; + assign _zz_307_ = 32'h00000044; + assign _zz_308_ = (decode_INSTRUCTION & 32'h00002014); + assign _zz_309_ = 32'h00002010; + assign _zz_310_ = (decode_INSTRUCTION & 32'h40000034); + assign _zz_311_ = 32'h40000030; + assign _zz_312_ = ((decode_INSTRUCTION & 32'h00002030) == 32'h00002010); + assign _zz_313_ = ((decode_INSTRUCTION & _zz_323_) == 32'h00000010); + assign _zz_314_ = {(_zz_324_ == _zz_325_),(_zz_326_ == _zz_327_)}; + assign _zz_315_ = (decode_INSTRUCTION & 32'h02004074); + assign _zz_316_ = 32'h02000030; + assign _zz_317_ = ((decode_INSTRUCTION & 32'h00103050) == 32'h00000050); + assign _zz_318_ = ((decode_INSTRUCTION & _zz_328_) == 32'h0); + assign _zz_319_ = (1'b0); + assign _zz_320_ = ({_zz_329_,{_zz_330_,_zz_331_}} != 5'h0); + assign _zz_321_ = ({_zz_332_,_zz_333_} != (2'b00)); + assign _zz_322_ = {(_zz_334_ != _zz_335_),{_zz_336_,{_zz_337_,_zz_338_}}}; + assign _zz_323_ = 32'h00001030; + assign _zz_324_ = (decode_INSTRUCTION & 32'h02002060); + assign _zz_325_ = 32'h00002020; + assign _zz_326_ = (decode_INSTRUCTION & 32'h02003020); + assign _zz_327_ = 32'h00000020; + assign _zz_328_ = 32'h00000058; + assign _zz_329_ = ((decode_INSTRUCTION & _zz_339_) == 32'h00000040); + assign _zz_330_ = _zz_77_; + assign _zz_331_ = {_zz_340_,{_zz_341_,_zz_342_}}; + assign _zz_332_ = (_zz_343_ == _zz_344_); + assign _zz_333_ = (_zz_345_ == _zz_346_); + assign _zz_334_ = {_zz_78_,{_zz_347_,_zz_348_}}; + assign _zz_335_ = 6'h0; + assign _zz_336_ = (_zz_349_ != (1'b0)); + assign _zz_337_ = (_zz_350_ != _zz_351_); + assign _zz_338_ = {_zz_352_,{_zz_353_,_zz_354_}}; + assign _zz_339_ = 32'h00000040; + assign _zz_340_ = ((decode_INSTRUCTION & _zz_355_) == 32'h00004020); + assign _zz_341_ = (_zz_356_ == _zz_357_); + assign _zz_342_ = (_zz_358_ == _zz_359_); + assign _zz_343_ = (decode_INSTRUCTION & 32'h00001050); + assign _zz_344_ = 32'h00001050; + assign _zz_345_ = (decode_INSTRUCTION & 32'h00002050); + assign _zz_346_ = 32'h00002050; + assign _zz_347_ = (_zz_360_ == _zz_361_); + assign _zz_348_ = {_zz_362_,{_zz_363_,_zz_364_}}; + assign _zz_349_ = ((decode_INSTRUCTION & _zz_365_) == 32'h00000050); + assign _zz_350_ = {_zz_77_,_zz_366_}; + assign _zz_351_ = (2'b00); + assign _zz_352_ = ({_zz_367_,_zz_368_} != (2'b00)); + assign _zz_353_ = (_zz_369_ != _zz_370_); + assign _zz_354_ = {_zz_371_,{_zz_372_,_zz_373_}}; + assign _zz_355_ = 32'h00004020; + assign _zz_356_ = (decode_INSTRUCTION & 32'h00000030); + assign _zz_357_ = 32'h00000010; + assign _zz_358_ = (decode_INSTRUCTION & 32'h02000020); + assign _zz_359_ = 32'h00000020; + assign _zz_360_ = (decode_INSTRUCTION & 32'h00001010); + assign _zz_361_ = 32'h00001010; + assign _zz_362_ = ((decode_INSTRUCTION & _zz_374_) == 32'h00002010); + assign _zz_363_ = (_zz_375_ == _zz_376_); + assign _zz_364_ = {_zz_377_,_zz_378_}; + assign _zz_365_ = 32'h10003050; + assign _zz_366_ = ((decode_INSTRUCTION & _zz_379_) == 32'h00000020); + assign _zz_367_ = _zz_77_; + assign _zz_368_ = (_zz_380_ == _zz_381_); + assign _zz_369_ = {_zz_382_,_zz_76_}; + assign _zz_370_ = (2'b00); + assign _zz_371_ = ({_zz_383_,_zz_384_} != (2'b00)); + assign _zz_372_ = (_zz_385_ != _zz_386_); + assign _zz_373_ = {_zz_387_,{_zz_388_,_zz_389_}}; + assign _zz_374_ = 32'h00002010; + assign _zz_375_ = (decode_INSTRUCTION & 32'h00000050); + assign _zz_376_ = 32'h00000010; + assign _zz_377_ = ((decode_INSTRUCTION & 32'h0000000c) == 32'h00000004); + assign _zz_378_ = ((decode_INSTRUCTION & 32'h00000028) == 32'h0); + assign _zz_379_ = 32'h00000070; + assign _zz_380_ = (decode_INSTRUCTION & 32'h00000020); + assign _zz_381_ = 32'h0; + assign _zz_382_ = ((decode_INSTRUCTION & 32'h00000014) == 32'h00000004); + assign _zz_383_ = ((decode_INSTRUCTION & _zz_390_) == 32'h00000004); + assign _zz_384_ = _zz_76_; + assign _zz_385_ = {(_zz_391_ == _zz_392_),(_zz_393_ == _zz_394_)}; + assign _zz_386_ = (2'b00); + assign _zz_387_ = ((_zz_395_ == _zz_396_) != (1'b0)); + assign _zz_388_ = (_zz_397_ != (1'b0)); + assign _zz_389_ = (_zz_398_ != (1'b0)); + assign _zz_390_ = 32'h00000044; + assign _zz_391_ = (decode_INSTRUCTION & 32'h00002010); + assign _zz_392_ = 32'h00002000; + assign _zz_393_ = (decode_INSTRUCTION & 32'h00005000); + assign _zz_394_ = 32'h00001000; + assign _zz_395_ = (decode_INSTRUCTION & 32'h00001000); + assign _zz_396_ = 32'h00001000; + assign _zz_397_ = ((decode_INSTRUCTION & 32'h00003000) == 32'h00002000); + assign _zz_398_ = ((decode_INSTRUCTION & 32'h00000020) == 32'h00000020); + always @ (posedge mainClock) begin + if(_zz_246_) begin + _zz_137_ <= RegFilePlugin_regFile[decode_RegFilePlugin_regFileReadAddress1]; + end + end + + always @ (posedge mainClock) begin + if(_zz_247_) begin + _zz_138_ <= RegFilePlugin_regFile[decode_RegFilePlugin_regFileReadAddress2]; + end + end + + always @ (posedge mainClock) begin + if(_zz_35_) begin + RegFilePlugin_regFile[lastStageRegFileWrite_payload_address] <= lastStageRegFileWrite_payload_data; + end + end + + StreamFifoLowLatency IBusSimplePlugin_rspJoin_rspBuffer_c ( + .io_push_valid (iBus_rsp_valid ), //i + .io_push_ready (IBusSimplePlugin_rspJoin_rspBuffer_c_io_push_ready ), //o + .io_push_payload_error (iBus_rsp_payload_error ), //i + .io_push_payload_inst (iBus_rsp_payload_inst[31:0] ), //i + .io_pop_valid (IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid ), //o + .io_pop_ready (_zz_135_ ), //i + .io_pop_payload_error (IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_error ), //o + .io_pop_payload_inst (IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_inst[31:0] ), //o + .io_flush (_zz_136_ ), //i + .io_occupancy (IBusSimplePlugin_rspJoin_rspBuffer_c_io_occupancy ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + `ifndef SYNTHESIS + always @(*) begin + case(decode_ALU_CTRL) + `AluCtrlEnum_defaultEncoding_ADD_SUB : decode_ALU_CTRL_string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : decode_ALU_CTRL_string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : decode_ALU_CTRL_string = "BITWISE "; + default : decode_ALU_CTRL_string = "????????"; + endcase + end + always @(*) begin + case(_zz_1_) + `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_1__string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_1__string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : _zz_1__string = "BITWISE "; + default : _zz_1__string = "????????"; + endcase + end + always @(*) begin + case(_zz_2_) + `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_2__string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_2__string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : _zz_2__string = "BITWISE "; + default : _zz_2__string = "????????"; + endcase + end + always @(*) begin + case(_zz_3_) + `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_3__string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_3__string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : _zz_3__string = "BITWISE "; + default : _zz_3__string = "????????"; + endcase + end + always @(*) begin + case(decode_ALU_BITWISE_CTRL) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : decode_ALU_BITWISE_CTRL_string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : decode_ALU_BITWISE_CTRL_string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : decode_ALU_BITWISE_CTRL_string = "AND_1"; + default : decode_ALU_BITWISE_CTRL_string = "?????"; + endcase + end + always @(*) begin + case(_zz_4_) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_4__string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_4__string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_4__string = "AND_1"; + default : _zz_4__string = "?????"; + endcase + end + always @(*) begin + case(_zz_5_) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_5__string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_5__string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_5__string = "AND_1"; + default : _zz_5__string = "?????"; + endcase + end + always @(*) begin + case(_zz_6_) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_6__string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_6__string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_6__string = "AND_1"; + default : _zz_6__string = "?????"; + endcase + end + always @(*) begin + case(decode_BRANCH_CTRL) + `BranchCtrlEnum_defaultEncoding_INC : decode_BRANCH_CTRL_string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : decode_BRANCH_CTRL_string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : decode_BRANCH_CTRL_string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : decode_BRANCH_CTRL_string = "JALR"; + default : decode_BRANCH_CTRL_string = "????"; + endcase + end + always @(*) begin + case(_zz_7_) + `BranchCtrlEnum_defaultEncoding_INC : _zz_7__string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : _zz_7__string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : _zz_7__string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : _zz_7__string = "JALR"; + default : _zz_7__string = "????"; + endcase + end + always @(*) begin + case(_zz_8_) + `BranchCtrlEnum_defaultEncoding_INC : _zz_8__string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : _zz_8__string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : _zz_8__string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : _zz_8__string = "JALR"; + default : _zz_8__string = "????"; + endcase + end + always @(*) begin + case(_zz_9_) + `BranchCtrlEnum_defaultEncoding_INC : _zz_9__string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : _zz_9__string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : _zz_9__string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : _zz_9__string = "JALR"; + default : _zz_9__string = "????"; + endcase + end + always @(*) begin + case(_zz_10_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_10__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_10__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_10__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_10__string = "SRA_1 "; + default : _zz_10__string = "?????????"; + endcase + end + always @(*) begin + case(_zz_11_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_11__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_11__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_11__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_11__string = "SRA_1 "; + default : _zz_11__string = "?????????"; + endcase + end + always @(*) begin + case(decode_SHIFT_CTRL) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : decode_SHIFT_CTRL_string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : decode_SHIFT_CTRL_string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : decode_SHIFT_CTRL_string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : decode_SHIFT_CTRL_string = "SRA_1 "; + default : decode_SHIFT_CTRL_string = "?????????"; + endcase + end + always @(*) begin + case(_zz_12_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_12__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_12__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_12__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_12__string = "SRA_1 "; + default : _zz_12__string = "?????????"; + endcase + end + always @(*) begin + case(_zz_13_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_13__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_13__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_13__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_13__string = "SRA_1 "; + default : _zz_13__string = "?????????"; + endcase + end + always @(*) begin + case(_zz_14_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_14__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_14__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_14__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_14__string = "SRA_1 "; + default : _zz_14__string = "?????????"; + endcase + end + always @(*) begin + case(_zz_15_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_15__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_15__string = "XRET"; + default : _zz_15__string = "????"; + endcase + end + always @(*) begin + case(_zz_16_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_16__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_16__string = "XRET"; + default : _zz_16__string = "????"; + endcase + end + always @(*) begin + case(_zz_17_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_17__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_17__string = "XRET"; + default : _zz_17__string = "????"; + endcase + end + always @(*) begin + case(_zz_18_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_18__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_18__string = "XRET"; + default : _zz_18__string = "????"; + endcase + end + always @(*) begin + case(decode_ENV_CTRL) + `EnvCtrlEnum_defaultEncoding_NONE : decode_ENV_CTRL_string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : decode_ENV_CTRL_string = "XRET"; + default : decode_ENV_CTRL_string = "????"; + endcase + end + always @(*) begin + case(_zz_19_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_19__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_19__string = "XRET"; + default : _zz_19__string = "????"; + endcase + end + always @(*) begin + case(_zz_20_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_20__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_20__string = "XRET"; + default : _zz_20__string = "????"; + endcase + end + always @(*) begin + case(_zz_21_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_21__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_21__string = "XRET"; + default : _zz_21__string = "????"; + endcase + end + always @(*) begin + case(execute_BRANCH_CTRL) + `BranchCtrlEnum_defaultEncoding_INC : execute_BRANCH_CTRL_string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : execute_BRANCH_CTRL_string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : execute_BRANCH_CTRL_string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : execute_BRANCH_CTRL_string = "JALR"; + default : execute_BRANCH_CTRL_string = "????"; + endcase + end + always @(*) begin + case(_zz_22_) + `BranchCtrlEnum_defaultEncoding_INC : _zz_22__string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : _zz_22__string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : _zz_22__string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : _zz_22__string = "JALR"; + default : _zz_22__string = "????"; + endcase + end + always @(*) begin + case(memory_SHIFT_CTRL) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : memory_SHIFT_CTRL_string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : memory_SHIFT_CTRL_string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : memory_SHIFT_CTRL_string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : memory_SHIFT_CTRL_string = "SRA_1 "; + default : memory_SHIFT_CTRL_string = "?????????"; + endcase + end + always @(*) begin + case(_zz_24_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_24__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_24__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_24__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_24__string = "SRA_1 "; + default : _zz_24__string = "?????????"; + endcase + end + always @(*) begin + case(execute_SHIFT_CTRL) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : execute_SHIFT_CTRL_string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : execute_SHIFT_CTRL_string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : execute_SHIFT_CTRL_string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : execute_SHIFT_CTRL_string = "SRA_1 "; + default : execute_SHIFT_CTRL_string = "?????????"; + endcase + end + always @(*) begin + case(_zz_25_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_25__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_25__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_25__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_25__string = "SRA_1 "; + default : _zz_25__string = "?????????"; + endcase + end + always @(*) begin + case(decode_SRC2_CTRL) + `Src2CtrlEnum_defaultEncoding_RS : decode_SRC2_CTRL_string = "RS "; + `Src2CtrlEnum_defaultEncoding_IMI : decode_SRC2_CTRL_string = "IMI"; + `Src2CtrlEnum_defaultEncoding_IMS : decode_SRC2_CTRL_string = "IMS"; + `Src2CtrlEnum_defaultEncoding_PC : decode_SRC2_CTRL_string = "PC "; + default : decode_SRC2_CTRL_string = "???"; + endcase + end + always @(*) begin + case(_zz_28_) + `Src2CtrlEnum_defaultEncoding_RS : _zz_28__string = "RS "; + `Src2CtrlEnum_defaultEncoding_IMI : _zz_28__string = "IMI"; + `Src2CtrlEnum_defaultEncoding_IMS : _zz_28__string = "IMS"; + `Src2CtrlEnum_defaultEncoding_PC : _zz_28__string = "PC "; + default : _zz_28__string = "???"; + endcase + end + always @(*) begin + case(decode_SRC1_CTRL) + `Src1CtrlEnum_defaultEncoding_RS : decode_SRC1_CTRL_string = "RS "; + `Src1CtrlEnum_defaultEncoding_IMU : decode_SRC1_CTRL_string = "IMU "; + `Src1CtrlEnum_defaultEncoding_PC_INCREMENT : decode_SRC1_CTRL_string = "PC_INCREMENT"; + `Src1CtrlEnum_defaultEncoding_URS1 : decode_SRC1_CTRL_string = "URS1 "; + default : decode_SRC1_CTRL_string = "????????????"; + endcase + end + always @(*) begin + case(_zz_30_) + `Src1CtrlEnum_defaultEncoding_RS : _zz_30__string = "RS "; + `Src1CtrlEnum_defaultEncoding_IMU : _zz_30__string = "IMU "; + `Src1CtrlEnum_defaultEncoding_PC_INCREMENT : _zz_30__string = "PC_INCREMENT"; + `Src1CtrlEnum_defaultEncoding_URS1 : _zz_30__string = "URS1 "; + default : _zz_30__string = "????????????"; + endcase + end + always @(*) begin + case(execute_ALU_CTRL) + `AluCtrlEnum_defaultEncoding_ADD_SUB : execute_ALU_CTRL_string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : execute_ALU_CTRL_string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : execute_ALU_CTRL_string = "BITWISE "; + default : execute_ALU_CTRL_string = "????????"; + endcase + end + always @(*) begin + case(_zz_31_) + `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_31__string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_31__string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : _zz_31__string = "BITWISE "; + default : _zz_31__string = "????????"; + endcase + end + always @(*) begin + case(execute_ALU_BITWISE_CTRL) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : execute_ALU_BITWISE_CTRL_string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : execute_ALU_BITWISE_CTRL_string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : execute_ALU_BITWISE_CTRL_string = "AND_1"; + default : execute_ALU_BITWISE_CTRL_string = "?????"; + endcase + end + always @(*) begin + case(_zz_32_) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_32__string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_32__string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_32__string = "AND_1"; + default : _zz_32__string = "?????"; + endcase + end + always @(*) begin + case(_zz_36_) + `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_36__string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_36__string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : _zz_36__string = "BITWISE "; + default : _zz_36__string = "????????"; + endcase + end + always @(*) begin + case(_zz_37_) + `BranchCtrlEnum_defaultEncoding_INC : _zz_37__string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : _zz_37__string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : _zz_37__string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : _zz_37__string = "JALR"; + default : _zz_37__string = "????"; + endcase + end + always @(*) begin + case(_zz_38_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_38__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_38__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_38__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_38__string = "SRA_1 "; + default : _zz_38__string = "?????????"; + endcase + end + always @(*) begin + case(_zz_39_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_39__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_39__string = "XRET"; + default : _zz_39__string = "????"; + endcase + end + always @(*) begin + case(_zz_40_) + `Src2CtrlEnum_defaultEncoding_RS : _zz_40__string = "RS "; + `Src2CtrlEnum_defaultEncoding_IMI : _zz_40__string = "IMI"; + `Src2CtrlEnum_defaultEncoding_IMS : _zz_40__string = "IMS"; + `Src2CtrlEnum_defaultEncoding_PC : _zz_40__string = "PC "; + default : _zz_40__string = "???"; + endcase + end + always @(*) begin + case(_zz_41_) + `Src1CtrlEnum_defaultEncoding_RS : _zz_41__string = "RS "; + `Src1CtrlEnum_defaultEncoding_IMU : _zz_41__string = "IMU "; + `Src1CtrlEnum_defaultEncoding_PC_INCREMENT : _zz_41__string = "PC_INCREMENT"; + `Src1CtrlEnum_defaultEncoding_URS1 : _zz_41__string = "URS1 "; + default : _zz_41__string = "????????????"; + endcase + end + always @(*) begin + case(_zz_42_) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_42__string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_42__string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_42__string = "AND_1"; + default : _zz_42__string = "?????"; + endcase + end + always @(*) begin + case(memory_ENV_CTRL) + `EnvCtrlEnum_defaultEncoding_NONE : memory_ENV_CTRL_string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : memory_ENV_CTRL_string = "XRET"; + default : memory_ENV_CTRL_string = "????"; + endcase + end + always @(*) begin + case(_zz_44_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_44__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_44__string = "XRET"; + default : _zz_44__string = "????"; + endcase + end + always @(*) begin + case(execute_ENV_CTRL) + `EnvCtrlEnum_defaultEncoding_NONE : execute_ENV_CTRL_string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : execute_ENV_CTRL_string = "XRET"; + default : execute_ENV_CTRL_string = "????"; + endcase + end + always @(*) begin + case(_zz_45_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_45__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_45__string = "XRET"; + default : _zz_45__string = "????"; + endcase + end + always @(*) begin + case(writeBack_ENV_CTRL) + `EnvCtrlEnum_defaultEncoding_NONE : writeBack_ENV_CTRL_string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : writeBack_ENV_CTRL_string = "XRET"; + default : writeBack_ENV_CTRL_string = "????"; + endcase + end + always @(*) begin + case(_zz_46_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_46__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_46__string = "XRET"; + default : _zz_46__string = "????"; + endcase + end + always @(*) begin + case(_zz_81_) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_81__string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_81__string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_81__string = "AND_1"; + default : _zz_81__string = "?????"; + endcase + end + always @(*) begin + case(_zz_82_) + `Src1CtrlEnum_defaultEncoding_RS : _zz_82__string = "RS "; + `Src1CtrlEnum_defaultEncoding_IMU : _zz_82__string = "IMU "; + `Src1CtrlEnum_defaultEncoding_PC_INCREMENT : _zz_82__string = "PC_INCREMENT"; + `Src1CtrlEnum_defaultEncoding_URS1 : _zz_82__string = "URS1 "; + default : _zz_82__string = "????????????"; + endcase + end + always @(*) begin + case(_zz_83_) + `Src2CtrlEnum_defaultEncoding_RS : _zz_83__string = "RS "; + `Src2CtrlEnum_defaultEncoding_IMI : _zz_83__string = "IMI"; + `Src2CtrlEnum_defaultEncoding_IMS : _zz_83__string = "IMS"; + `Src2CtrlEnum_defaultEncoding_PC : _zz_83__string = "PC "; + default : _zz_83__string = "???"; + endcase + end + always @(*) begin + case(_zz_84_) + `EnvCtrlEnum_defaultEncoding_NONE : _zz_84__string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : _zz_84__string = "XRET"; + default : _zz_84__string = "????"; + endcase + end + always @(*) begin + case(_zz_85_) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_85__string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_85__string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_85__string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_85__string = "SRA_1 "; + default : _zz_85__string = "?????????"; + endcase + end + always @(*) begin + case(_zz_86_) + `BranchCtrlEnum_defaultEncoding_INC : _zz_86__string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : _zz_86__string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : _zz_86__string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : _zz_86__string = "JALR"; + default : _zz_86__string = "????"; + endcase + end + always @(*) begin + case(_zz_87_) + `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_87__string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_87__string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : _zz_87__string = "BITWISE "; + default : _zz_87__string = "????????"; + endcase + end + always @(*) begin + case(decode_to_execute_ENV_CTRL) + `EnvCtrlEnum_defaultEncoding_NONE : decode_to_execute_ENV_CTRL_string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : decode_to_execute_ENV_CTRL_string = "XRET"; + default : decode_to_execute_ENV_CTRL_string = "????"; + endcase + end + always @(*) begin + case(execute_to_memory_ENV_CTRL) + `EnvCtrlEnum_defaultEncoding_NONE : execute_to_memory_ENV_CTRL_string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : execute_to_memory_ENV_CTRL_string = "XRET"; + default : execute_to_memory_ENV_CTRL_string = "????"; + endcase + end + always @(*) begin + case(memory_to_writeBack_ENV_CTRL) + `EnvCtrlEnum_defaultEncoding_NONE : memory_to_writeBack_ENV_CTRL_string = "NONE"; + `EnvCtrlEnum_defaultEncoding_XRET : memory_to_writeBack_ENV_CTRL_string = "XRET"; + default : memory_to_writeBack_ENV_CTRL_string = "????"; + endcase + end + always @(*) begin + case(decode_to_execute_SHIFT_CTRL) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : decode_to_execute_SHIFT_CTRL_string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : decode_to_execute_SHIFT_CTRL_string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : decode_to_execute_SHIFT_CTRL_string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : decode_to_execute_SHIFT_CTRL_string = "SRA_1 "; + default : decode_to_execute_SHIFT_CTRL_string = "?????????"; + endcase + end + always @(*) begin + case(execute_to_memory_SHIFT_CTRL) + `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : execute_to_memory_SHIFT_CTRL_string = "DISABLE_1"; + `ShiftCtrlEnum_defaultEncoding_SLL_1 : execute_to_memory_SHIFT_CTRL_string = "SLL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRL_1 : execute_to_memory_SHIFT_CTRL_string = "SRL_1 "; + `ShiftCtrlEnum_defaultEncoding_SRA_1 : execute_to_memory_SHIFT_CTRL_string = "SRA_1 "; + default : execute_to_memory_SHIFT_CTRL_string = "?????????"; + endcase + end + always @(*) begin + case(decode_to_execute_BRANCH_CTRL) + `BranchCtrlEnum_defaultEncoding_INC : decode_to_execute_BRANCH_CTRL_string = "INC "; + `BranchCtrlEnum_defaultEncoding_B : decode_to_execute_BRANCH_CTRL_string = "B "; + `BranchCtrlEnum_defaultEncoding_JAL : decode_to_execute_BRANCH_CTRL_string = "JAL "; + `BranchCtrlEnum_defaultEncoding_JALR : decode_to_execute_BRANCH_CTRL_string = "JALR"; + default : decode_to_execute_BRANCH_CTRL_string = "????"; + endcase + end + always @(*) begin + case(decode_to_execute_ALU_BITWISE_CTRL) + `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : decode_to_execute_ALU_BITWISE_CTRL_string = "XOR_1"; + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : decode_to_execute_ALU_BITWISE_CTRL_string = "OR_1 "; + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : decode_to_execute_ALU_BITWISE_CTRL_string = "AND_1"; + default : decode_to_execute_ALU_BITWISE_CTRL_string = "?????"; + endcase + end + always @(*) begin + case(decode_to_execute_ALU_CTRL) + `AluCtrlEnum_defaultEncoding_ADD_SUB : decode_to_execute_ALU_CTRL_string = "ADD_SUB "; + `AluCtrlEnum_defaultEncoding_SLT_SLTU : decode_to_execute_ALU_CTRL_string = "SLT_SLTU"; + `AluCtrlEnum_defaultEncoding_BITWISE : decode_to_execute_ALU_CTRL_string = "BITWISE "; + default : decode_to_execute_ALU_CTRL_string = "????????"; + endcase + end + `endif + + assign decode_MEMORY_ENABLE = _zz_168_[0]; + assign execute_BRANCH_DO = _zz_111_; + assign decode_IS_RS2_SIGNED = _zz_169_[0]; + assign execute_MUL_HH = (execute_Mul16Plugin_aHigh * execute_Mul16Plugin_bHigh); + assign writeBack_FORMAL_PC_NEXT = memory_to_writeBack_FORMAL_PC_NEXT; + assign memory_FORMAL_PC_NEXT = execute_to_memory_FORMAL_PC_NEXT; + assign execute_FORMAL_PC_NEXT = decode_to_execute_FORMAL_PC_NEXT; + assign decode_FORMAL_PC_NEXT = (decode_PC + 32'h00000004); + assign decode_ALU_CTRL = _zz_1_; + assign _zz_2_ = _zz_3_; + assign decode_IS_CSR = _zz_170_[0]; + assign decode_ALU_BITWISE_CTRL = _zz_4_; + assign _zz_5_ = _zz_6_; + assign decode_SRC2_FORCE_ZERO = (decode_SRC_ADD_ZERO && (! decode_SRC_USE_SUB_LESS)); + assign decode_IS_DIV = _zz_171_[0]; + assign execute_MUL_LH = (execute_Mul16Plugin_aLow * execute_Mul16Plugin_bHigh); + assign decode_MEMORY_STORE = _zz_172_[0]; + assign execute_MUL_HL = (execute_Mul16Plugin_aHigh * execute_Mul16Plugin_bLow); + assign execute_BRANCH_CALC = {execute_BranchPlugin_branchAdder[31 : 1],(1'b0)}; + assign decode_CSR_WRITE_OPCODE = (! (((decode_INSTRUCTION[14 : 13] == (2'b01)) && (decode_INSTRUCTION[19 : 15] == 5'h0)) || ((decode_INSTRUCTION[14 : 13] == (2'b11)) && (decode_INSTRUCTION[19 : 15] == 5'h0)))); + assign execute_SHIFT_RIGHT = _zz_174_; + assign memory_PC = execute_to_memory_PC; + assign decode_IS_RS1_SIGNED = _zz_176_[0]; + assign decode_BYPASSABLE_EXECUTE_STAGE = _zz_177_[0]; + assign memory_MUL = {_zz_178_,memory_Mul16Plugin_ll[15 : 0]}; + assign memory_SRC2 = execute_to_memory_SRC2; + assign decode_SRC2 = _zz_95_; + assign memory_IS_MUL = execute_to_memory_IS_MUL; + assign execute_IS_MUL = decode_to_execute_IS_MUL; + assign decode_IS_MUL = _zz_180_[0]; + assign execute_BYPASSABLE_MEMORY_STAGE = decode_to_execute_BYPASSABLE_MEMORY_STAGE; + assign decode_BYPASSABLE_MEMORY_STAGE = _zz_181_[0]; + assign decode_BRANCH_CTRL = _zz_7_; + assign _zz_8_ = _zz_9_; + assign decode_DO_EBREAK = ((! DebugPlugin_haltIt) && (decode_IS_EBREAK || (((1'b0 || (DebugPlugin_hardwareBreakpoints_0_valid && (DebugPlugin_hardwareBreakpoints_0_pc == _zz_182_))) || (DebugPlugin_hardwareBreakpoints_1_valid && (DebugPlugin_hardwareBreakpoints_1_pc == _zz_183_))) || (DebugPlugin_hardwareBreakpoints_2_valid && (DebugPlugin_hardwareBreakpoints_2_pc == _zz_184_))))); + assign memory_SRC1 = execute_to_memory_SRC1; + assign decode_SRC1 = _zz_90_; + assign decode_SRC_LESS_UNSIGNED = _zz_185_[0]; + assign memory_MEMORY_ADDRESS_LOW = execute_to_memory_MEMORY_ADDRESS_LOW; + assign execute_MEMORY_ADDRESS_LOW = dBus_cmd_payload_address[1 : 0]; + assign decode_CSR_READ_OPCODE = (decode_INSTRUCTION[13 : 7] != 7'h20); + assign memory_MEMORY_READ_DATA = dBus_rsp_data; + assign writeBack_REGFILE_WRITE_DATA = memory_to_writeBack_REGFILE_WRITE_DATA; + assign memory_REGFILE_WRITE_DATA = execute_to_memory_REGFILE_WRITE_DATA; + assign execute_REGFILE_WRITE_DATA = _zz_89_; + assign _zz_10_ = _zz_11_; + assign decode_SHIFT_CTRL = _zz_12_; + assign _zz_13_ = _zz_14_; + assign _zz_15_ = _zz_16_; + assign _zz_17_ = _zz_18_; + assign decode_ENV_CTRL = _zz_19_; + assign _zz_20_ = _zz_21_; + assign execute_MUL_LL = (execute_Mul16Plugin_aLow * execute_Mul16Plugin_bLow); + assign execute_DO_EBREAK = decode_to_execute_DO_EBREAK; + assign decode_IS_EBREAK = _zz_186_[0]; + assign execute_IS_RS1_SIGNED = decode_to_execute_IS_RS1_SIGNED; + assign execute_IS_DIV = decode_to_execute_IS_DIV; + assign execute_IS_RS2_SIGNED = decode_to_execute_IS_RS2_SIGNED; + assign memory_IS_DIV = execute_to_memory_IS_DIV; + assign writeBack_MUL = memory_to_writeBack_MUL; + assign writeBack_IS_MUL = memory_to_writeBack_IS_MUL; + assign writeBack_SRC2 = memory_to_writeBack_SRC2; + assign writeBack_SRC1 = memory_to_writeBack_SRC1; + assign memory_MUL_HH = execute_to_memory_MUL_HH; + assign memory_MUL_HL = execute_to_memory_MUL_HL; + assign memory_MUL_LH = execute_to_memory_MUL_LH; + assign memory_MUL_LL = execute_to_memory_MUL_LL; + assign memory_BRANCH_CALC = execute_to_memory_BRANCH_CALC; + assign memory_BRANCH_DO = execute_to_memory_BRANCH_DO; + assign execute_PC = decode_to_execute_PC; + assign execute_RS1 = decode_to_execute_RS1; + assign execute_BRANCH_CTRL = _zz_22_; + assign decode_RS2_USE = _zz_187_[0]; + assign decode_RS1_USE = _zz_188_[0]; + assign execute_REGFILE_WRITE_VALID = decode_to_execute_REGFILE_WRITE_VALID; + assign execute_BYPASSABLE_EXECUTE_STAGE = decode_to_execute_BYPASSABLE_EXECUTE_STAGE; + assign memory_REGFILE_WRITE_VALID = execute_to_memory_REGFILE_WRITE_VALID; + assign memory_INSTRUCTION = execute_to_memory_INSTRUCTION; + assign memory_BYPASSABLE_MEMORY_STAGE = execute_to_memory_BYPASSABLE_MEMORY_STAGE; + assign writeBack_REGFILE_WRITE_VALID = memory_to_writeBack_REGFILE_WRITE_VALID; + always @ (*) begin + decode_RS2 = decode_RegFilePlugin_rs2Data; + if(_zz_100_)begin + if((_zz_101_ == decode_INSTRUCTION[24 : 20]))begin + decode_RS2 = _zz_102_; + end + end + if(_zz_139_)begin + if(_zz_140_)begin + if(_zz_104_)begin + decode_RS2 = _zz_47_; + end + end + end + if(_zz_141_)begin + if(memory_BYPASSABLE_MEMORY_STAGE)begin + if(_zz_106_)begin + decode_RS2 = _zz_23_; + end + end + end + if(_zz_142_)begin + if(execute_BYPASSABLE_EXECUTE_STAGE)begin + if(_zz_108_)begin + decode_RS2 = _zz_43_; + end + end + end + end + + always @ (*) begin + decode_RS1 = decode_RegFilePlugin_rs1Data; + if(_zz_100_)begin + if((_zz_101_ == decode_INSTRUCTION[19 : 15]))begin + decode_RS1 = _zz_102_; + end + end + if(_zz_139_)begin + if(_zz_140_)begin + if(_zz_103_)begin + decode_RS1 = _zz_47_; + end + end + end + if(_zz_141_)begin + if(memory_BYPASSABLE_MEMORY_STAGE)begin + if(_zz_105_)begin + decode_RS1 = _zz_23_; + end + end + end + if(_zz_142_)begin + if(execute_BYPASSABLE_EXECUTE_STAGE)begin + if(_zz_107_)begin + decode_RS1 = _zz_43_; + end + end + end + end + + assign memory_SHIFT_RIGHT = execute_to_memory_SHIFT_RIGHT; + always @ (*) begin + _zz_23_ = memory_REGFILE_WRITE_DATA; + if(memory_arbitration_isValid)begin + case(memory_SHIFT_CTRL) + `ShiftCtrlEnum_defaultEncoding_SLL_1 : begin + _zz_23_ = _zz_97_; + end + `ShiftCtrlEnum_defaultEncoding_SRL_1, `ShiftCtrlEnum_defaultEncoding_SRA_1 : begin + _zz_23_ = memory_SHIFT_RIGHT; + end + default : begin + end + endcase + end + if(_zz_143_)begin + _zz_23_ = memory_MulDivIterativePlugin_div_result; + end + end + + assign memory_SHIFT_CTRL = _zz_24_; + assign execute_SHIFT_CTRL = _zz_25_; + assign execute_SRC_LESS_UNSIGNED = decode_to_execute_SRC_LESS_UNSIGNED; + assign execute_SRC2_FORCE_ZERO = decode_to_execute_SRC2_FORCE_ZERO; + assign execute_SRC_USE_SUB_LESS = decode_to_execute_SRC_USE_SUB_LESS; + assign _zz_26_ = decode_PC; + assign _zz_27_ = decode_RS2; + assign decode_SRC2_CTRL = _zz_28_; + assign _zz_29_ = decode_RS1; + assign decode_SRC1_CTRL = _zz_30_; + assign decode_SRC_USE_SUB_LESS = _zz_189_[0]; + assign decode_SRC_ADD_ZERO = _zz_190_[0]; + assign execute_SRC_ADD_SUB = execute_SrcPlugin_addSub; + assign execute_SRC_LESS = execute_SrcPlugin_less; + assign execute_ALU_CTRL = _zz_31_; + assign execute_SRC2 = decode_to_execute_SRC2; + assign execute_ALU_BITWISE_CTRL = _zz_32_; + assign _zz_33_ = writeBack_INSTRUCTION; + assign _zz_34_ = writeBack_REGFILE_WRITE_VALID; + always @ (*) begin + _zz_35_ = 1'b0; + if(lastStageRegFileWrite_valid)begin + _zz_35_ = 1'b1; + end + end + + assign decode_INSTRUCTION_ANTICIPATED = (decode_arbitration_isStuck ? decode_INSTRUCTION : IBusSimplePlugin_iBusRsp_output_payload_rsp_inst); + always @ (*) begin + decode_REGFILE_WRITE_VALID = _zz_191_[0]; + if((decode_INSTRUCTION[11 : 7] == 5'h0))begin + decode_REGFILE_WRITE_VALID = 1'b0; + end + end + + always @ (*) begin + _zz_43_ = execute_REGFILE_WRITE_DATA; + if(_zz_144_)begin + _zz_43_ = execute_CsrPlugin_readData; + end + end + + assign execute_SRC1 = decode_to_execute_SRC1; + assign execute_CSR_READ_OPCODE = decode_to_execute_CSR_READ_OPCODE; + assign execute_CSR_WRITE_OPCODE = decode_to_execute_CSR_WRITE_OPCODE; + assign execute_IS_CSR = decode_to_execute_IS_CSR; + assign memory_ENV_CTRL = _zz_44_; + assign execute_ENV_CTRL = _zz_45_; + assign writeBack_ENV_CTRL = _zz_46_; + assign writeBack_MEMORY_STORE = memory_to_writeBack_MEMORY_STORE; + always @ (*) begin + _zz_47_ = writeBack_REGFILE_WRITE_DATA; + if((writeBack_arbitration_isValid && writeBack_MEMORY_ENABLE))begin + _zz_47_ = writeBack_DBusSimplePlugin_rspFormated; + end + if((writeBack_arbitration_isValid && writeBack_IS_MUL))begin + case(_zz_167_) + 2'b00 : begin + _zz_47_ = writeBack_MUL[31 : 0]; + end + default : begin + _zz_47_ = _zz_219_; + end + endcase + end + end + + assign writeBack_MEMORY_ENABLE = memory_to_writeBack_MEMORY_ENABLE; + assign writeBack_MEMORY_ADDRESS_LOW = memory_to_writeBack_MEMORY_ADDRESS_LOW; + assign writeBack_MEMORY_READ_DATA = memory_to_writeBack_MEMORY_READ_DATA; + assign memory_MEMORY_STORE = execute_to_memory_MEMORY_STORE; + assign memory_MEMORY_ENABLE = execute_to_memory_MEMORY_ENABLE; + assign execute_SRC_ADD = execute_SrcPlugin_addSub; + assign execute_RS2 = decode_to_execute_RS2; + assign execute_INSTRUCTION = decode_to_execute_INSTRUCTION; + assign execute_MEMORY_STORE = decode_to_execute_MEMORY_STORE; + assign execute_MEMORY_ENABLE = decode_to_execute_MEMORY_ENABLE; + assign execute_ALIGNEMENT_FAULT = 1'b0; + always @ (*) begin + _zz_48_ = memory_FORMAL_PC_NEXT; + if(BranchPlugin_jumpInterface_valid)begin + _zz_48_ = BranchPlugin_jumpInterface_payload; + end + end + + assign decode_PC = IBusSimplePlugin_injector_decodeInput_payload_pc; + assign decode_INSTRUCTION = IBusSimplePlugin_injector_decodeInput_payload_rsp_inst; + assign writeBack_PC = memory_to_writeBack_PC; + assign writeBack_INSTRUCTION = memory_to_writeBack_INSTRUCTION; + always @ (*) begin + decode_arbitration_haltItself = 1'b0; + case(_zz_125_) + 3'b000 : begin + end + 3'b001 : begin + end + 3'b010 : begin + decode_arbitration_haltItself = 1'b1; + end + 3'b011 : begin + end + 3'b100 : begin + end + default : begin + end + endcase + end + + always @ (*) begin + decode_arbitration_haltByOther = 1'b0; + if(CsrPlugin_pipelineLiberator_active)begin + decode_arbitration_haltByOther = 1'b1; + end + if(({(writeBack_arbitration_isValid && (writeBack_ENV_CTRL == `EnvCtrlEnum_defaultEncoding_XRET)),{(memory_arbitration_isValid && (memory_ENV_CTRL == `EnvCtrlEnum_defaultEncoding_XRET)),(execute_arbitration_isValid && (execute_ENV_CTRL == `EnvCtrlEnum_defaultEncoding_XRET))}} != (3'b000)))begin + decode_arbitration_haltByOther = 1'b1; + end + if((decode_arbitration_isValid && (_zz_98_ || _zz_99_)))begin + decode_arbitration_haltByOther = 1'b1; + end + end + + always @ (*) begin + decode_arbitration_removeIt = 1'b0; + if(decode_arbitration_isFlushed)begin + decode_arbitration_removeIt = 1'b1; + end + end + + assign decode_arbitration_flushIt = 1'b0; + assign decode_arbitration_flushNext = 1'b0; + always @ (*) begin + execute_arbitration_haltItself = 1'b0; + if(((((execute_arbitration_isValid && execute_MEMORY_ENABLE) && (! dBus_cmd_ready)) && (! execute_DBusSimplePlugin_skipCmd)) && (! _zz_65_)))begin + execute_arbitration_haltItself = 1'b1; + end + if(_zz_144_)begin + if(execute_CsrPlugin_blockedBySideEffects)begin + execute_arbitration_haltItself = 1'b1; + end + end + end + + always @ (*) begin + execute_arbitration_haltByOther = 1'b0; + if(_zz_145_)begin + execute_arbitration_haltByOther = 1'b1; + end + end + + always @ (*) begin + execute_arbitration_removeIt = 1'b0; + if(execute_arbitration_isFlushed)begin + execute_arbitration_removeIt = 1'b1; + end + end + + always @ (*) begin + execute_arbitration_flushIt = 1'b0; + if(_zz_145_)begin + if(_zz_146_)begin + execute_arbitration_flushIt = 1'b1; + end + end + end + + always @ (*) begin + execute_arbitration_flushNext = 1'b0; + if(_zz_145_)begin + if(_zz_146_)begin + execute_arbitration_flushNext = 1'b1; + end + end + end + + always @ (*) begin + memory_arbitration_haltItself = 1'b0; + if((((memory_arbitration_isValid && memory_MEMORY_ENABLE) && (! memory_MEMORY_STORE)) && ((! dBus_rsp_ready) || 1'b0)))begin + memory_arbitration_haltItself = 1'b1; + end + if(_zz_143_)begin + if(((! memory_MulDivIterativePlugin_frontendOk) || (! memory_MulDivIterativePlugin_div_done)))begin + memory_arbitration_haltItself = 1'b1; + end + end + end + + assign memory_arbitration_haltByOther = 1'b0; + always @ (*) begin + memory_arbitration_removeIt = 1'b0; + if(memory_arbitration_isFlushed)begin + memory_arbitration_removeIt = 1'b1; + end + end + + assign memory_arbitration_flushIt = 1'b0; + always @ (*) begin + memory_arbitration_flushNext = 1'b0; + if(BranchPlugin_jumpInterface_valid)begin + memory_arbitration_flushNext = 1'b1; + end + end + + assign writeBack_arbitration_haltItself = 1'b0; + assign writeBack_arbitration_haltByOther = 1'b0; + always @ (*) begin + writeBack_arbitration_removeIt = 1'b0; + if(writeBack_arbitration_isFlushed)begin + writeBack_arbitration_removeIt = 1'b1; + end + end + + assign writeBack_arbitration_flushIt = 1'b0; + always @ (*) begin + writeBack_arbitration_flushNext = 1'b0; + if(_zz_147_)begin + writeBack_arbitration_flushNext = 1'b1; + end + if(_zz_148_)begin + writeBack_arbitration_flushNext = 1'b1; + end + end + + assign lastStageInstruction = writeBack_INSTRUCTION; + assign lastStagePc = writeBack_PC; + assign lastStageIsValid = writeBack_arbitration_isValid; + assign lastStageIsFiring = writeBack_arbitration_isFiring; + always @ (*) begin + IBusSimplePlugin_fetcherHalt = 1'b0; + if(_zz_147_)begin + IBusSimplePlugin_fetcherHalt = 1'b1; + end + if(_zz_148_)begin + IBusSimplePlugin_fetcherHalt = 1'b1; + end + if(_zz_145_)begin + if(_zz_146_)begin + IBusSimplePlugin_fetcherHalt = 1'b1; + end + end + if(DebugPlugin_haltIt)begin + IBusSimplePlugin_fetcherHalt = 1'b1; + end + if(_zz_149_)begin + IBusSimplePlugin_fetcherHalt = 1'b1; + end + end + + always @ (*) begin + IBusSimplePlugin_incomingInstruction = 1'b0; + if((IBusSimplePlugin_iBusRsp_stages_1_input_valid || IBusSimplePlugin_iBusRsp_stages_2_input_valid))begin + IBusSimplePlugin_incomingInstruction = 1'b1; + end + if(IBusSimplePlugin_injector_decodeInput_valid)begin + IBusSimplePlugin_incomingInstruction = 1'b1; + end + end + + assign CsrPlugin_inWfi = 1'b0; + always @ (*) begin + CsrPlugin_thirdPartyWake = 1'b0; + if(DebugPlugin_haltIt)begin + CsrPlugin_thirdPartyWake = 1'b1; + end + end + + always @ (*) begin + CsrPlugin_jumpInterface_valid = 1'b0; + if(_zz_147_)begin + CsrPlugin_jumpInterface_valid = 1'b1; + end + if(_zz_148_)begin + CsrPlugin_jumpInterface_valid = 1'b1; + end + end + + always @ (*) begin + CsrPlugin_jumpInterface_payload = 32'h0; + if(_zz_147_)begin + CsrPlugin_jumpInterface_payload = {CsrPlugin_xtvec_base,(2'b00)}; + end + if(_zz_148_)begin + case(_zz_150_) + 2'b11 : begin + CsrPlugin_jumpInterface_payload = CsrPlugin_mepc; + end + default : begin + end + endcase + end + end + + always @ (*) begin + CsrPlugin_forceMachineWire = 1'b0; + if(DebugPlugin_godmode)begin + CsrPlugin_forceMachineWire = 1'b1; + end + end + + always @ (*) begin + CsrPlugin_allowInterrupts = 1'b1; + if((DebugPlugin_haltIt || DebugPlugin_stepIt))begin + CsrPlugin_allowInterrupts = 1'b0; + end + end + + always @ (*) begin + CsrPlugin_allowException = 1'b1; + if(DebugPlugin_godmode)begin + CsrPlugin_allowException = 1'b0; + end + end + + assign IBusSimplePlugin_externalFlush = ({writeBack_arbitration_flushNext,{memory_arbitration_flushNext,{execute_arbitration_flushNext,decode_arbitration_flushNext}}} != (4'b0000)); + assign IBusSimplePlugin_jump_pcLoad_valid = ({BranchPlugin_jumpInterface_valid,CsrPlugin_jumpInterface_valid} != (2'b00)); + assign _zz_49_ = {BranchPlugin_jumpInterface_valid,CsrPlugin_jumpInterface_valid}; + assign IBusSimplePlugin_jump_pcLoad_payload = (_zz_192_[0] ? CsrPlugin_jumpInterface_payload : BranchPlugin_jumpInterface_payload); + always @ (*) begin + IBusSimplePlugin_fetchPc_correction = 1'b0; + if(IBusSimplePlugin_jump_pcLoad_valid)begin + IBusSimplePlugin_fetchPc_correction = 1'b1; + end + end + + assign IBusSimplePlugin_fetchPc_corrected = (IBusSimplePlugin_fetchPc_correction || IBusSimplePlugin_fetchPc_correctionReg); + always @ (*) begin + IBusSimplePlugin_fetchPc_pcRegPropagate = 1'b0; + if(IBusSimplePlugin_iBusRsp_stages_1_input_ready)begin + IBusSimplePlugin_fetchPc_pcRegPropagate = 1'b1; + end + end + + always @ (*) begin + IBusSimplePlugin_fetchPc_pc = (IBusSimplePlugin_fetchPc_pcReg + _zz_195_); + if(IBusSimplePlugin_jump_pcLoad_valid)begin + IBusSimplePlugin_fetchPc_pc = IBusSimplePlugin_jump_pcLoad_payload; + end + IBusSimplePlugin_fetchPc_pc[0] = 1'b0; + IBusSimplePlugin_fetchPc_pc[1] = 1'b0; + end + + always @ (*) begin + IBusSimplePlugin_fetchPc_flushed = 1'b0; + if(IBusSimplePlugin_jump_pcLoad_valid)begin + IBusSimplePlugin_fetchPc_flushed = 1'b1; + end + end + + assign IBusSimplePlugin_fetchPc_output_valid = ((! IBusSimplePlugin_fetcherHalt) && IBusSimplePlugin_fetchPc_booted); + assign IBusSimplePlugin_fetchPc_output_payload = IBusSimplePlugin_fetchPc_pc; + assign IBusSimplePlugin_iBusRsp_redoFetch = 1'b0; + assign IBusSimplePlugin_iBusRsp_stages_0_input_valid = IBusSimplePlugin_fetchPc_output_valid; + assign IBusSimplePlugin_fetchPc_output_ready = IBusSimplePlugin_iBusRsp_stages_0_input_ready; + assign IBusSimplePlugin_iBusRsp_stages_0_input_payload = IBusSimplePlugin_fetchPc_output_payload; + assign IBusSimplePlugin_iBusRsp_stages_0_halt = 1'b0; + assign _zz_50_ = (! IBusSimplePlugin_iBusRsp_stages_0_halt); + assign IBusSimplePlugin_iBusRsp_stages_0_input_ready = (IBusSimplePlugin_iBusRsp_stages_0_output_ready && _zz_50_); + assign IBusSimplePlugin_iBusRsp_stages_0_output_valid = (IBusSimplePlugin_iBusRsp_stages_0_input_valid && _zz_50_); + assign IBusSimplePlugin_iBusRsp_stages_0_output_payload = IBusSimplePlugin_iBusRsp_stages_0_input_payload; + always @ (*) begin + IBusSimplePlugin_iBusRsp_stages_1_halt = 1'b0; + if((IBusSimplePlugin_iBusRsp_stages_1_input_valid && ((! IBusSimplePlugin_cmdFork_canEmit) || (! IBusSimplePlugin_cmd_ready))))begin + IBusSimplePlugin_iBusRsp_stages_1_halt = 1'b1; + end + end + + assign _zz_51_ = (! IBusSimplePlugin_iBusRsp_stages_1_halt); + assign IBusSimplePlugin_iBusRsp_stages_1_input_ready = (IBusSimplePlugin_iBusRsp_stages_1_output_ready && _zz_51_); + assign IBusSimplePlugin_iBusRsp_stages_1_output_valid = (IBusSimplePlugin_iBusRsp_stages_1_input_valid && _zz_51_); + assign IBusSimplePlugin_iBusRsp_stages_1_output_payload = IBusSimplePlugin_iBusRsp_stages_1_input_payload; + assign IBusSimplePlugin_iBusRsp_stages_2_halt = 1'b0; + assign _zz_52_ = (! IBusSimplePlugin_iBusRsp_stages_2_halt); + assign IBusSimplePlugin_iBusRsp_stages_2_input_ready = (IBusSimplePlugin_iBusRsp_stages_2_output_ready && _zz_52_); + assign IBusSimplePlugin_iBusRsp_stages_2_output_valid = (IBusSimplePlugin_iBusRsp_stages_2_input_valid && _zz_52_); + assign IBusSimplePlugin_iBusRsp_stages_2_output_payload = IBusSimplePlugin_iBusRsp_stages_2_input_payload; + assign IBusSimplePlugin_iBusRsp_flush = (IBusSimplePlugin_externalFlush || IBusSimplePlugin_iBusRsp_redoFetch); + assign IBusSimplePlugin_iBusRsp_stages_0_output_ready = _zz_53_; + assign _zz_53_ = ((1'b0 && (! _zz_54_)) || IBusSimplePlugin_iBusRsp_stages_1_input_ready); + assign _zz_54_ = _zz_55_; + assign IBusSimplePlugin_iBusRsp_stages_1_input_valid = _zz_54_; + assign IBusSimplePlugin_iBusRsp_stages_1_input_payload = IBusSimplePlugin_fetchPc_pcReg; + assign IBusSimplePlugin_iBusRsp_stages_1_output_ready = ((1'b0 && (! _zz_56_)) || IBusSimplePlugin_iBusRsp_stages_2_input_ready); + assign _zz_56_ = _zz_57_; + assign IBusSimplePlugin_iBusRsp_stages_2_input_valid = _zz_56_; + assign IBusSimplePlugin_iBusRsp_stages_2_input_payload = _zz_58_; + always @ (*) begin + IBusSimplePlugin_iBusRsp_readyForError = 1'b1; + if(IBusSimplePlugin_injector_decodeInput_valid)begin + IBusSimplePlugin_iBusRsp_readyForError = 1'b0; + end + if((! IBusSimplePlugin_pcValids_0))begin + IBusSimplePlugin_iBusRsp_readyForError = 1'b0; + end + end + + assign IBusSimplePlugin_iBusRsp_output_ready = ((1'b0 && (! IBusSimplePlugin_injector_decodeInput_valid)) || IBusSimplePlugin_injector_decodeInput_ready); + assign IBusSimplePlugin_injector_decodeInput_valid = _zz_59_; + assign IBusSimplePlugin_injector_decodeInput_payload_pc = _zz_60_; + assign IBusSimplePlugin_injector_decodeInput_payload_rsp_error = _zz_61_; + assign IBusSimplePlugin_injector_decodeInput_payload_rsp_inst = _zz_62_; + assign IBusSimplePlugin_injector_decodeInput_payload_isRvc = _zz_63_; + assign IBusSimplePlugin_pcValids_0 = IBusSimplePlugin_injector_nextPcCalc_valids_2; + assign IBusSimplePlugin_pcValids_1 = IBusSimplePlugin_injector_nextPcCalc_valids_3; + assign IBusSimplePlugin_pcValids_2 = IBusSimplePlugin_injector_nextPcCalc_valids_4; + assign IBusSimplePlugin_pcValids_3 = IBusSimplePlugin_injector_nextPcCalc_valids_5; + assign IBusSimplePlugin_injector_decodeInput_ready = (! decode_arbitration_isStuck); + always @ (*) begin + decode_arbitration_isValid = IBusSimplePlugin_injector_decodeInput_valid; + case(_zz_125_) + 3'b000 : begin + end + 3'b001 : begin + end + 3'b010 : begin + decode_arbitration_isValid = 1'b1; + end + 3'b011 : begin + decode_arbitration_isValid = 1'b1; + end + 3'b100 : begin + end + default : begin + end + endcase + end + + assign iBus_cmd_valid = IBusSimplePlugin_cmd_valid; + assign IBusSimplePlugin_cmd_ready = iBus_cmd_ready; + assign iBus_cmd_payload_pc = IBusSimplePlugin_cmd_payload_pc; + assign IBusSimplePlugin_pending_next = (_zz_196_ - _zz_200_); + assign IBusSimplePlugin_cmdFork_canEmit = (IBusSimplePlugin_iBusRsp_stages_1_output_ready && (IBusSimplePlugin_pending_value != (3'b111))); + assign IBusSimplePlugin_cmd_valid = (IBusSimplePlugin_iBusRsp_stages_1_input_valid && IBusSimplePlugin_cmdFork_canEmit); + assign IBusSimplePlugin_pending_inc = (IBusSimplePlugin_cmd_valid && IBusSimplePlugin_cmd_ready); + assign IBusSimplePlugin_cmd_payload_pc = {IBusSimplePlugin_iBusRsp_stages_1_input_payload[31 : 2],(2'b00)}; + assign IBusSimplePlugin_rspJoin_rspBuffer_flush = ((IBusSimplePlugin_rspJoin_rspBuffer_discardCounter != (3'b000)) || IBusSimplePlugin_iBusRsp_flush); + assign IBusSimplePlugin_rspJoin_rspBuffer_output_valid = (IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid && (IBusSimplePlugin_rspJoin_rspBuffer_discardCounter == (3'b000))); + assign IBusSimplePlugin_rspJoin_rspBuffer_output_payload_error = IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_error; + assign IBusSimplePlugin_rspJoin_rspBuffer_output_payload_inst = IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_inst; + assign _zz_135_ = (IBusSimplePlugin_rspJoin_rspBuffer_output_ready || IBusSimplePlugin_rspJoin_rspBuffer_flush); + assign IBusSimplePlugin_pending_dec = (IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid && _zz_135_); + assign IBusSimplePlugin_rspJoin_fetchRsp_pc = IBusSimplePlugin_iBusRsp_stages_2_output_payload; + always @ (*) begin + IBusSimplePlugin_rspJoin_fetchRsp_rsp_error = IBusSimplePlugin_rspJoin_rspBuffer_output_payload_error; + if((! IBusSimplePlugin_rspJoin_rspBuffer_output_valid))begin + IBusSimplePlugin_rspJoin_fetchRsp_rsp_error = 1'b0; + end + end + + assign IBusSimplePlugin_rspJoin_fetchRsp_rsp_inst = IBusSimplePlugin_rspJoin_rspBuffer_output_payload_inst; + assign IBusSimplePlugin_rspJoin_exceptionDetected = 1'b0; + assign IBusSimplePlugin_rspJoin_join_valid = (IBusSimplePlugin_iBusRsp_stages_2_output_valid && IBusSimplePlugin_rspJoin_rspBuffer_output_valid); + assign IBusSimplePlugin_rspJoin_join_payload_pc = IBusSimplePlugin_rspJoin_fetchRsp_pc; + assign IBusSimplePlugin_rspJoin_join_payload_rsp_error = IBusSimplePlugin_rspJoin_fetchRsp_rsp_error; + assign IBusSimplePlugin_rspJoin_join_payload_rsp_inst = IBusSimplePlugin_rspJoin_fetchRsp_rsp_inst; + assign IBusSimplePlugin_rspJoin_join_payload_isRvc = IBusSimplePlugin_rspJoin_fetchRsp_isRvc; + assign IBusSimplePlugin_iBusRsp_stages_2_output_ready = (IBusSimplePlugin_iBusRsp_stages_2_output_valid ? (IBusSimplePlugin_rspJoin_join_valid && IBusSimplePlugin_rspJoin_join_ready) : IBusSimplePlugin_rspJoin_join_ready); + assign IBusSimplePlugin_rspJoin_rspBuffer_output_ready = (IBusSimplePlugin_rspJoin_join_valid && IBusSimplePlugin_rspJoin_join_ready); + assign _zz_64_ = (! IBusSimplePlugin_rspJoin_exceptionDetected); + assign IBusSimplePlugin_rspJoin_join_ready = (IBusSimplePlugin_iBusRsp_output_ready && _zz_64_); + assign IBusSimplePlugin_iBusRsp_output_valid = (IBusSimplePlugin_rspJoin_join_valid && _zz_64_); + assign IBusSimplePlugin_iBusRsp_output_payload_pc = IBusSimplePlugin_rspJoin_join_payload_pc; + assign IBusSimplePlugin_iBusRsp_output_payload_rsp_error = IBusSimplePlugin_rspJoin_join_payload_rsp_error; + assign IBusSimplePlugin_iBusRsp_output_payload_rsp_inst = IBusSimplePlugin_rspJoin_join_payload_rsp_inst; + assign IBusSimplePlugin_iBusRsp_output_payload_isRvc = IBusSimplePlugin_rspJoin_join_payload_isRvc; + assign _zz_65_ = 1'b0; + always @ (*) begin + execute_DBusSimplePlugin_skipCmd = 1'b0; + if(execute_ALIGNEMENT_FAULT)begin + execute_DBusSimplePlugin_skipCmd = 1'b1; + end + end + + assign dBus_cmd_valid = (((((execute_arbitration_isValid && execute_MEMORY_ENABLE) && (! execute_arbitration_isStuckByOthers)) && (! execute_arbitration_isFlushed)) && (! execute_DBusSimplePlugin_skipCmd)) && (! _zz_65_)); + assign dBus_cmd_payload_wr = execute_MEMORY_STORE; + assign dBus_cmd_payload_size = execute_INSTRUCTION[13 : 12]; + always @ (*) begin + case(dBus_cmd_payload_size) + 2'b00 : begin + _zz_66_ = {{{execute_RS2[7 : 0],execute_RS2[7 : 0]},execute_RS2[7 : 0]},execute_RS2[7 : 0]}; + end + 2'b01 : begin + _zz_66_ = {execute_RS2[15 : 0],execute_RS2[15 : 0]}; + end + default : begin + _zz_66_ = execute_RS2[31 : 0]; + end + endcase + end + + assign dBus_cmd_payload_data = _zz_66_; + always @ (*) begin + case(dBus_cmd_payload_size) + 2'b00 : begin + _zz_67_ = (4'b0001); + end + 2'b01 : begin + _zz_67_ = (4'b0011); + end + default : begin + _zz_67_ = (4'b1111); + end + endcase + end + + assign execute_DBusSimplePlugin_formalMask = (_zz_67_ <<< dBus_cmd_payload_address[1 : 0]); + assign dBus_cmd_payload_address = execute_SRC_ADD; + always @ (*) begin + writeBack_DBusSimplePlugin_rspShifted = writeBack_MEMORY_READ_DATA; + case(writeBack_MEMORY_ADDRESS_LOW) + 2'b01 : begin + writeBack_DBusSimplePlugin_rspShifted[7 : 0] = writeBack_MEMORY_READ_DATA[15 : 8]; + end + 2'b10 : begin + writeBack_DBusSimplePlugin_rspShifted[15 : 0] = writeBack_MEMORY_READ_DATA[31 : 16]; + end + 2'b11 : begin + writeBack_DBusSimplePlugin_rspShifted[7 : 0] = writeBack_MEMORY_READ_DATA[31 : 24]; + end + default : begin + end + endcase + end + + assign _zz_68_ = (writeBack_DBusSimplePlugin_rspShifted[7] && (! writeBack_INSTRUCTION[14])); + always @ (*) begin + _zz_69_[31] = _zz_68_; + _zz_69_[30] = _zz_68_; + _zz_69_[29] = _zz_68_; + _zz_69_[28] = _zz_68_; + _zz_69_[27] = _zz_68_; + _zz_69_[26] = _zz_68_; + _zz_69_[25] = _zz_68_; + _zz_69_[24] = _zz_68_; + _zz_69_[23] = _zz_68_; + _zz_69_[22] = _zz_68_; + _zz_69_[21] = _zz_68_; + _zz_69_[20] = _zz_68_; + _zz_69_[19] = _zz_68_; + _zz_69_[18] = _zz_68_; + _zz_69_[17] = _zz_68_; + _zz_69_[16] = _zz_68_; + _zz_69_[15] = _zz_68_; + _zz_69_[14] = _zz_68_; + _zz_69_[13] = _zz_68_; + _zz_69_[12] = _zz_68_; + _zz_69_[11] = _zz_68_; + _zz_69_[10] = _zz_68_; + _zz_69_[9] = _zz_68_; + _zz_69_[8] = _zz_68_; + _zz_69_[7 : 0] = writeBack_DBusSimplePlugin_rspShifted[7 : 0]; + end + + assign _zz_70_ = (writeBack_DBusSimplePlugin_rspShifted[15] && (! writeBack_INSTRUCTION[14])); + always @ (*) begin + _zz_71_[31] = _zz_70_; + _zz_71_[30] = _zz_70_; + _zz_71_[29] = _zz_70_; + _zz_71_[28] = _zz_70_; + _zz_71_[27] = _zz_70_; + _zz_71_[26] = _zz_70_; + _zz_71_[25] = _zz_70_; + _zz_71_[24] = _zz_70_; + _zz_71_[23] = _zz_70_; + _zz_71_[22] = _zz_70_; + _zz_71_[21] = _zz_70_; + _zz_71_[20] = _zz_70_; + _zz_71_[19] = _zz_70_; + _zz_71_[18] = _zz_70_; + _zz_71_[17] = _zz_70_; + _zz_71_[16] = _zz_70_; + _zz_71_[15 : 0] = writeBack_DBusSimplePlugin_rspShifted[15 : 0]; + end + + always @ (*) begin + case(_zz_165_) + 2'b00 : begin + writeBack_DBusSimplePlugin_rspFormated = _zz_69_; + end + 2'b01 : begin + writeBack_DBusSimplePlugin_rspFormated = _zz_71_; + end + default : begin + writeBack_DBusSimplePlugin_rspFormated = writeBack_DBusSimplePlugin_rspShifted; + end + endcase + end + + always @ (*) begin + CsrPlugin_privilege = (2'b11); + if(CsrPlugin_forceMachineWire)begin + CsrPlugin_privilege = (2'b11); + end + end + + assign CsrPlugin_misa_base = (2'b01); + assign CsrPlugin_misa_extensions = 26'h0000042; + assign _zz_72_ = (CsrPlugin_mip_MTIP && CsrPlugin_mie_MTIE); + assign _zz_73_ = (CsrPlugin_mip_MSIP && CsrPlugin_mie_MSIE); + assign _zz_74_ = (CsrPlugin_mip_MEIP && CsrPlugin_mie_MEIE); + assign CsrPlugin_exception = 1'b0; + assign CsrPlugin_lastStageWasWfi = 1'b0; + assign CsrPlugin_pipelineLiberator_active = ((CsrPlugin_interrupt_valid && CsrPlugin_allowInterrupts) && decode_arbitration_isValid); + always @ (*) begin + CsrPlugin_pipelineLiberator_done = CsrPlugin_pipelineLiberator_pcValids_2; + if(CsrPlugin_hadException)begin + CsrPlugin_pipelineLiberator_done = 1'b0; + end + end + + assign CsrPlugin_interruptJump = ((CsrPlugin_interrupt_valid && CsrPlugin_pipelineLiberator_done) && CsrPlugin_allowInterrupts); + assign CsrPlugin_targetPrivilege = CsrPlugin_interrupt_targetPrivilege; + assign CsrPlugin_trapCause = CsrPlugin_interrupt_code; + always @ (*) begin + CsrPlugin_xtvec_mode = (2'bxx); + case(CsrPlugin_targetPrivilege) + 2'b11 : begin + CsrPlugin_xtvec_mode = CsrPlugin_mtvec_mode; + end + default : begin + end + endcase + end + + always @ (*) begin + CsrPlugin_xtvec_base = 30'h0; + case(CsrPlugin_targetPrivilege) + 2'b11 : begin + CsrPlugin_xtvec_base = CsrPlugin_mtvec_base; + end + default : begin + end + endcase + end + + assign contextSwitching = CsrPlugin_jumpInterface_valid; + assign execute_CsrPlugin_blockedBySideEffects = ({writeBack_arbitration_isValid,memory_arbitration_isValid} != (2'b00)); + always @ (*) begin + execute_CsrPlugin_illegalAccess = 1'b1; + if(execute_CsrPlugin_csr_768)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + if(execute_CsrPlugin_csr_836)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + if(execute_CsrPlugin_csr_772)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + if(execute_CsrPlugin_csr_773)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + if(execute_CsrPlugin_csr_834)begin + if(execute_CSR_READ_OPCODE)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + end + if(execute_CsrPlugin_csr_2816)begin + if(execute_CSR_READ_OPCODE)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + end + if(execute_CsrPlugin_csr_2944)begin + if(execute_CSR_READ_OPCODE)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + end + if(execute_CsrPlugin_csr_2818)begin + if(execute_CSR_READ_OPCODE)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + end + if(execute_CsrPlugin_csr_2946)begin + if(execute_CSR_READ_OPCODE)begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + end + if((CsrPlugin_privilege < execute_CsrPlugin_csrAddress[9 : 8]))begin + execute_CsrPlugin_illegalAccess = 1'b1; + end + if(((! execute_arbitration_isValid) || (! execute_IS_CSR)))begin + execute_CsrPlugin_illegalAccess = 1'b0; + end + end + + always @ (*) begin + execute_CsrPlugin_illegalInstruction = 1'b0; + if((execute_arbitration_isValid && (execute_ENV_CTRL == `EnvCtrlEnum_defaultEncoding_XRET)))begin + if((CsrPlugin_privilege < execute_INSTRUCTION[29 : 28]))begin + execute_CsrPlugin_illegalInstruction = 1'b1; + end + end + end + + assign execute_CsrPlugin_writeInstruction = ((execute_arbitration_isValid && execute_IS_CSR) && execute_CSR_WRITE_OPCODE); + assign execute_CsrPlugin_readInstruction = ((execute_arbitration_isValid && execute_IS_CSR) && execute_CSR_READ_OPCODE); + assign execute_CsrPlugin_writeEnable = ((execute_CsrPlugin_writeInstruction && (! execute_CsrPlugin_blockedBySideEffects)) && (! execute_arbitration_isStuckByOthers)); + assign execute_CsrPlugin_readEnable = ((execute_CsrPlugin_readInstruction && (! execute_CsrPlugin_blockedBySideEffects)) && (! execute_arbitration_isStuckByOthers)); + assign execute_CsrPlugin_readToWriteData = execute_CsrPlugin_readData; + always @ (*) begin + case(_zz_166_) + 1'b0 : begin + execute_CsrPlugin_writeData = execute_SRC1; + end + default : begin + execute_CsrPlugin_writeData = (execute_INSTRUCTION[12] ? (execute_CsrPlugin_readToWriteData & (~ execute_SRC1)) : (execute_CsrPlugin_readToWriteData | execute_SRC1)); + end + endcase + end + + assign execute_CsrPlugin_csrAddress = execute_INSTRUCTION[31 : 20]; + assign _zz_76_ = ((decode_INSTRUCTION & 32'h00004050) == 32'h00004050); + assign _zz_77_ = ((decode_INSTRUCTION & 32'h00000004) == 32'h00000004); + assign _zz_78_ = ((decode_INSTRUCTION & 32'h00000048) == 32'h00000048); + assign _zz_79_ = ((decode_INSTRUCTION & 32'h00001000) == 32'h0); + assign _zz_80_ = ((decode_INSTRUCTION & 32'h00006004) == 32'h00002000); + assign _zz_75_ = {(((decode_INSTRUCTION & _zz_248_) == 32'h02004020) != (1'b0)),{({_zz_249_,{_zz_250_,_zz_251_}} != (4'b0000)),{(_zz_252_ != (1'b0)),{(_zz_253_ != _zz_254_),{_zz_255_,{_zz_256_,_zz_257_}}}}}}; + assign _zz_81_ = _zz_75_[2 : 1]; + assign _zz_42_ = _zz_81_; + assign _zz_82_ = _zz_75_[5 : 4]; + assign _zz_41_ = _zz_82_; + assign _zz_83_ = _zz_75_[7 : 6]; + assign _zz_40_ = _zz_83_; + assign _zz_84_ = _zz_75_[13 : 13]; + assign _zz_39_ = _zz_84_; + assign _zz_85_ = _zz_75_[18 : 17]; + assign _zz_38_ = _zz_85_; + assign _zz_86_ = _zz_75_[25 : 24]; + assign _zz_37_ = _zz_86_; + assign _zz_87_ = _zz_75_[27 : 26]; + assign _zz_36_ = _zz_87_; + assign decode_RegFilePlugin_regFileReadAddress1 = decode_INSTRUCTION_ANTICIPATED[19 : 15]; + assign decode_RegFilePlugin_regFileReadAddress2 = decode_INSTRUCTION_ANTICIPATED[24 : 20]; + assign decode_RegFilePlugin_rs1Data = _zz_137_; + assign decode_RegFilePlugin_rs2Data = _zz_138_; + always @ (*) begin + lastStageRegFileWrite_valid = (_zz_34_ && writeBack_arbitration_isFiring); + if(_zz_88_)begin + lastStageRegFileWrite_valid = 1'b1; + end + end + + assign lastStageRegFileWrite_payload_address = _zz_33_[11 : 7]; + assign lastStageRegFileWrite_payload_data = _zz_47_; + always @ (*) begin + case(execute_ALU_BITWISE_CTRL) + `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : begin + execute_IntAluPlugin_bitwise = (execute_SRC1 & execute_SRC2); + end + `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : begin + execute_IntAluPlugin_bitwise = (execute_SRC1 | execute_SRC2); + end + default : begin + execute_IntAluPlugin_bitwise = (execute_SRC1 ^ execute_SRC2); + end + endcase + end + + always @ (*) begin + case(execute_ALU_CTRL) + `AluCtrlEnum_defaultEncoding_BITWISE : begin + _zz_89_ = execute_IntAluPlugin_bitwise; + end + `AluCtrlEnum_defaultEncoding_SLT_SLTU : begin + _zz_89_ = {31'd0, _zz_203_}; + end + default : begin + _zz_89_ = execute_SRC_ADD_SUB; + end + endcase + end + + always @ (*) begin + case(decode_SRC1_CTRL) + `Src1CtrlEnum_defaultEncoding_RS : begin + _zz_90_ = _zz_29_; + end + `Src1CtrlEnum_defaultEncoding_PC_INCREMENT : begin + _zz_90_ = {29'd0, _zz_204_}; + end + `Src1CtrlEnum_defaultEncoding_IMU : begin + _zz_90_ = {decode_INSTRUCTION[31 : 12],12'h0}; + end + default : begin + _zz_90_ = {27'd0, _zz_205_}; + end + endcase + end + + assign _zz_91_ = _zz_206_[11]; + always @ (*) begin + _zz_92_[19] = _zz_91_; + _zz_92_[18] = _zz_91_; + _zz_92_[17] = _zz_91_; + _zz_92_[16] = _zz_91_; + _zz_92_[15] = _zz_91_; + _zz_92_[14] = _zz_91_; + _zz_92_[13] = _zz_91_; + _zz_92_[12] = _zz_91_; + _zz_92_[11] = _zz_91_; + _zz_92_[10] = _zz_91_; + _zz_92_[9] = _zz_91_; + _zz_92_[8] = _zz_91_; + _zz_92_[7] = _zz_91_; + _zz_92_[6] = _zz_91_; + _zz_92_[5] = _zz_91_; + _zz_92_[4] = _zz_91_; + _zz_92_[3] = _zz_91_; + _zz_92_[2] = _zz_91_; + _zz_92_[1] = _zz_91_; + _zz_92_[0] = _zz_91_; + end + + assign _zz_93_ = _zz_207_[11]; + always @ (*) begin + _zz_94_[19] = _zz_93_; + _zz_94_[18] = _zz_93_; + _zz_94_[17] = _zz_93_; + _zz_94_[16] = _zz_93_; + _zz_94_[15] = _zz_93_; + _zz_94_[14] = _zz_93_; + _zz_94_[13] = _zz_93_; + _zz_94_[12] = _zz_93_; + _zz_94_[11] = _zz_93_; + _zz_94_[10] = _zz_93_; + _zz_94_[9] = _zz_93_; + _zz_94_[8] = _zz_93_; + _zz_94_[7] = _zz_93_; + _zz_94_[6] = _zz_93_; + _zz_94_[5] = _zz_93_; + _zz_94_[4] = _zz_93_; + _zz_94_[3] = _zz_93_; + _zz_94_[2] = _zz_93_; + _zz_94_[1] = _zz_93_; + _zz_94_[0] = _zz_93_; + end + + always @ (*) begin + case(decode_SRC2_CTRL) + `Src2CtrlEnum_defaultEncoding_RS : begin + _zz_95_ = _zz_27_; + end + `Src2CtrlEnum_defaultEncoding_IMI : begin + _zz_95_ = {_zz_92_,decode_INSTRUCTION[31 : 20]}; + end + `Src2CtrlEnum_defaultEncoding_IMS : begin + _zz_95_ = {_zz_94_,{decode_INSTRUCTION[31 : 25],decode_INSTRUCTION[11 : 7]}}; + end + default : begin + _zz_95_ = _zz_26_; + end + endcase + end + + always @ (*) begin + execute_SrcPlugin_addSub = _zz_208_; + if(execute_SRC2_FORCE_ZERO)begin + execute_SrcPlugin_addSub = execute_SRC1; + end + end + + assign execute_SrcPlugin_less = ((execute_SRC1[31] == execute_SRC2[31]) ? execute_SrcPlugin_addSub[31] : (execute_SRC_LESS_UNSIGNED ? execute_SRC2[31] : execute_SRC1[31])); + assign execute_FullBarrelShifterPlugin_amplitude = execute_SRC2[4 : 0]; + always @ (*) begin + _zz_96_[0] = execute_SRC1[31]; + _zz_96_[1] = execute_SRC1[30]; + _zz_96_[2] = execute_SRC1[29]; + _zz_96_[3] = execute_SRC1[28]; + _zz_96_[4] = execute_SRC1[27]; + _zz_96_[5] = execute_SRC1[26]; + _zz_96_[6] = execute_SRC1[25]; + _zz_96_[7] = execute_SRC1[24]; + _zz_96_[8] = execute_SRC1[23]; + _zz_96_[9] = execute_SRC1[22]; + _zz_96_[10] = execute_SRC1[21]; + _zz_96_[11] = execute_SRC1[20]; + _zz_96_[12] = execute_SRC1[19]; + _zz_96_[13] = execute_SRC1[18]; + _zz_96_[14] = execute_SRC1[17]; + _zz_96_[15] = execute_SRC1[16]; + _zz_96_[16] = execute_SRC1[15]; + _zz_96_[17] = execute_SRC1[14]; + _zz_96_[18] = execute_SRC1[13]; + _zz_96_[19] = execute_SRC1[12]; + _zz_96_[20] = execute_SRC1[11]; + _zz_96_[21] = execute_SRC1[10]; + _zz_96_[22] = execute_SRC1[9]; + _zz_96_[23] = execute_SRC1[8]; + _zz_96_[24] = execute_SRC1[7]; + _zz_96_[25] = execute_SRC1[6]; + _zz_96_[26] = execute_SRC1[5]; + _zz_96_[27] = execute_SRC1[4]; + _zz_96_[28] = execute_SRC1[3]; + _zz_96_[29] = execute_SRC1[2]; + _zz_96_[30] = execute_SRC1[1]; + _zz_96_[31] = execute_SRC1[0]; + end + + assign execute_FullBarrelShifterPlugin_reversed = ((execute_SHIFT_CTRL == `ShiftCtrlEnum_defaultEncoding_SLL_1) ? _zz_96_ : execute_SRC1); + always @ (*) begin + _zz_97_[0] = memory_SHIFT_RIGHT[31]; + _zz_97_[1] = memory_SHIFT_RIGHT[30]; + _zz_97_[2] = memory_SHIFT_RIGHT[29]; + _zz_97_[3] = memory_SHIFT_RIGHT[28]; + _zz_97_[4] = memory_SHIFT_RIGHT[27]; + _zz_97_[5] = memory_SHIFT_RIGHT[26]; + _zz_97_[6] = memory_SHIFT_RIGHT[25]; + _zz_97_[7] = memory_SHIFT_RIGHT[24]; + _zz_97_[8] = memory_SHIFT_RIGHT[23]; + _zz_97_[9] = memory_SHIFT_RIGHT[22]; + _zz_97_[10] = memory_SHIFT_RIGHT[21]; + _zz_97_[11] = memory_SHIFT_RIGHT[20]; + _zz_97_[12] = memory_SHIFT_RIGHT[19]; + _zz_97_[13] = memory_SHIFT_RIGHT[18]; + _zz_97_[14] = memory_SHIFT_RIGHT[17]; + _zz_97_[15] = memory_SHIFT_RIGHT[16]; + _zz_97_[16] = memory_SHIFT_RIGHT[15]; + _zz_97_[17] = memory_SHIFT_RIGHT[14]; + _zz_97_[18] = memory_SHIFT_RIGHT[13]; + _zz_97_[19] = memory_SHIFT_RIGHT[12]; + _zz_97_[20] = memory_SHIFT_RIGHT[11]; + _zz_97_[21] = memory_SHIFT_RIGHT[10]; + _zz_97_[22] = memory_SHIFT_RIGHT[9]; + _zz_97_[23] = memory_SHIFT_RIGHT[8]; + _zz_97_[24] = memory_SHIFT_RIGHT[7]; + _zz_97_[25] = memory_SHIFT_RIGHT[6]; + _zz_97_[26] = memory_SHIFT_RIGHT[5]; + _zz_97_[27] = memory_SHIFT_RIGHT[4]; + _zz_97_[28] = memory_SHIFT_RIGHT[3]; + _zz_97_[29] = memory_SHIFT_RIGHT[2]; + _zz_97_[30] = memory_SHIFT_RIGHT[1]; + _zz_97_[31] = memory_SHIFT_RIGHT[0]; + end + + always @ (*) begin + _zz_98_ = 1'b0; + if(_zz_151_)begin + if(_zz_152_)begin + if(_zz_103_)begin + _zz_98_ = 1'b1; + end + end + end + if(_zz_153_)begin + if(_zz_154_)begin + if(_zz_105_)begin + _zz_98_ = 1'b1; + end + end + end + if(_zz_155_)begin + if(_zz_156_)begin + if(_zz_107_)begin + _zz_98_ = 1'b1; + end + end + end + if((! decode_RS1_USE))begin + _zz_98_ = 1'b0; + end + end + + always @ (*) begin + _zz_99_ = 1'b0; + if(_zz_151_)begin + if(_zz_152_)begin + if(_zz_104_)begin + _zz_99_ = 1'b1; + end + end + end + if(_zz_153_)begin + if(_zz_154_)begin + if(_zz_106_)begin + _zz_99_ = 1'b1; + end + end + end + if(_zz_155_)begin + if(_zz_156_)begin + if(_zz_108_)begin + _zz_99_ = 1'b1; + end + end + end + if((! decode_RS2_USE))begin + _zz_99_ = 1'b0; + end + end + + assign _zz_103_ = (writeBack_INSTRUCTION[11 : 7] == decode_INSTRUCTION[19 : 15]); + assign _zz_104_ = (writeBack_INSTRUCTION[11 : 7] == decode_INSTRUCTION[24 : 20]); + assign _zz_105_ = (memory_INSTRUCTION[11 : 7] == decode_INSTRUCTION[19 : 15]); + assign _zz_106_ = (memory_INSTRUCTION[11 : 7] == decode_INSTRUCTION[24 : 20]); + assign _zz_107_ = (execute_INSTRUCTION[11 : 7] == decode_INSTRUCTION[19 : 15]); + assign _zz_108_ = (execute_INSTRUCTION[11 : 7] == decode_INSTRUCTION[24 : 20]); + assign execute_BranchPlugin_eq = (execute_SRC1 == execute_SRC2); + assign _zz_109_ = execute_INSTRUCTION[14 : 12]; + always @ (*) begin + if((_zz_109_ == (3'b000))) begin + _zz_110_ = execute_BranchPlugin_eq; + end else if((_zz_109_ == (3'b001))) begin + _zz_110_ = (! execute_BranchPlugin_eq); + end else if((((_zz_109_ & (3'b101)) == (3'b101)))) begin + _zz_110_ = (! execute_SRC_LESS); + end else begin + _zz_110_ = execute_SRC_LESS; + end + end + + always @ (*) begin + case(execute_BRANCH_CTRL) + `BranchCtrlEnum_defaultEncoding_INC : begin + _zz_111_ = 1'b0; + end + `BranchCtrlEnum_defaultEncoding_JAL : begin + _zz_111_ = 1'b1; + end + `BranchCtrlEnum_defaultEncoding_JALR : begin + _zz_111_ = 1'b1; + end + default : begin + _zz_111_ = _zz_110_; + end + endcase + end + + assign execute_BranchPlugin_branch_src1 = ((execute_BRANCH_CTRL == `BranchCtrlEnum_defaultEncoding_JALR) ? execute_RS1 : execute_PC); + assign _zz_112_ = _zz_215_[19]; + always @ (*) begin + _zz_113_[10] = _zz_112_; + _zz_113_[9] = _zz_112_; + _zz_113_[8] = _zz_112_; + _zz_113_[7] = _zz_112_; + _zz_113_[6] = _zz_112_; + _zz_113_[5] = _zz_112_; + _zz_113_[4] = _zz_112_; + _zz_113_[3] = _zz_112_; + _zz_113_[2] = _zz_112_; + _zz_113_[1] = _zz_112_; + _zz_113_[0] = _zz_112_; + end + + assign _zz_114_ = _zz_216_[11]; + always @ (*) begin + _zz_115_[19] = _zz_114_; + _zz_115_[18] = _zz_114_; + _zz_115_[17] = _zz_114_; + _zz_115_[16] = _zz_114_; + _zz_115_[15] = _zz_114_; + _zz_115_[14] = _zz_114_; + _zz_115_[13] = _zz_114_; + _zz_115_[12] = _zz_114_; + _zz_115_[11] = _zz_114_; + _zz_115_[10] = _zz_114_; + _zz_115_[9] = _zz_114_; + _zz_115_[8] = _zz_114_; + _zz_115_[7] = _zz_114_; + _zz_115_[6] = _zz_114_; + _zz_115_[5] = _zz_114_; + _zz_115_[4] = _zz_114_; + _zz_115_[3] = _zz_114_; + _zz_115_[2] = _zz_114_; + _zz_115_[1] = _zz_114_; + _zz_115_[0] = _zz_114_; + end + + assign _zz_116_ = _zz_217_[11]; + always @ (*) begin + _zz_117_[18] = _zz_116_; + _zz_117_[17] = _zz_116_; + _zz_117_[16] = _zz_116_; + _zz_117_[15] = _zz_116_; + _zz_117_[14] = _zz_116_; + _zz_117_[13] = _zz_116_; + _zz_117_[12] = _zz_116_; + _zz_117_[11] = _zz_116_; + _zz_117_[10] = _zz_116_; + _zz_117_[9] = _zz_116_; + _zz_117_[8] = _zz_116_; + _zz_117_[7] = _zz_116_; + _zz_117_[6] = _zz_116_; + _zz_117_[5] = _zz_116_; + _zz_117_[4] = _zz_116_; + _zz_117_[3] = _zz_116_; + _zz_117_[2] = _zz_116_; + _zz_117_[1] = _zz_116_; + _zz_117_[0] = _zz_116_; + end + + always @ (*) begin + case(execute_BRANCH_CTRL) + `BranchCtrlEnum_defaultEncoding_JAL : begin + _zz_118_ = {{_zz_113_,{{{execute_INSTRUCTION[31],execute_INSTRUCTION[19 : 12]},execute_INSTRUCTION[20]},execute_INSTRUCTION[30 : 21]}},1'b0}; + end + `BranchCtrlEnum_defaultEncoding_JALR : begin + _zz_118_ = {_zz_115_,execute_INSTRUCTION[31 : 20]}; + end + default : begin + _zz_118_ = {{_zz_117_,{{{execute_INSTRUCTION[31],execute_INSTRUCTION[7]},execute_INSTRUCTION[30 : 25]},execute_INSTRUCTION[11 : 8]}},1'b0}; + end + endcase + end + + assign execute_BranchPlugin_branch_src2 = _zz_118_; + assign execute_BranchPlugin_branchAdder = (execute_BranchPlugin_branch_src1 + execute_BranchPlugin_branch_src2); + assign BranchPlugin_jumpInterface_valid = ((memory_arbitration_isValid && memory_BRANCH_DO) && (! 1'b0)); + assign BranchPlugin_jumpInterface_payload = memory_BRANCH_CALC; + assign execute_Mul16Plugin_a = execute_SRC1; + assign execute_Mul16Plugin_b = execute_SRC2; + assign execute_Mul16Plugin_aLow = execute_Mul16Plugin_a[15 : 0]; + assign execute_Mul16Plugin_bLow = execute_Mul16Plugin_b[15 : 0]; + assign execute_Mul16Plugin_aHigh = execute_Mul16Plugin_a[31 : 16]; + assign execute_Mul16Plugin_bHigh = execute_Mul16Plugin_b[31 : 16]; + assign memory_Mul16Plugin_ll = memory_MUL_LL; + assign memory_Mul16Plugin_lh = {1'd0, memory_MUL_LH}; + assign memory_Mul16Plugin_hl = memory_MUL_HL; + assign memory_Mul16Plugin_hh = memory_MUL_HH; + assign memory_Mul16Plugin_hllh = (memory_Mul16Plugin_lh + _zz_218_); + always @ (*) begin + case(_zz_157_) + 2'b01 : begin + writeBack_Mul16Plugin_aSigned = 1'b1; + end + 2'b10 : begin + writeBack_Mul16Plugin_aSigned = 1'b1; + end + default : begin + writeBack_Mul16Plugin_aSigned = 1'b0; + end + endcase + end + + always @ (*) begin + case(_zz_157_) + 2'b01 : begin + writeBack_Mul16Plugin_bSigned = 1'b1; + end + 2'b10 : begin + writeBack_Mul16Plugin_bSigned = 1'b0; + end + default : begin + writeBack_Mul16Plugin_bSigned = 1'b0; + end + endcase + end + + assign writeBack_Mul16Plugin_a = ((writeBack_Mul16Plugin_aSigned && writeBack_SRC1[31]) ? writeBack_SRC2 : 32'h0); + assign writeBack_Mul16Plugin_b = ((writeBack_Mul16Plugin_bSigned && writeBack_SRC2[31]) ? writeBack_SRC1 : 32'h0); + assign memory_MulDivIterativePlugin_frontendOk = 1'b1; + always @ (*) begin + memory_MulDivIterativePlugin_div_counter_willIncrement = 1'b0; + if(_zz_143_)begin + if(_zz_158_)begin + memory_MulDivIterativePlugin_div_counter_willIncrement = 1'b1; + end + end + end + + always @ (*) begin + memory_MulDivIterativePlugin_div_counter_willClear = 1'b0; + if(_zz_159_)begin + memory_MulDivIterativePlugin_div_counter_willClear = 1'b1; + end + end + + assign memory_MulDivIterativePlugin_div_counter_willOverflowIfInc = (memory_MulDivIterativePlugin_div_counter_value == 6'h21); + assign memory_MulDivIterativePlugin_div_counter_willOverflow = (memory_MulDivIterativePlugin_div_counter_willOverflowIfInc && memory_MulDivIterativePlugin_div_counter_willIncrement); + always @ (*) begin + if(memory_MulDivIterativePlugin_div_counter_willOverflow)begin + memory_MulDivIterativePlugin_div_counter_valueNext = 6'h0; + end else begin + memory_MulDivIterativePlugin_div_counter_valueNext = (memory_MulDivIterativePlugin_div_counter_value + _zz_223_); + end + if(memory_MulDivIterativePlugin_div_counter_willClear)begin + memory_MulDivIterativePlugin_div_counter_valueNext = 6'h0; + end + end + + assign _zz_119_ = memory_MulDivIterativePlugin_rs1[31 : 0]; + assign memory_MulDivIterativePlugin_div_stage_0_remainderShifted = {memory_MulDivIterativePlugin_accumulator[31 : 0],_zz_119_[31]}; + assign memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator = (memory_MulDivIterativePlugin_div_stage_0_remainderShifted - _zz_224_); + assign memory_MulDivIterativePlugin_div_stage_0_outRemainder = ((! memory_MulDivIterativePlugin_div_stage_0_remainderMinusDenominator[32]) ? _zz_225_ : _zz_226_); + assign memory_MulDivIterativePlugin_div_stage_0_outNumerator = _zz_227_[31:0]; + assign _zz_120_ = (memory_INSTRUCTION[13] ? memory_MulDivIterativePlugin_accumulator[31 : 0] : memory_MulDivIterativePlugin_rs1[31 : 0]); + assign _zz_121_ = (execute_RS2[31] && execute_IS_RS2_SIGNED); + assign _zz_122_ = (1'b0 || ((execute_IS_DIV && execute_RS1[31]) && execute_IS_RS1_SIGNED)); + always @ (*) begin + _zz_123_[32] = (execute_IS_RS1_SIGNED && execute_RS1[31]); + _zz_123_[31 : 0] = execute_RS1; + end + + always @ (*) begin + debug_bus_cmd_ready = 1'b1; + if(debug_bus_cmd_valid)begin + case(_zz_160_) + 6'b000000 : begin + end + 6'b000001 : begin + if(debug_bus_cmd_payload_wr)begin + debug_bus_cmd_ready = IBusSimplePlugin_injectionPort_ready; + end + end + 6'b010000 : begin + end + 6'b010001 : begin + end + 6'b010010 : begin + end + default : begin + end + endcase + end + end + + always @ (*) begin + debug_bus_rsp_data = DebugPlugin_busReadDataReg; + if((! _zz_124_))begin + debug_bus_rsp_data[0] = DebugPlugin_resetIt; + debug_bus_rsp_data[1] = DebugPlugin_haltIt; + debug_bus_rsp_data[2] = DebugPlugin_isPipBusy; + debug_bus_rsp_data[3] = DebugPlugin_haltedByBreak; + debug_bus_rsp_data[4] = DebugPlugin_stepIt; + end + end + + always @ (*) begin + IBusSimplePlugin_injectionPort_valid = 1'b0; + if(debug_bus_cmd_valid)begin + case(_zz_160_) + 6'b000000 : begin + end + 6'b000001 : begin + if(debug_bus_cmd_payload_wr)begin + IBusSimplePlugin_injectionPort_valid = 1'b1; + end + end + 6'b010000 : begin + end + 6'b010001 : begin + end + 6'b010010 : begin + end + default : begin + end + endcase + end + end + + assign IBusSimplePlugin_injectionPort_payload = debug_bus_cmd_payload_data; + assign debug_resetOut = DebugPlugin_resetIt_regNext; + assign _zz_21_ = decode_ENV_CTRL; + assign _zz_18_ = execute_ENV_CTRL; + assign _zz_16_ = memory_ENV_CTRL; + assign _zz_19_ = _zz_39_; + assign _zz_45_ = decode_to_execute_ENV_CTRL; + assign _zz_44_ = execute_to_memory_ENV_CTRL; + assign _zz_46_ = memory_to_writeBack_ENV_CTRL; + assign _zz_14_ = decode_SHIFT_CTRL; + assign _zz_11_ = execute_SHIFT_CTRL; + assign _zz_12_ = _zz_38_; + assign _zz_25_ = decode_to_execute_SHIFT_CTRL; + assign _zz_24_ = execute_to_memory_SHIFT_CTRL; + assign _zz_30_ = _zz_41_; + assign _zz_9_ = decode_BRANCH_CTRL; + assign _zz_7_ = _zz_37_; + assign _zz_22_ = decode_to_execute_BRANCH_CTRL; + assign _zz_6_ = decode_ALU_BITWISE_CTRL; + assign _zz_4_ = _zz_42_; + assign _zz_32_ = decode_to_execute_ALU_BITWISE_CTRL; + assign _zz_28_ = _zz_40_; + assign _zz_3_ = decode_ALU_CTRL; + assign _zz_1_ = _zz_36_; + assign _zz_31_ = decode_to_execute_ALU_CTRL; + assign decode_arbitration_isFlushed = (({writeBack_arbitration_flushNext,{memory_arbitration_flushNext,execute_arbitration_flushNext}} != (3'b000)) || ({writeBack_arbitration_flushIt,{memory_arbitration_flushIt,{execute_arbitration_flushIt,decode_arbitration_flushIt}}} != (4'b0000))); + assign execute_arbitration_isFlushed = (({writeBack_arbitration_flushNext,memory_arbitration_flushNext} != (2'b00)) || ({writeBack_arbitration_flushIt,{memory_arbitration_flushIt,execute_arbitration_flushIt}} != (3'b000))); + assign memory_arbitration_isFlushed = ((writeBack_arbitration_flushNext != (1'b0)) || ({writeBack_arbitration_flushIt,memory_arbitration_flushIt} != (2'b00))); + assign writeBack_arbitration_isFlushed = (1'b0 || (writeBack_arbitration_flushIt != (1'b0))); + assign decode_arbitration_isStuckByOthers = (decode_arbitration_haltByOther || (((1'b0 || execute_arbitration_isStuck) || memory_arbitration_isStuck) || writeBack_arbitration_isStuck)); + assign decode_arbitration_isStuck = (decode_arbitration_haltItself || decode_arbitration_isStuckByOthers); + assign decode_arbitration_isMoving = ((! decode_arbitration_isStuck) && (! decode_arbitration_removeIt)); + assign decode_arbitration_isFiring = ((decode_arbitration_isValid && (! decode_arbitration_isStuck)) && (! decode_arbitration_removeIt)); + assign execute_arbitration_isStuckByOthers = (execute_arbitration_haltByOther || ((1'b0 || memory_arbitration_isStuck) || writeBack_arbitration_isStuck)); + assign execute_arbitration_isStuck = (execute_arbitration_haltItself || execute_arbitration_isStuckByOthers); + assign execute_arbitration_isMoving = ((! execute_arbitration_isStuck) && (! execute_arbitration_removeIt)); + assign execute_arbitration_isFiring = ((execute_arbitration_isValid && (! execute_arbitration_isStuck)) && (! execute_arbitration_removeIt)); + assign memory_arbitration_isStuckByOthers = (memory_arbitration_haltByOther || (1'b0 || writeBack_arbitration_isStuck)); + assign memory_arbitration_isStuck = (memory_arbitration_haltItself || memory_arbitration_isStuckByOthers); + assign memory_arbitration_isMoving = ((! memory_arbitration_isStuck) && (! memory_arbitration_removeIt)); + assign memory_arbitration_isFiring = ((memory_arbitration_isValid && (! memory_arbitration_isStuck)) && (! memory_arbitration_removeIt)); + assign writeBack_arbitration_isStuckByOthers = (writeBack_arbitration_haltByOther || 1'b0); + assign writeBack_arbitration_isStuck = (writeBack_arbitration_haltItself || writeBack_arbitration_isStuckByOthers); + assign writeBack_arbitration_isMoving = ((! writeBack_arbitration_isStuck) && (! writeBack_arbitration_removeIt)); + assign writeBack_arbitration_isFiring = ((writeBack_arbitration_isValid && (! writeBack_arbitration_isStuck)) && (! writeBack_arbitration_removeIt)); + always @ (*) begin + IBusSimplePlugin_injectionPort_ready = 1'b0; + case(_zz_125_) + 3'b000 : begin + end + 3'b001 : begin + end + 3'b010 : begin + end + 3'b011 : begin + end + 3'b100 : begin + IBusSimplePlugin_injectionPort_ready = 1'b1; + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_126_ = 32'h0; + if(execute_CsrPlugin_csr_768)begin + _zz_126_[12 : 11] = CsrPlugin_mstatus_MPP; + _zz_126_[7 : 7] = CsrPlugin_mstatus_MPIE; + _zz_126_[3 : 3] = CsrPlugin_mstatus_MIE; + end + end + + always @ (*) begin + _zz_127_ = 32'h0; + if(execute_CsrPlugin_csr_836)begin + _zz_127_[11 : 11] = CsrPlugin_mip_MEIP; + _zz_127_[7 : 7] = CsrPlugin_mip_MTIP; + _zz_127_[3 : 3] = CsrPlugin_mip_MSIP; + end + end + + always @ (*) begin + _zz_128_ = 32'h0; + if(execute_CsrPlugin_csr_772)begin + _zz_128_[11 : 11] = CsrPlugin_mie_MEIE; + _zz_128_[7 : 7] = CsrPlugin_mie_MTIE; + _zz_128_[3 : 3] = CsrPlugin_mie_MSIE; + end + end + + always @ (*) begin + _zz_129_ = 32'h0; + if(execute_CsrPlugin_csr_773)begin + _zz_129_[31 : 2] = CsrPlugin_mtvec_base; + _zz_129_[1 : 0] = CsrPlugin_mtvec_mode; + end + end + + always @ (*) begin + _zz_130_ = 32'h0; + if(execute_CsrPlugin_csr_834)begin + _zz_130_[31 : 31] = CsrPlugin_mcause_interrupt; + _zz_130_[3 : 0] = CsrPlugin_mcause_exceptionCode; + end + end + + always @ (*) begin + _zz_131_ = 32'h0; + if(execute_CsrPlugin_csr_2816)begin + _zz_131_[31 : 0] = CsrPlugin_mcycle[31 : 0]; + end + end + + always @ (*) begin + _zz_132_ = 32'h0; + if(execute_CsrPlugin_csr_2944)begin + _zz_132_[31 : 0] = CsrPlugin_mcycle[63 : 32]; + end + end + + always @ (*) begin + _zz_133_ = 32'h0; + if(execute_CsrPlugin_csr_2818)begin + _zz_133_[31 : 0] = CsrPlugin_minstret[31 : 0]; + end + end + + always @ (*) begin + _zz_134_ = 32'h0; + if(execute_CsrPlugin_csr_2946)begin + _zz_134_[31 : 0] = CsrPlugin_minstret[63 : 32]; + end + end + + assign execute_CsrPlugin_readData = ((((_zz_126_ | _zz_127_) | (_zz_128_ | _zz_129_)) | ((_zz_130_ | _zz_131_) | (_zz_132_ | _zz_133_))) | _zz_134_); + assign _zz_136_ = 1'b0; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + IBusSimplePlugin_fetchPc_pcReg <= 32'h80000000; + IBusSimplePlugin_fetchPc_correctionReg <= 1'b0; + IBusSimplePlugin_fetchPc_booted <= 1'b0; + IBusSimplePlugin_fetchPc_inc <= 1'b0; + _zz_55_ <= 1'b0; + _zz_57_ <= 1'b0; + _zz_59_ <= 1'b0; + IBusSimplePlugin_injector_nextPcCalc_valids_0 <= 1'b0; + IBusSimplePlugin_injector_nextPcCalc_valids_1 <= 1'b0; + IBusSimplePlugin_injector_nextPcCalc_valids_2 <= 1'b0; + IBusSimplePlugin_injector_nextPcCalc_valids_3 <= 1'b0; + IBusSimplePlugin_injector_nextPcCalc_valids_4 <= 1'b0; + IBusSimplePlugin_injector_nextPcCalc_valids_5 <= 1'b0; + IBusSimplePlugin_pending_value <= (3'b000); + IBusSimplePlugin_rspJoin_rspBuffer_discardCounter <= (3'b000); + CsrPlugin_mtvec_mode <= (2'b00); + CsrPlugin_mtvec_base <= 30'h20000000; + CsrPlugin_mstatus_MIE <= 1'b0; + CsrPlugin_mstatus_MPIE <= 1'b0; + CsrPlugin_mstatus_MPP <= (2'b11); + CsrPlugin_mie_MEIE <= 1'b0; + CsrPlugin_mie_MTIE <= 1'b0; + CsrPlugin_mie_MSIE <= 1'b0; + CsrPlugin_interrupt_valid <= 1'b0; + CsrPlugin_pipelineLiberator_pcValids_0 <= 1'b0; + CsrPlugin_pipelineLiberator_pcValids_1 <= 1'b0; + CsrPlugin_pipelineLiberator_pcValids_2 <= 1'b0; + CsrPlugin_hadException <= 1'b0; + execute_CsrPlugin_wfiWake <= 1'b0; + _zz_88_ <= 1'b1; + _zz_100_ <= 1'b0; + memory_MulDivIterativePlugin_div_counter_value <= 6'h0; + execute_arbitration_isValid <= 1'b0; + memory_arbitration_isValid <= 1'b0; + writeBack_arbitration_isValid <= 1'b0; + _zz_125_ <= (3'b000); + memory_to_writeBack_REGFILE_WRITE_DATA <= 32'h0; + memory_to_writeBack_INSTRUCTION <= 32'h0; + end else begin + if(IBusSimplePlugin_fetchPc_correction)begin + IBusSimplePlugin_fetchPc_correctionReg <= 1'b1; + end + if((IBusSimplePlugin_fetchPc_output_valid && IBusSimplePlugin_fetchPc_output_ready))begin + IBusSimplePlugin_fetchPc_correctionReg <= 1'b0; + end + IBusSimplePlugin_fetchPc_booted <= 1'b1; + if((IBusSimplePlugin_fetchPc_correction || IBusSimplePlugin_fetchPc_pcRegPropagate))begin + IBusSimplePlugin_fetchPc_inc <= 1'b0; + end + if((IBusSimplePlugin_fetchPc_output_valid && IBusSimplePlugin_fetchPc_output_ready))begin + IBusSimplePlugin_fetchPc_inc <= 1'b1; + end + if(((! IBusSimplePlugin_fetchPc_output_valid) && IBusSimplePlugin_fetchPc_output_ready))begin + IBusSimplePlugin_fetchPc_inc <= 1'b0; + end + if((IBusSimplePlugin_fetchPc_booted && ((IBusSimplePlugin_fetchPc_output_ready || IBusSimplePlugin_fetchPc_correction) || IBusSimplePlugin_fetchPc_pcRegPropagate)))begin + IBusSimplePlugin_fetchPc_pcReg <= IBusSimplePlugin_fetchPc_pc; + end + if(IBusSimplePlugin_iBusRsp_flush)begin + _zz_55_ <= 1'b0; + end + if(_zz_53_)begin + _zz_55_ <= (IBusSimplePlugin_iBusRsp_stages_0_output_valid && (! 1'b0)); + end + if(IBusSimplePlugin_iBusRsp_flush)begin + _zz_57_ <= 1'b0; + end + if(IBusSimplePlugin_iBusRsp_stages_1_output_ready)begin + _zz_57_ <= (IBusSimplePlugin_iBusRsp_stages_1_output_valid && (! IBusSimplePlugin_iBusRsp_flush)); + end + if(decode_arbitration_removeIt)begin + _zz_59_ <= 1'b0; + end + if(IBusSimplePlugin_iBusRsp_output_ready)begin + _zz_59_ <= (IBusSimplePlugin_iBusRsp_output_valid && (! IBusSimplePlugin_externalFlush)); + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_0 <= 1'b0; + end + if((! (! IBusSimplePlugin_iBusRsp_stages_1_input_ready)))begin + IBusSimplePlugin_injector_nextPcCalc_valids_0 <= 1'b1; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_1 <= 1'b0; + end + if((! (! IBusSimplePlugin_iBusRsp_stages_2_input_ready)))begin + IBusSimplePlugin_injector_nextPcCalc_valids_1 <= IBusSimplePlugin_injector_nextPcCalc_valids_0; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_1 <= 1'b0; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_2 <= 1'b0; + end + if((! (! IBusSimplePlugin_injector_decodeInput_ready)))begin + IBusSimplePlugin_injector_nextPcCalc_valids_2 <= IBusSimplePlugin_injector_nextPcCalc_valids_1; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_2 <= 1'b0; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_3 <= 1'b0; + end + if((! execute_arbitration_isStuck))begin + IBusSimplePlugin_injector_nextPcCalc_valids_3 <= IBusSimplePlugin_injector_nextPcCalc_valids_2; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_3 <= 1'b0; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_4 <= 1'b0; + end + if((! memory_arbitration_isStuck))begin + IBusSimplePlugin_injector_nextPcCalc_valids_4 <= IBusSimplePlugin_injector_nextPcCalc_valids_3; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_4 <= 1'b0; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_5 <= 1'b0; + end + if((! writeBack_arbitration_isStuck))begin + IBusSimplePlugin_injector_nextPcCalc_valids_5 <= IBusSimplePlugin_injector_nextPcCalc_valids_4; + end + if(IBusSimplePlugin_fetchPc_flushed)begin + IBusSimplePlugin_injector_nextPcCalc_valids_5 <= 1'b0; + end + IBusSimplePlugin_pending_value <= IBusSimplePlugin_pending_next; + IBusSimplePlugin_rspJoin_rspBuffer_discardCounter <= (IBusSimplePlugin_rspJoin_rspBuffer_discardCounter - _zz_202_); + if(IBusSimplePlugin_iBusRsp_flush)begin + IBusSimplePlugin_rspJoin_rspBuffer_discardCounter <= IBusSimplePlugin_pending_next; + end + CsrPlugin_interrupt_valid <= 1'b0; + if(_zz_161_)begin + if(_zz_162_)begin + CsrPlugin_interrupt_valid <= 1'b1; + end + if(_zz_163_)begin + CsrPlugin_interrupt_valid <= 1'b1; + end + if(_zz_164_)begin + CsrPlugin_interrupt_valid <= 1'b1; + end + end + if(CsrPlugin_pipelineLiberator_active)begin + if((! execute_arbitration_isStuck))begin + CsrPlugin_pipelineLiberator_pcValids_0 <= 1'b1; + end + if((! memory_arbitration_isStuck))begin + CsrPlugin_pipelineLiberator_pcValids_1 <= CsrPlugin_pipelineLiberator_pcValids_0; + end + if((! writeBack_arbitration_isStuck))begin + CsrPlugin_pipelineLiberator_pcValids_2 <= CsrPlugin_pipelineLiberator_pcValids_1; + end + end + if(((! CsrPlugin_pipelineLiberator_active) || decode_arbitration_removeIt))begin + CsrPlugin_pipelineLiberator_pcValids_0 <= 1'b0; + CsrPlugin_pipelineLiberator_pcValids_1 <= 1'b0; + CsrPlugin_pipelineLiberator_pcValids_2 <= 1'b0; + end + if(CsrPlugin_interruptJump)begin + CsrPlugin_interrupt_valid <= 1'b0; + end + CsrPlugin_hadException <= CsrPlugin_exception; + if(_zz_147_)begin + case(CsrPlugin_targetPrivilege) + 2'b11 : begin + CsrPlugin_mstatus_MIE <= 1'b0; + CsrPlugin_mstatus_MPIE <= CsrPlugin_mstatus_MIE; + CsrPlugin_mstatus_MPP <= CsrPlugin_privilege; + end + default : begin + end + endcase + end + if(_zz_148_)begin + case(_zz_150_) + 2'b11 : begin + CsrPlugin_mstatus_MPP <= (2'b00); + CsrPlugin_mstatus_MIE <= CsrPlugin_mstatus_MPIE; + CsrPlugin_mstatus_MPIE <= 1'b1; + end + default : begin + end + endcase + end + execute_CsrPlugin_wfiWake <= (({_zz_74_,{_zz_73_,_zz_72_}} != (3'b000)) || CsrPlugin_thirdPartyWake); + _zz_88_ <= 1'b0; + _zz_100_ <= (_zz_34_ && writeBack_arbitration_isFiring); + memory_MulDivIterativePlugin_div_counter_value <= memory_MulDivIterativePlugin_div_counter_valueNext; + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_REGFILE_WRITE_DATA <= _zz_23_; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_INSTRUCTION <= memory_INSTRUCTION; + end + if(((! execute_arbitration_isStuck) || execute_arbitration_removeIt))begin + execute_arbitration_isValid <= 1'b0; + end + if(((! decode_arbitration_isStuck) && (! decode_arbitration_removeIt)))begin + execute_arbitration_isValid <= decode_arbitration_isValid; + end + if(((! memory_arbitration_isStuck) || memory_arbitration_removeIt))begin + memory_arbitration_isValid <= 1'b0; + end + if(((! execute_arbitration_isStuck) && (! execute_arbitration_removeIt)))begin + memory_arbitration_isValid <= execute_arbitration_isValid; + end + if(((! writeBack_arbitration_isStuck) || writeBack_arbitration_removeIt))begin + writeBack_arbitration_isValid <= 1'b0; + end + if(((! memory_arbitration_isStuck) && (! memory_arbitration_removeIt)))begin + writeBack_arbitration_isValid <= memory_arbitration_isValid; + end + case(_zz_125_) + 3'b000 : begin + if(IBusSimplePlugin_injectionPort_valid)begin + _zz_125_ <= (3'b001); + end + end + 3'b001 : begin + _zz_125_ <= (3'b010); + end + 3'b010 : begin + _zz_125_ <= (3'b011); + end + 3'b011 : begin + if((! decode_arbitration_isStuck))begin + _zz_125_ <= (3'b100); + end + end + 3'b100 : begin + _zz_125_ <= (3'b000); + end + default : begin + end + endcase + if(execute_CsrPlugin_csr_768)begin + if(execute_CsrPlugin_writeEnable)begin + CsrPlugin_mstatus_MPP <= execute_CsrPlugin_writeData[12 : 11]; + CsrPlugin_mstatus_MPIE <= _zz_240_[0]; + CsrPlugin_mstatus_MIE <= _zz_241_[0]; + end + end + if(execute_CsrPlugin_csr_772)begin + if(execute_CsrPlugin_writeEnable)begin + CsrPlugin_mie_MEIE <= _zz_243_[0]; + CsrPlugin_mie_MTIE <= _zz_244_[0]; + CsrPlugin_mie_MSIE <= _zz_245_[0]; + end + end + if(execute_CsrPlugin_csr_773)begin + if(execute_CsrPlugin_writeEnable)begin + CsrPlugin_mtvec_base <= execute_CsrPlugin_writeData[31 : 2]; + CsrPlugin_mtvec_mode <= execute_CsrPlugin_writeData[1 : 0]; + end + end + end + end + + always @ (posedge mainClock) begin + if(IBusSimplePlugin_iBusRsp_stages_1_output_ready)begin + _zz_58_ <= IBusSimplePlugin_iBusRsp_stages_1_output_payload; + end + if(IBusSimplePlugin_iBusRsp_output_ready)begin + _zz_60_ <= IBusSimplePlugin_iBusRsp_output_payload_pc; + _zz_61_ <= IBusSimplePlugin_iBusRsp_output_payload_rsp_error; + _zz_62_ <= IBusSimplePlugin_iBusRsp_output_payload_rsp_inst; + _zz_63_ <= IBusSimplePlugin_iBusRsp_output_payload_isRvc; + end + if(IBusSimplePlugin_injector_decodeInput_ready)begin + IBusSimplePlugin_injector_formal_rawInDecode <= IBusSimplePlugin_iBusRsp_output_payload_rsp_inst; + end + `ifndef SYNTHESIS + `ifdef FORMAL + assert((! (((dBus_rsp_ready && memory_MEMORY_ENABLE) && memory_arbitration_isValid) && memory_arbitration_isStuck))) + `else + if(!(! (((dBus_rsp_ready && memory_MEMORY_ENABLE) && memory_arbitration_isValid) && memory_arbitration_isStuck))) begin + $display("FAILURE DBusSimplePlugin doesn't allow memory stage stall when read happend"); + $finish; + end + `endif + `endif + `ifndef SYNTHESIS + `ifdef FORMAL + assert((! (((writeBack_arbitration_isValid && writeBack_MEMORY_ENABLE) && (! writeBack_MEMORY_STORE)) && writeBack_arbitration_isStuck))) + `else + if(!(! (((writeBack_arbitration_isValid && writeBack_MEMORY_ENABLE) && (! writeBack_MEMORY_STORE)) && writeBack_arbitration_isStuck))) begin + $display("FAILURE DBusSimplePlugin doesn't allow writeback stage stall when read happend"); + $finish; + end + `endif + `endif + CsrPlugin_mip_MEIP <= externalInterrupt; + CsrPlugin_mip_MTIP <= timerInterrupt; + CsrPlugin_mip_MSIP <= softwareInterrupt; + CsrPlugin_mcycle <= (CsrPlugin_mcycle + 64'h0000000000000001); + if(writeBack_arbitration_isFiring)begin + CsrPlugin_minstret <= (CsrPlugin_minstret + 64'h0000000000000001); + end + if(_zz_161_)begin + if(_zz_162_)begin + CsrPlugin_interrupt_code <= (4'b0111); + CsrPlugin_interrupt_targetPrivilege <= (2'b11); + end + if(_zz_163_)begin + CsrPlugin_interrupt_code <= (4'b0011); + CsrPlugin_interrupt_targetPrivilege <= (2'b11); + end + if(_zz_164_)begin + CsrPlugin_interrupt_code <= (4'b1011); + CsrPlugin_interrupt_targetPrivilege <= (2'b11); + end + end + if(_zz_147_)begin + case(CsrPlugin_targetPrivilege) + 2'b11 : begin + CsrPlugin_mcause_interrupt <= (! CsrPlugin_hadException); + CsrPlugin_mcause_exceptionCode <= CsrPlugin_trapCause; + CsrPlugin_mepc <= decode_PC; + end + default : begin + end + endcase + end + _zz_101_ <= _zz_33_[11 : 7]; + _zz_102_ <= _zz_47_; + if((memory_MulDivIterativePlugin_div_counter_value == 6'h20))begin + memory_MulDivIterativePlugin_div_done <= 1'b1; + end + if((! memory_arbitration_isStuck))begin + memory_MulDivIterativePlugin_div_done <= 1'b0; + end + if(_zz_143_)begin + if(_zz_158_)begin + memory_MulDivIterativePlugin_rs1[31 : 0] <= memory_MulDivIterativePlugin_div_stage_0_outNumerator; + memory_MulDivIterativePlugin_accumulator[31 : 0] <= memory_MulDivIterativePlugin_div_stage_0_outRemainder; + if((memory_MulDivIterativePlugin_div_counter_value == 6'h20))begin + memory_MulDivIterativePlugin_div_result <= _zz_228_[31:0]; + end + end + end + if(_zz_159_)begin + memory_MulDivIterativePlugin_accumulator <= 65'h0; + memory_MulDivIterativePlugin_rs1 <= ((_zz_122_ ? (~ _zz_123_) : _zz_123_) + _zz_234_); + memory_MulDivIterativePlugin_rs2 <= ((_zz_121_ ? (~ execute_RS2) : execute_RS2) + _zz_236_); + memory_MulDivIterativePlugin_div_needRevert <= ((_zz_122_ ^ (_zz_121_ && (! execute_INSTRUCTION[13]))) && (! (((execute_RS2 == 32'h0) && execute_IS_RS2_SIGNED) && (! execute_INSTRUCTION[13])))); + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_MUL_LL <= execute_MUL_LL; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_ENV_CTRL <= _zz_20_; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_ENV_CTRL <= _zz_17_; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_ENV_CTRL <= _zz_15_; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_SHIFT_CTRL <= _zz_13_; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_SHIFT_CTRL <= _zz_10_; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_REGFILE_WRITE_DATA <= _zz_43_; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_RS2 <= _zz_27_; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_MEMORY_READ_DATA <= memory_MEMORY_READ_DATA; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_CSR_READ_OPCODE <= decode_CSR_READ_OPCODE; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_MEMORY_ADDRESS_LOW <= execute_MEMORY_ADDRESS_LOW; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_MEMORY_ADDRESS_LOW <= memory_MEMORY_ADDRESS_LOW; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_SRC_LESS_UNSIGNED <= decode_SRC_LESS_UNSIGNED; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_SRC1 <= decode_SRC1; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_SRC1 <= execute_SRC1; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_SRC1 <= memory_SRC1; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_DO_EBREAK <= decode_DO_EBREAK; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_BRANCH_CTRL <= _zz_8_; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_BYPASSABLE_MEMORY_STAGE <= decode_BYPASSABLE_MEMORY_STAGE; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_BYPASSABLE_MEMORY_STAGE <= execute_BYPASSABLE_MEMORY_STAGE; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_IS_MUL <= decode_IS_MUL; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_IS_MUL <= execute_IS_MUL; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_IS_MUL <= memory_IS_MUL; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_INSTRUCTION <= decode_INSTRUCTION; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_INSTRUCTION <= execute_INSTRUCTION; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_SRC2 <= decode_SRC2; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_SRC2 <= execute_SRC2; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_SRC2 <= memory_SRC2; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_MUL <= memory_MUL; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_SRC_USE_SUB_LESS <= decode_SRC_USE_SUB_LESS; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_BYPASSABLE_EXECUTE_STAGE <= decode_BYPASSABLE_EXECUTE_STAGE; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_IS_RS1_SIGNED <= decode_IS_RS1_SIGNED; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_PC <= _zz_26_; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_PC <= execute_PC; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_PC <= memory_PC; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_SHIFT_RIGHT <= execute_SHIFT_RIGHT; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_CSR_WRITE_OPCODE <= decode_CSR_WRITE_OPCODE; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_BRANCH_CALC <= execute_BRANCH_CALC; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_REGFILE_WRITE_VALID <= decode_REGFILE_WRITE_VALID; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_REGFILE_WRITE_VALID <= execute_REGFILE_WRITE_VALID; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_REGFILE_WRITE_VALID <= memory_REGFILE_WRITE_VALID; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_MUL_HL <= execute_MUL_HL; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_MEMORY_STORE <= decode_MEMORY_STORE; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_MEMORY_STORE <= execute_MEMORY_STORE; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_MEMORY_STORE <= memory_MEMORY_STORE; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_MUL_LH <= execute_MUL_LH; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_IS_DIV <= decode_IS_DIV; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_IS_DIV <= execute_IS_DIV; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_SRC2_FORCE_ZERO <= decode_SRC2_FORCE_ZERO; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_ALU_BITWISE_CTRL <= _zz_5_; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_IS_CSR <= decode_IS_CSR; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_ALU_CTRL <= _zz_2_; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_FORMAL_PC_NEXT <= decode_FORMAL_PC_NEXT; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_FORMAL_PC_NEXT <= execute_FORMAL_PC_NEXT; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_FORMAL_PC_NEXT <= _zz_48_; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_MUL_HH <= execute_MUL_HH; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_IS_RS2_SIGNED <= decode_IS_RS2_SIGNED; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_BRANCH_DO <= execute_BRANCH_DO; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_MEMORY_ENABLE <= decode_MEMORY_ENABLE; + end + if((! memory_arbitration_isStuck))begin + execute_to_memory_MEMORY_ENABLE <= execute_MEMORY_ENABLE; + end + if((! writeBack_arbitration_isStuck))begin + memory_to_writeBack_MEMORY_ENABLE <= memory_MEMORY_ENABLE; + end + if((! execute_arbitration_isStuck))begin + decode_to_execute_RS1 <= _zz_29_; + end + if((_zz_125_ != (3'b000)))begin + _zz_62_ <= IBusSimplePlugin_injectionPort_payload; + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_768 <= (decode_INSTRUCTION[31 : 20] == 12'h300); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_836 <= (decode_INSTRUCTION[31 : 20] == 12'h344); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_772 <= (decode_INSTRUCTION[31 : 20] == 12'h304); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_773 <= (decode_INSTRUCTION[31 : 20] == 12'h305); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_834 <= (decode_INSTRUCTION[31 : 20] == 12'h342); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_2816 <= (decode_INSTRUCTION[31 : 20] == 12'hb00); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_2944 <= (decode_INSTRUCTION[31 : 20] == 12'hb80); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_2818 <= (decode_INSTRUCTION[31 : 20] == 12'hb02); + end + if((! execute_arbitration_isStuck))begin + execute_CsrPlugin_csr_2946 <= (decode_INSTRUCTION[31 : 20] == 12'hb82); + end + if(execute_CsrPlugin_csr_836)begin + if(execute_CsrPlugin_writeEnable)begin + CsrPlugin_mip_MSIP <= _zz_242_[0]; + end + end + end + + always @ (posedge mainClock) begin + DebugPlugin_firstCycle <= 1'b0; + if(debug_bus_cmd_ready)begin + DebugPlugin_firstCycle <= 1'b1; + end + DebugPlugin_secondCycle <= DebugPlugin_firstCycle; + DebugPlugin_isPipBusy <= (({writeBack_arbitration_isValid,{memory_arbitration_isValid,{execute_arbitration_isValid,decode_arbitration_isValid}}} != (4'b0000)) || IBusSimplePlugin_incomingInstruction); + if(writeBack_arbitration_isValid)begin + DebugPlugin_busReadDataReg <= _zz_47_; + end + _zz_124_ <= debug_bus_cmd_payload_address[2]; + if(debug_bus_cmd_valid)begin + case(_zz_160_) + 6'b000000 : begin + end + 6'b000001 : begin + end + 6'b010000 : begin + if(debug_bus_cmd_payload_wr)begin + DebugPlugin_hardwareBreakpoints_0_pc <= debug_bus_cmd_payload_data[31 : 1]; + end + end + 6'b010001 : begin + if(debug_bus_cmd_payload_wr)begin + DebugPlugin_hardwareBreakpoints_1_pc <= debug_bus_cmd_payload_data[31 : 1]; + end + end + 6'b010010 : begin + if(debug_bus_cmd_payload_wr)begin + DebugPlugin_hardwareBreakpoints_2_pc <= debug_bus_cmd_payload_data[31 : 1]; + end + end + default : begin + end + endcase + end + if(_zz_145_)begin + DebugPlugin_busReadDataReg <= execute_PC; + end + DebugPlugin_resetIt_regNext <= DebugPlugin_resetIt; + end + + always @ (posedge mainClock or posedge resetCtrl_mainClockReset) begin + if (resetCtrl_mainClockReset) begin + DebugPlugin_resetIt <= 1'b0; + DebugPlugin_haltIt <= 1'b0; + DebugPlugin_stepIt <= 1'b0; + DebugPlugin_godmode <= 1'b0; + DebugPlugin_haltedByBreak <= 1'b0; + DebugPlugin_hardwareBreakpoints_0_valid <= 1'b0; + DebugPlugin_hardwareBreakpoints_1_valid <= 1'b0; + DebugPlugin_hardwareBreakpoints_2_valid <= 1'b0; + end else begin + if((DebugPlugin_haltIt && (! DebugPlugin_isPipBusy)))begin + DebugPlugin_godmode <= 1'b1; + end + if(debug_bus_cmd_valid)begin + case(_zz_160_) + 6'b000000 : begin + if(debug_bus_cmd_payload_wr)begin + DebugPlugin_stepIt <= debug_bus_cmd_payload_data[4]; + if(debug_bus_cmd_payload_data[16])begin + DebugPlugin_resetIt <= 1'b1; + end + if(debug_bus_cmd_payload_data[24])begin + DebugPlugin_resetIt <= 1'b0; + end + if(debug_bus_cmd_payload_data[17])begin + DebugPlugin_haltIt <= 1'b1; + end + if(debug_bus_cmd_payload_data[25])begin + DebugPlugin_haltIt <= 1'b0; + end + if(debug_bus_cmd_payload_data[25])begin + DebugPlugin_haltedByBreak <= 1'b0; + end + if(debug_bus_cmd_payload_data[25])begin + DebugPlugin_godmode <= 1'b0; + end + end + end + 6'b000001 : begin + end + 6'b010000 : begin + if(debug_bus_cmd_payload_wr)begin + DebugPlugin_hardwareBreakpoints_0_valid <= _zz_237_[0]; + end + end + 6'b010001 : begin + if(debug_bus_cmd_payload_wr)begin + DebugPlugin_hardwareBreakpoints_1_valid <= _zz_238_[0]; + end + end + 6'b010010 : begin + if(debug_bus_cmd_payload_wr)begin + DebugPlugin_hardwareBreakpoints_2_valid <= _zz_239_[0]; + end + end + default : begin + end + endcase + end + if(_zz_145_)begin + if(_zz_146_)begin + DebugPlugin_haltIt <= 1'b1; + DebugPlugin_haltedByBreak <= 1'b1; + end + end + if(_zz_149_)begin + if(decode_arbitration_isValid)begin + DebugPlugin_haltIt <= 1'b1; + end + end + end + end + + +endmodule + +module JtagBridge ( + input io_jtag_tms, + input io_jtag_tdi, + output io_jtag_tdo, + input io_jtag_tck, + output io_remote_cmd_valid, + input io_remote_cmd_ready, + output io_remote_cmd_payload_last, + output [0:0] io_remote_cmd_payload_fragment, + input io_remote_rsp_valid, + output io_remote_rsp_ready, + input io_remote_rsp_payload_error, + input [31:0] io_remote_rsp_payload_data, + input mainClock, + input resetCtrl_mainClockReset +); + wire flowCCByToggle_1__io_output_valid; + wire flowCCByToggle_1__io_output_payload_last; + wire [0:0] flowCCByToggle_1__io_output_payload_fragment; + wire _zz_2_; + wire _zz_3_; + wire [0:0] _zz_4_; + wire [3:0] _zz_5_; + wire [1:0] _zz_6_; + wire [3:0] _zz_7_; + wire [1:0] _zz_8_; + wire [3:0] _zz_9_; + wire [0:0] _zz_10_; + wire system_cmd_valid; + wire system_cmd_payload_last; + wire [0:0] system_cmd_payload_fragment; + reg system_rsp_valid; + reg system_rsp_payload_error; + reg [31:0] system_rsp_payload_data; + wire `JtagState_defaultEncoding_type jtag_tap_fsm_stateNext; + reg `JtagState_defaultEncoding_type jtag_tap_fsm_state = `JtagState_defaultEncoding_RESET; + reg `JtagState_defaultEncoding_type _zz_1_; + reg [3:0] jtag_tap_instruction; + reg [3:0] jtag_tap_instructionShift; + reg jtag_tap_bypass; + reg jtag_tap_tdoUnbufferd; + reg jtag_tap_tdoUnbufferd_regNext; + wire jtag_idcodeArea_instructionHit; + reg [31:0] jtag_idcodeArea_shifter; + wire jtag_writeArea_instructionHit; + reg jtag_writeArea_source_valid; + wire jtag_writeArea_source_payload_last; + wire [0:0] jtag_writeArea_source_payload_fragment; + wire jtag_readArea_instructionHit; + reg [33:0] jtag_readArea_shifter; + `ifndef SYNTHESIS + reg [79:0] jtag_tap_fsm_stateNext_string; + reg [79:0] jtag_tap_fsm_state_string; + reg [79:0] _zz_1__string; + `endif + + + assign _zz_2_ = (jtag_tap_fsm_state == `JtagState_defaultEncoding_DR_SHIFT); + assign _zz_3_ = (jtag_tap_fsm_state == `JtagState_defaultEncoding_DR_SHIFT); + assign _zz_4_ = (1'b1); + assign _zz_5_ = {3'd0, _zz_4_}; + assign _zz_6_ = (2'b10); + assign _zz_7_ = {2'd0, _zz_6_}; + assign _zz_8_ = (2'b11); + assign _zz_9_ = {2'd0, _zz_8_}; + assign _zz_10_ = (1'b1); + FlowCCByToggle flowCCByToggle_1_ ( + .io_input_valid (jtag_writeArea_source_valid ), //i + .io_input_payload_last (jtag_writeArea_source_payload_last ), //i + .io_input_payload_fragment (jtag_writeArea_source_payload_fragment ), //i + .io_output_valid (flowCCByToggle_1__io_output_valid ), //o + .io_output_payload_last (flowCCByToggle_1__io_output_payload_last ), //o + .io_output_payload_fragment (flowCCByToggle_1__io_output_payload_fragment ), //o + .io_jtag_tck (io_jtag_tck ), //i + .mainClock (mainClock ), //i + .resetCtrl_mainClockReset (resetCtrl_mainClockReset ) //i + ); + `ifndef SYNTHESIS + always @(*) begin + case(jtag_tap_fsm_stateNext) + `JtagState_defaultEncoding_RESET : jtag_tap_fsm_stateNext_string = "RESET "; + `JtagState_defaultEncoding_IDLE : jtag_tap_fsm_stateNext_string = "IDLE "; + `JtagState_defaultEncoding_IR_SELECT : jtag_tap_fsm_stateNext_string = "IR_SELECT "; + `JtagState_defaultEncoding_IR_CAPTURE : jtag_tap_fsm_stateNext_string = "IR_CAPTURE"; + `JtagState_defaultEncoding_IR_SHIFT : jtag_tap_fsm_stateNext_string = "IR_SHIFT "; + `JtagState_defaultEncoding_IR_EXIT1 : jtag_tap_fsm_stateNext_string = "IR_EXIT1 "; + `JtagState_defaultEncoding_IR_PAUSE : jtag_tap_fsm_stateNext_string = "IR_PAUSE "; + `JtagState_defaultEncoding_IR_EXIT2 : jtag_tap_fsm_stateNext_string = "IR_EXIT2 "; + `JtagState_defaultEncoding_IR_UPDATE : jtag_tap_fsm_stateNext_string = "IR_UPDATE "; + `JtagState_defaultEncoding_DR_SELECT : jtag_tap_fsm_stateNext_string = "DR_SELECT "; + `JtagState_defaultEncoding_DR_CAPTURE : jtag_tap_fsm_stateNext_string = "DR_CAPTURE"; + `JtagState_defaultEncoding_DR_SHIFT : jtag_tap_fsm_stateNext_string = "DR_SHIFT "; + `JtagState_defaultEncoding_DR_EXIT1 : jtag_tap_fsm_stateNext_string = "DR_EXIT1 "; + `JtagState_defaultEncoding_DR_PAUSE : jtag_tap_fsm_stateNext_string = "DR_PAUSE "; + `JtagState_defaultEncoding_DR_EXIT2 : jtag_tap_fsm_stateNext_string = "DR_EXIT2 "; + `JtagState_defaultEncoding_DR_UPDATE : jtag_tap_fsm_stateNext_string = "DR_UPDATE "; + default : jtag_tap_fsm_stateNext_string = "??????????"; + endcase + end + always @(*) begin + case(jtag_tap_fsm_state) + `JtagState_defaultEncoding_RESET : jtag_tap_fsm_state_string = "RESET "; + `JtagState_defaultEncoding_IDLE : jtag_tap_fsm_state_string = "IDLE "; + `JtagState_defaultEncoding_IR_SELECT : jtag_tap_fsm_state_string = "IR_SELECT "; + `JtagState_defaultEncoding_IR_CAPTURE : jtag_tap_fsm_state_string = "IR_CAPTURE"; + `JtagState_defaultEncoding_IR_SHIFT : jtag_tap_fsm_state_string = "IR_SHIFT "; + `JtagState_defaultEncoding_IR_EXIT1 : jtag_tap_fsm_state_string = "IR_EXIT1 "; + `JtagState_defaultEncoding_IR_PAUSE : jtag_tap_fsm_state_string = "IR_PAUSE "; + `JtagState_defaultEncoding_IR_EXIT2 : jtag_tap_fsm_state_string = "IR_EXIT2 "; + `JtagState_defaultEncoding_IR_UPDATE : jtag_tap_fsm_state_string = "IR_UPDATE "; + `JtagState_defaultEncoding_DR_SELECT : jtag_tap_fsm_state_string = "DR_SELECT "; + `JtagState_defaultEncoding_DR_CAPTURE : jtag_tap_fsm_state_string = "DR_CAPTURE"; + `JtagState_defaultEncoding_DR_SHIFT : jtag_tap_fsm_state_string = "DR_SHIFT "; + `JtagState_defaultEncoding_DR_EXIT1 : jtag_tap_fsm_state_string = "DR_EXIT1 "; + `JtagState_defaultEncoding_DR_PAUSE : jtag_tap_fsm_state_string = "DR_PAUSE "; + `JtagState_defaultEncoding_DR_EXIT2 : jtag_tap_fsm_state_string = "DR_EXIT2 "; + `JtagState_defaultEncoding_DR_UPDATE : jtag_tap_fsm_state_string = "DR_UPDATE "; + default : jtag_tap_fsm_state_string = "??????????"; + endcase + end + always @(*) begin + case(_zz_1_) + `JtagState_defaultEncoding_RESET : _zz_1__string = "RESET "; + `JtagState_defaultEncoding_IDLE : _zz_1__string = "IDLE "; + `JtagState_defaultEncoding_IR_SELECT : _zz_1__string = "IR_SELECT "; + `JtagState_defaultEncoding_IR_CAPTURE : _zz_1__string = "IR_CAPTURE"; + `JtagState_defaultEncoding_IR_SHIFT : _zz_1__string = "IR_SHIFT "; + `JtagState_defaultEncoding_IR_EXIT1 : _zz_1__string = "IR_EXIT1 "; + `JtagState_defaultEncoding_IR_PAUSE : _zz_1__string = "IR_PAUSE "; + `JtagState_defaultEncoding_IR_EXIT2 : _zz_1__string = "IR_EXIT2 "; + `JtagState_defaultEncoding_IR_UPDATE : _zz_1__string = "IR_UPDATE "; + `JtagState_defaultEncoding_DR_SELECT : _zz_1__string = "DR_SELECT "; + `JtagState_defaultEncoding_DR_CAPTURE : _zz_1__string = "DR_CAPTURE"; + `JtagState_defaultEncoding_DR_SHIFT : _zz_1__string = "DR_SHIFT "; + `JtagState_defaultEncoding_DR_EXIT1 : _zz_1__string = "DR_EXIT1 "; + `JtagState_defaultEncoding_DR_PAUSE : _zz_1__string = "DR_PAUSE "; + `JtagState_defaultEncoding_DR_EXIT2 : _zz_1__string = "DR_EXIT2 "; + `JtagState_defaultEncoding_DR_UPDATE : _zz_1__string = "DR_UPDATE "; + default : _zz_1__string = "??????????"; + endcase + end + `endif + + assign io_remote_cmd_valid = system_cmd_valid; + assign io_remote_cmd_payload_last = system_cmd_payload_last; + assign io_remote_cmd_payload_fragment = system_cmd_payload_fragment; + assign io_remote_rsp_ready = 1'b1; + always @ (*) begin + case(jtag_tap_fsm_state) + `JtagState_defaultEncoding_IDLE : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_SELECT : `JtagState_defaultEncoding_IDLE); + end + `JtagState_defaultEncoding_IR_SELECT : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_RESET : `JtagState_defaultEncoding_IR_CAPTURE); + end + `JtagState_defaultEncoding_IR_CAPTURE : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_IR_EXIT1 : `JtagState_defaultEncoding_IR_SHIFT); + end + `JtagState_defaultEncoding_IR_SHIFT : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_IR_EXIT1 : `JtagState_defaultEncoding_IR_SHIFT); + end + `JtagState_defaultEncoding_IR_EXIT1 : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_IR_UPDATE : `JtagState_defaultEncoding_IR_PAUSE); + end + `JtagState_defaultEncoding_IR_PAUSE : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_IR_EXIT2 : `JtagState_defaultEncoding_IR_PAUSE); + end + `JtagState_defaultEncoding_IR_EXIT2 : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_IR_UPDATE : `JtagState_defaultEncoding_IR_SHIFT); + end + `JtagState_defaultEncoding_IR_UPDATE : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_SELECT : `JtagState_defaultEncoding_IDLE); + end + `JtagState_defaultEncoding_DR_SELECT : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_IR_SELECT : `JtagState_defaultEncoding_DR_CAPTURE); + end + `JtagState_defaultEncoding_DR_CAPTURE : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_EXIT1 : `JtagState_defaultEncoding_DR_SHIFT); + end + `JtagState_defaultEncoding_DR_SHIFT : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_EXIT1 : `JtagState_defaultEncoding_DR_SHIFT); + end + `JtagState_defaultEncoding_DR_EXIT1 : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_UPDATE : `JtagState_defaultEncoding_DR_PAUSE); + end + `JtagState_defaultEncoding_DR_PAUSE : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_EXIT2 : `JtagState_defaultEncoding_DR_PAUSE); + end + `JtagState_defaultEncoding_DR_EXIT2 : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_UPDATE : `JtagState_defaultEncoding_DR_SHIFT); + end + `JtagState_defaultEncoding_DR_UPDATE : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_DR_SELECT : `JtagState_defaultEncoding_IDLE); + end + default : begin + _zz_1_ = (io_jtag_tms ? `JtagState_defaultEncoding_RESET : `JtagState_defaultEncoding_IDLE); + end + endcase + end + + assign jtag_tap_fsm_stateNext = _zz_1_; + always @ (*) begin + jtag_tap_tdoUnbufferd = jtag_tap_bypass; + case(jtag_tap_fsm_state) + `JtagState_defaultEncoding_IR_CAPTURE : begin + end + `JtagState_defaultEncoding_IR_SHIFT : begin + jtag_tap_tdoUnbufferd = jtag_tap_instructionShift[0]; + end + `JtagState_defaultEncoding_IR_UPDATE : begin + end + default : begin + end + endcase + if(jtag_idcodeArea_instructionHit)begin + if(_zz_2_)begin + jtag_tap_tdoUnbufferd = jtag_idcodeArea_shifter[0]; + end + end + if(jtag_readArea_instructionHit)begin + if(_zz_3_)begin + jtag_tap_tdoUnbufferd = jtag_readArea_shifter[0]; + end + end + end + + assign io_jtag_tdo = jtag_tap_tdoUnbufferd_regNext; + assign jtag_idcodeArea_instructionHit = (jtag_tap_instruction == _zz_5_); + assign jtag_writeArea_instructionHit = (jtag_tap_instruction == _zz_7_); + always @ (*) begin + jtag_writeArea_source_valid = 1'b0; + if(jtag_writeArea_instructionHit)begin + if((jtag_tap_fsm_state == `JtagState_defaultEncoding_DR_SHIFT))begin + jtag_writeArea_source_valid = 1'b1; + end + end + end + + assign jtag_writeArea_source_payload_last = io_jtag_tms; + assign jtag_writeArea_source_payload_fragment[0] = io_jtag_tdi; + assign system_cmd_valid = flowCCByToggle_1__io_output_valid; + assign system_cmd_payload_last = flowCCByToggle_1__io_output_payload_last; + assign system_cmd_payload_fragment = flowCCByToggle_1__io_output_payload_fragment; + assign jtag_readArea_instructionHit = (jtag_tap_instruction == _zz_9_); + always @ (posedge mainClock) begin + if(io_remote_cmd_valid)begin + system_rsp_valid <= 1'b0; + end + if((io_remote_rsp_valid && io_remote_rsp_ready))begin + system_rsp_valid <= 1'b1; + system_rsp_payload_error <= io_remote_rsp_payload_error; + system_rsp_payload_data <= io_remote_rsp_payload_data; + end + end + + always @ (posedge io_jtag_tck) begin + jtag_tap_fsm_state <= jtag_tap_fsm_stateNext; + jtag_tap_bypass <= io_jtag_tdi; + case(jtag_tap_fsm_state) + `JtagState_defaultEncoding_IR_CAPTURE : begin + jtag_tap_instructionShift <= jtag_tap_instruction; + end + `JtagState_defaultEncoding_IR_SHIFT : begin + jtag_tap_instructionShift <= ({io_jtag_tdi,jtag_tap_instructionShift} >>> 1); + end + `JtagState_defaultEncoding_IR_UPDATE : begin + jtag_tap_instruction <= jtag_tap_instructionShift; + end + default : begin + end + endcase + if(jtag_idcodeArea_instructionHit)begin + if(_zz_2_)begin + jtag_idcodeArea_shifter <= ({io_jtag_tdi,jtag_idcodeArea_shifter} >>> 1); + end + end + if((jtag_tap_fsm_state == `JtagState_defaultEncoding_RESET))begin + jtag_idcodeArea_shifter <= 32'h10001fff; + jtag_tap_instruction <= {3'd0, _zz_10_}; + end + if(jtag_readArea_instructionHit)begin + if((jtag_tap_fsm_state == `JtagState_defaultEncoding_DR_CAPTURE))begin + jtag_readArea_shifter <= {{system_rsp_payload_data,system_rsp_payload_error},system_rsp_valid}; + end + if(_zz_3_)begin + jtag_readArea_shifter <= ({io_jtag_tdi,jtag_readArea_shifter} >>> 1); + end + end + end + + always @ (negedge io_jtag_tck) begin + jtag_tap_tdoUnbufferd_regNext <= jtag_tap_tdoUnbufferd; + end + + +endmodule + +module SystemDebugger ( + input io_remote_cmd_valid, + output io_remote_cmd_ready, + input io_remote_cmd_payload_last, + input [0:0] io_remote_cmd_payload_fragment, + output io_remote_rsp_valid, + input io_remote_rsp_ready, + output io_remote_rsp_payload_error, + output [31:0] io_remote_rsp_payload_data, + output io_mem_cmd_valid, + input io_mem_cmd_ready, + output [31:0] io_mem_cmd_payload_address, + output [31:0] io_mem_cmd_payload_data, + output io_mem_cmd_payload_wr, + output [1:0] io_mem_cmd_payload_size, + input io_mem_rsp_valid, + input [31:0] io_mem_rsp_payload, + input mainClock, + input resetCtrl_mainClockReset +); + wire _zz_2_; + wire [0:0] _zz_3_; + reg [66:0] dispatcher_dataShifter; + reg dispatcher_dataLoaded; + reg [7:0] dispatcher_headerShifter; + wire [7:0] dispatcher_header; + reg dispatcher_headerLoaded; + reg [2:0] dispatcher_counter; + wire [66:0] _zz_1_; + + assign _zz_2_ = (dispatcher_headerLoaded == 1'b0); + assign _zz_3_ = _zz_1_[64 : 64]; + assign dispatcher_header = dispatcher_headerShifter[7 : 0]; + assign io_remote_cmd_ready = (! dispatcher_dataLoaded); + assign _zz_1_ = dispatcher_dataShifter[66 : 0]; + assign io_mem_cmd_payload_address = _zz_1_[31 : 0]; + assign io_mem_cmd_payload_data = _zz_1_[63 : 32]; + assign io_mem_cmd_payload_wr = _zz_3_[0]; + assign io_mem_cmd_payload_size = _zz_1_[66 : 65]; + assign io_mem_cmd_valid = (dispatcher_dataLoaded && (dispatcher_header == 8'h0)); + assign io_remote_rsp_valid = io_mem_rsp_valid; + assign io_remote_rsp_payload_error = 1'b0; + assign io_remote_rsp_payload_data = io_mem_rsp_payload; + always @ (posedge mainClock or posedge resetCtrl_mainClockReset) begin + if (resetCtrl_mainClockReset) begin + dispatcher_dataLoaded <= 1'b0; + dispatcher_headerLoaded <= 1'b0; + dispatcher_counter <= (3'b000); + end else begin + if(io_remote_cmd_valid)begin + if(_zz_2_)begin + dispatcher_counter <= (dispatcher_counter + (3'b001)); + if((dispatcher_counter == (3'b111)))begin + dispatcher_headerLoaded <= 1'b1; + end + end + if(io_remote_cmd_payload_last)begin + dispatcher_headerLoaded <= 1'b1; + dispatcher_dataLoaded <= 1'b1; + dispatcher_counter <= (3'b000); + end + end + if((io_mem_cmd_valid && io_mem_cmd_ready))begin + dispatcher_headerLoaded <= 1'b0; + dispatcher_dataLoaded <= 1'b0; + end + end + end + + always @ (posedge mainClock) begin + if(io_remote_cmd_valid)begin + if(_zz_2_)begin + dispatcher_headerShifter <= ({io_remote_cmd_payload_fragment,dispatcher_headerShifter} >>> 1); + end else begin + dispatcher_dataShifter <= ({io_remote_cmd_payload_fragment,dispatcher_dataShifter} >>> 1); + end + end + end + + +endmodule + +module PipelinedMemoryBusToApbBridge ( + input io_pipelinedMemoryBus_cmd_valid, + output io_pipelinedMemoryBus_cmd_ready, + input io_pipelinedMemoryBus_cmd_payload_write, + input [31:0] io_pipelinedMemoryBus_cmd_payload_address, + input [31:0] io_pipelinedMemoryBus_cmd_payload_data, + input [3:0] io_pipelinedMemoryBus_cmd_payload_mask, + output io_pipelinedMemoryBus_rsp_valid, + output [31:0] io_pipelinedMemoryBus_rsp_payload_data, + output [19:0] io_apb_PADDR, + output [0:0] io_apb_PSEL, + output io_apb_PENABLE, + input io_apb_PREADY, + output io_apb_PWRITE, + output [31:0] io_apb_PWDATA, + input [31:0] io_apb_PRDATA, + input io_apb_PSLVERROR, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_1_; + wire pipelinedMemoryBusStage_cmd_valid; + reg pipelinedMemoryBusStage_cmd_ready; + wire pipelinedMemoryBusStage_cmd_payload_write; + wire [31:0] pipelinedMemoryBusStage_cmd_payload_address; + wire [31:0] pipelinedMemoryBusStage_cmd_payload_data; + wire [3:0] pipelinedMemoryBusStage_cmd_payload_mask; + reg pipelinedMemoryBusStage_rsp_valid; + wire [31:0] pipelinedMemoryBusStage_rsp_payload_data; + reg pipelinedMemoryBusStage_rsp_regNext_valid; + reg [31:0] pipelinedMemoryBusStage_rsp_regNext_payload_data; + reg state; + + assign _zz_1_ = (! state); + assign pipelinedMemoryBusStage_cmd_valid = io_pipelinedMemoryBus_cmd_valid; + assign io_pipelinedMemoryBus_cmd_ready = pipelinedMemoryBusStage_cmd_ready; + assign pipelinedMemoryBusStage_cmd_payload_write = io_pipelinedMemoryBus_cmd_payload_write; + assign pipelinedMemoryBusStage_cmd_payload_address = io_pipelinedMemoryBus_cmd_payload_address; + assign pipelinedMemoryBusStage_cmd_payload_data = io_pipelinedMemoryBus_cmd_payload_data; + assign pipelinedMemoryBusStage_cmd_payload_mask = io_pipelinedMemoryBus_cmd_payload_mask; + assign io_pipelinedMemoryBus_rsp_valid = pipelinedMemoryBusStage_rsp_regNext_valid; + assign io_pipelinedMemoryBus_rsp_payload_data = pipelinedMemoryBusStage_rsp_regNext_payload_data; + always @ (*) begin + pipelinedMemoryBusStage_cmd_ready = 1'b0; + if(! _zz_1_) begin + if(io_apb_PREADY)begin + pipelinedMemoryBusStage_cmd_ready = 1'b1; + end + end + end + + assign io_apb_PSEL[0] = pipelinedMemoryBusStage_cmd_valid; + assign io_apb_PENABLE = state; + assign io_apb_PWRITE = pipelinedMemoryBusStage_cmd_payload_write; + assign io_apb_PADDR = pipelinedMemoryBusStage_cmd_payload_address[19:0]; + assign io_apb_PWDATA = pipelinedMemoryBusStage_cmd_payload_data; + always @ (*) begin + pipelinedMemoryBusStage_rsp_valid = 1'b0; + if(! _zz_1_) begin + if(io_apb_PREADY)begin + pipelinedMemoryBusStage_rsp_valid = (! pipelinedMemoryBusStage_cmd_payload_write); + end + end + end + + assign pipelinedMemoryBusStage_rsp_payload_data = io_apb_PRDATA; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + pipelinedMemoryBusStage_rsp_regNext_valid <= 1'b0; + state <= 1'b0; + end else begin + pipelinedMemoryBusStage_rsp_regNext_valid <= pipelinedMemoryBusStage_rsp_valid; + if(_zz_1_)begin + state <= pipelinedMemoryBusStage_cmd_valid; + end else begin + if(io_apb_PREADY)begin + state <= 1'b0; + end + end + end + end + + always @ (posedge mainClock) begin + pipelinedMemoryBusStage_rsp_regNext_payload_data <= pipelinedMemoryBusStage_rsp_payload_data; + end + + +endmodule + +module Apb3UartCtrl ( + input [4:0] io_apb_PADDR, + input [0:0] io_apb_PSEL, + input io_apb_PENABLE, + output io_apb_PREADY, + input io_apb_PWRITE, + input [31:0] io_apb_PWDATA, + output reg [31:0] io_apb_PRDATA, + output io_uart_txd, + input io_uart_rxd, + output io_interrupt, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_7_; + reg _zz_8_; + wire _zz_9_; + wire uartCtrl_1__io_write_ready; + wire uartCtrl_1__io_read_valid; + wire [7:0] uartCtrl_1__io_read_payload; + wire uartCtrl_1__io_uart_txd; + wire uartCtrl_1__io_readError; + wire uartCtrl_1__io_readBreak; + wire bridge_write_streamUnbuffered_queueWithOccupancy_io_push_ready; + wire bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid; + wire [7:0] bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_payload; + wire [4:0] bridge_write_streamUnbuffered_queueWithOccupancy_io_occupancy; + wire [4:0] bridge_write_streamUnbuffered_queueWithOccupancy_io_availability; + wire uartCtrl_1__io_read_queueWithOccupancy_io_push_ready; + wire uartCtrl_1__io_read_queueWithOccupancy_io_pop_valid; + wire [7:0] uartCtrl_1__io_read_queueWithOccupancy_io_pop_payload; + wire [4:0] uartCtrl_1__io_read_queueWithOccupancy_io_occupancy; + wire [4:0] uartCtrl_1__io_read_queueWithOccupancy_io_availability; + wire [0:0] _zz_10_; + wire [0:0] _zz_11_; + wire [0:0] _zz_12_; + wire [0:0] _zz_13_; + wire [0:0] _zz_14_; + wire [0:0] _zz_15_; + wire [0:0] _zz_16_; + wire [0:0] _zz_17_; + wire [0:0] _zz_18_; + wire [0:0] _zz_19_; + wire [0:0] _zz_20_; + wire [0:0] _zz_21_; + wire [4:0] _zz_22_; + wire busCtrl_askWrite; + wire busCtrl_askRead; + wire busCtrl_doWrite; + wire busCtrl_doRead; + wire [2:0] bridge_uartConfigReg_frame_dataLength; + wire `UartStopType_defaultEncoding_type bridge_uartConfigReg_frame_stop; + wire `UartParityType_defaultEncoding_type bridge_uartConfigReg_frame_parity; + reg [19:0] bridge_uartConfigReg_clockDivider; + reg _zz_1_; + wire bridge_write_streamUnbuffered_valid; + wire bridge_write_streamUnbuffered_ready; + wire [7:0] bridge_write_streamUnbuffered_payload; + reg bridge_read_streamBreaked_valid; + reg bridge_read_streamBreaked_ready; + wire [7:0] bridge_read_streamBreaked_payload; + reg bridge_interruptCtrl_writeIntEnable; + reg bridge_interruptCtrl_readIntEnable; + wire bridge_interruptCtrl_readInt; + wire bridge_interruptCtrl_writeInt; + wire bridge_interruptCtrl_interrupt; + reg bridge_misc_readError; + reg _zz_2_; + reg bridge_misc_readOverflowError; + reg _zz_3_; + reg bridge_misc_breakDetected; + reg uartCtrl_1__io_readBreak_regNext; + reg _zz_4_; + reg bridge_misc_doBreak; + reg _zz_5_; + reg _zz_6_; + `ifndef SYNTHESIS + reg [23:0] bridge_uartConfigReg_frame_stop_string; + reg [31:0] bridge_uartConfigReg_frame_parity_string; + `endif + + function [19:0] zz_bridge_uartConfigReg_clockDivider(input dummy); + begin + zz_bridge_uartConfigReg_clockDivider = 20'h0; + zz_bridge_uartConfigReg_clockDivider = 20'h0002a; + end + endfunction + wire [19:0] _zz_23_; + + assign _zz_10_ = io_apb_PWDATA[0 : 0]; + assign _zz_11_ = (1'b0); + assign _zz_12_ = io_apb_PWDATA[1 : 1]; + assign _zz_13_ = (1'b0); + assign _zz_14_ = io_apb_PWDATA[9 : 9]; + assign _zz_15_ = (1'b0); + assign _zz_16_ = io_apb_PWDATA[10 : 10]; + assign _zz_17_ = (1'b1); + assign _zz_18_ = io_apb_PWDATA[11 : 11]; + assign _zz_19_ = (1'b0); + assign _zz_20_ = io_apb_PWDATA[0 : 0]; + assign _zz_21_ = io_apb_PWDATA[1 : 1]; + assign _zz_22_ = (5'h10 - bridge_write_streamUnbuffered_queueWithOccupancy_io_occupancy); + UartCtrl uartCtrl_1_ ( + .io_config_frame_dataLength (bridge_uartConfigReg_frame_dataLength[2:0] ), //i + .io_config_frame_stop (bridge_uartConfigReg_frame_stop ), //i + .io_config_frame_parity (bridge_uartConfigReg_frame_parity[1:0] ), //i + .io_config_clockDivider (bridge_uartConfigReg_clockDivider[19:0] ), //i + .io_write_valid (bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid ), //i + .io_write_ready (uartCtrl_1__io_write_ready ), //o + .io_write_payload (bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_payload[7:0] ), //i + .io_read_valid (uartCtrl_1__io_read_valid ), //o + .io_read_ready (uartCtrl_1__io_read_queueWithOccupancy_io_push_ready ), //i + .io_read_payload (uartCtrl_1__io_read_payload[7:0] ), //o + .io_uart_txd (uartCtrl_1__io_uart_txd ), //o + .io_uart_rxd (io_uart_rxd ), //i + .io_readError (uartCtrl_1__io_readError ), //o + .io_writeBreak (bridge_misc_doBreak ), //i + .io_readBreak (uartCtrl_1__io_readBreak ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + StreamFifo bridge_write_streamUnbuffered_queueWithOccupancy ( + .io_push_valid (bridge_write_streamUnbuffered_valid ), //i + .io_push_ready (bridge_write_streamUnbuffered_queueWithOccupancy_io_push_ready ), //o + .io_push_payload (bridge_write_streamUnbuffered_payload[7:0] ), //i + .io_pop_valid (bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid ), //o + .io_pop_ready (uartCtrl_1__io_write_ready ), //i + .io_pop_payload (bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_payload[7:0] ), //o + .io_flush (_zz_7_ ), //i + .io_occupancy (bridge_write_streamUnbuffered_queueWithOccupancy_io_occupancy[4:0] ), //o + .io_availability (bridge_write_streamUnbuffered_queueWithOccupancy_io_availability[4:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + StreamFifo uartCtrl_1__io_read_queueWithOccupancy ( + .io_push_valid (uartCtrl_1__io_read_valid ), //i + .io_push_ready (uartCtrl_1__io_read_queueWithOccupancy_io_push_ready ), //o + .io_push_payload (uartCtrl_1__io_read_payload[7:0] ), //i + .io_pop_valid (uartCtrl_1__io_read_queueWithOccupancy_io_pop_valid ), //o + .io_pop_ready (_zz_8_ ), //i + .io_pop_payload (uartCtrl_1__io_read_queueWithOccupancy_io_pop_payload[7:0] ), //o + .io_flush (_zz_9_ ), //i + .io_occupancy (uartCtrl_1__io_read_queueWithOccupancy_io_occupancy[4:0] ), //o + .io_availability (uartCtrl_1__io_read_queueWithOccupancy_io_availability[4:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + `ifndef SYNTHESIS + always @(*) begin + case(bridge_uartConfigReg_frame_stop) + `UartStopType_defaultEncoding_ONE : bridge_uartConfigReg_frame_stop_string = "ONE"; + `UartStopType_defaultEncoding_TWO : bridge_uartConfigReg_frame_stop_string = "TWO"; + default : bridge_uartConfigReg_frame_stop_string = "???"; + endcase + end + always @(*) begin + case(bridge_uartConfigReg_frame_parity) + `UartParityType_defaultEncoding_NONE : bridge_uartConfigReg_frame_parity_string = "NONE"; + `UartParityType_defaultEncoding_EVEN : bridge_uartConfigReg_frame_parity_string = "EVEN"; + `UartParityType_defaultEncoding_ODD : bridge_uartConfigReg_frame_parity_string = "ODD "; + default : bridge_uartConfigReg_frame_parity_string = "????"; + endcase + end + `endif + + assign io_uart_txd = uartCtrl_1__io_uart_txd; + assign io_apb_PREADY = 1'b1; + always @ (*) begin + io_apb_PRDATA = 32'h0; + case(io_apb_PADDR) + 5'b00000 : begin + io_apb_PRDATA[16 : 16] = (bridge_read_streamBreaked_valid ^ 1'b0); + io_apb_PRDATA[7 : 0] = bridge_read_streamBreaked_payload; + end + 5'b00100 : begin + io_apb_PRDATA[20 : 16] = _zz_22_; + io_apb_PRDATA[15 : 15] = bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid; + io_apb_PRDATA[28 : 24] = uartCtrl_1__io_read_queueWithOccupancy_io_occupancy; + io_apb_PRDATA[0 : 0] = bridge_interruptCtrl_writeIntEnable; + io_apb_PRDATA[1 : 1] = bridge_interruptCtrl_readIntEnable; + io_apb_PRDATA[8 : 8] = bridge_interruptCtrl_writeInt; + io_apb_PRDATA[9 : 9] = bridge_interruptCtrl_readInt; + end + 5'b10000 : begin + io_apb_PRDATA[0 : 0] = bridge_misc_readError; + io_apb_PRDATA[1 : 1] = bridge_misc_readOverflowError; + io_apb_PRDATA[8 : 8] = uartCtrl_1__io_readBreak; + io_apb_PRDATA[9 : 9] = bridge_misc_breakDetected; + end + default : begin + end + endcase + end + + assign busCtrl_askWrite = ((io_apb_PSEL[0] && io_apb_PENABLE) && io_apb_PWRITE); + assign busCtrl_askRead = ((io_apb_PSEL[0] && io_apb_PENABLE) && (! io_apb_PWRITE)); + assign busCtrl_doWrite = (((io_apb_PSEL[0] && io_apb_PENABLE) && io_apb_PREADY) && io_apb_PWRITE); + assign busCtrl_doRead = (((io_apb_PSEL[0] && io_apb_PENABLE) && io_apb_PREADY) && (! io_apb_PWRITE)); + assign _zz_23_ = zz_bridge_uartConfigReg_clockDivider(1'b0); + always @ (*) bridge_uartConfigReg_clockDivider = _zz_23_; + assign bridge_uartConfigReg_frame_dataLength = (3'b111); + assign bridge_uartConfigReg_frame_parity = `UartParityType_defaultEncoding_NONE; + assign bridge_uartConfigReg_frame_stop = `UartStopType_defaultEncoding_ONE; + always @ (*) begin + _zz_1_ = 1'b0; + case(io_apb_PADDR) + 5'b00000 : begin + if(busCtrl_doWrite)begin + _zz_1_ = 1'b1; + end + end + 5'b00100 : begin + end + 5'b10000 : begin + end + default : begin + end + endcase + end + + assign bridge_write_streamUnbuffered_valid = _zz_1_; + assign bridge_write_streamUnbuffered_payload = io_apb_PWDATA[7 : 0]; + assign bridge_write_streamUnbuffered_ready = bridge_write_streamUnbuffered_queueWithOccupancy_io_push_ready; + always @ (*) begin + bridge_read_streamBreaked_valid = uartCtrl_1__io_read_queueWithOccupancy_io_pop_valid; + if(uartCtrl_1__io_readBreak)begin + bridge_read_streamBreaked_valid = 1'b0; + end + end + + always @ (*) begin + _zz_8_ = bridge_read_streamBreaked_ready; + if(uartCtrl_1__io_readBreak)begin + _zz_8_ = 1'b1; + end + end + + assign bridge_read_streamBreaked_payload = uartCtrl_1__io_read_queueWithOccupancy_io_pop_payload; + always @ (*) begin + bridge_read_streamBreaked_ready = 1'b0; + case(io_apb_PADDR) + 5'b00000 : begin + if(busCtrl_doRead)begin + bridge_read_streamBreaked_ready = 1'b1; + end + end + 5'b00100 : begin + end + 5'b10000 : begin + end + default : begin + end + endcase + end + + assign bridge_interruptCtrl_readInt = (bridge_interruptCtrl_readIntEnable && bridge_read_streamBreaked_valid); + assign bridge_interruptCtrl_writeInt = (bridge_interruptCtrl_writeIntEnable && (! bridge_write_streamUnbuffered_queueWithOccupancy_io_pop_valid)); + assign bridge_interruptCtrl_interrupt = (bridge_interruptCtrl_readInt || bridge_interruptCtrl_writeInt); + always @ (*) begin + _zz_2_ = 1'b0; + case(io_apb_PADDR) + 5'b00000 : begin + end + 5'b00100 : begin + end + 5'b10000 : begin + if(busCtrl_doWrite)begin + _zz_2_ = 1'b1; + end + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_3_ = 1'b0; + case(io_apb_PADDR) + 5'b00000 : begin + end + 5'b00100 : begin + end + 5'b10000 : begin + if(busCtrl_doWrite)begin + _zz_3_ = 1'b1; + end + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_4_ = 1'b0; + case(io_apb_PADDR) + 5'b00000 : begin + end + 5'b00100 : begin + end + 5'b10000 : begin + if(busCtrl_doWrite)begin + _zz_4_ = 1'b1; + end + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_5_ = 1'b0; + case(io_apb_PADDR) + 5'b00000 : begin + end + 5'b00100 : begin + end + 5'b10000 : begin + if(busCtrl_doWrite)begin + _zz_5_ = 1'b1; + end + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_6_ = 1'b0; + case(io_apb_PADDR) + 5'b00000 : begin + end + 5'b00100 : begin + end + 5'b10000 : begin + if(busCtrl_doWrite)begin + _zz_6_ = 1'b1; + end + end + default : begin + end + endcase + end + + assign io_interrupt = bridge_interruptCtrl_interrupt; + assign _zz_7_ = 1'b0; + assign _zz_9_ = 1'b0; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + bridge_interruptCtrl_writeIntEnable <= 1'b0; + bridge_interruptCtrl_readIntEnable <= 1'b0; + bridge_misc_readError <= 1'b0; + bridge_misc_readOverflowError <= 1'b0; + bridge_misc_breakDetected <= 1'b0; + bridge_misc_doBreak <= 1'b0; + end else begin + if(_zz_2_)begin + if(_zz_10_[0])begin + bridge_misc_readError <= _zz_11_[0]; + end + end + if(uartCtrl_1__io_readError)begin + bridge_misc_readError <= 1'b1; + end + if(_zz_3_)begin + if(_zz_12_[0])begin + bridge_misc_readOverflowError <= _zz_13_[0]; + end + end + if((uartCtrl_1__io_read_valid && (! uartCtrl_1__io_read_queueWithOccupancy_io_push_ready)))begin + bridge_misc_readOverflowError <= 1'b1; + end + if((uartCtrl_1__io_readBreak && (! uartCtrl_1__io_readBreak_regNext)))begin + bridge_misc_breakDetected <= 1'b1; + end + if(_zz_4_)begin + if(_zz_14_[0])begin + bridge_misc_breakDetected <= _zz_15_[0]; + end + end + if(_zz_5_)begin + if(_zz_16_[0])begin + bridge_misc_doBreak <= _zz_17_[0]; + end + end + if(_zz_6_)begin + if(_zz_18_[0])begin + bridge_misc_doBreak <= _zz_19_[0]; + end + end + case(io_apb_PADDR) + 5'b00000 : begin + end + 5'b00100 : begin + if(busCtrl_doWrite)begin + bridge_interruptCtrl_writeIntEnable <= _zz_20_[0]; + bridge_interruptCtrl_readIntEnable <= _zz_21_[0]; + end + end + 5'b10000 : begin + end + default : begin + end + endcase + end + end + + always @ (posedge mainClock) begin + uartCtrl_1__io_readBreak_regNext <= uartCtrl_1__io_readBreak; + end + + +endmodule + +module MuraxApb3Timer ( + input [7:0] io_apb_PADDR, + input [0:0] io_apb_PSEL, + input io_apb_PENABLE, + output io_apb_PREADY, + input io_apb_PWRITE, + input [31:0] io_apb_PWDATA, + output reg [31:0] io_apb_PRDATA, + output io_apb_PSLVERROR, + output io_interrupt, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_7_; + wire _zz_8_; + wire _zz_9_; + wire _zz_10_; + reg [1:0] _zz_11_; + reg [1:0] _zz_12_; + wire prescaler_1__io_overflow; + wire timerA_io_full; + wire [15:0] timerA_io_value; + wire timerB_io_full; + wire [15:0] timerB_io_value; + wire [1:0] interruptCtrl_1__io_pendings; + wire busCtrl_askWrite; + wire busCtrl_askRead; + wire busCtrl_doWrite; + wire busCtrl_doRead; + reg [15:0] _zz_1_; + reg _zz_2_; + reg [1:0] timerABridge_ticksEnable; + reg [0:0] timerABridge_clearsEnable; + reg timerABridge_busClearing; + reg [15:0] timerA_io_limit_driver; + reg _zz_3_; + reg _zz_4_; + reg [1:0] timerBBridge_ticksEnable; + reg [0:0] timerBBridge_clearsEnable; + reg timerBBridge_busClearing; + reg [15:0] timerB_io_limit_driver; + reg _zz_5_; + reg _zz_6_; + reg [1:0] interruptCtrl_1__io_masks_driver; + + Prescaler prescaler_1_ ( + .io_clear (_zz_2_ ), //i + .io_limit (_zz_1_[15:0] ), //i + .io_overflow (prescaler_1__io_overflow ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + Timer timerA ( + .io_tick (_zz_7_ ), //i + .io_clear (_zz_8_ ), //i + .io_limit (timerA_io_limit_driver[15:0] ), //i + .io_full (timerA_io_full ), //o + .io_value (timerA_io_value[15:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + Timer timerB ( + .io_tick (_zz_9_ ), //i + .io_clear (_zz_10_ ), //i + .io_limit (timerB_io_limit_driver[15:0] ), //i + .io_full (timerB_io_full ), //o + .io_value (timerB_io_value[15:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + InterruptCtrl interruptCtrl_1_ ( + .io_inputs (_zz_11_[1:0] ), //i + .io_clears (_zz_12_[1:0] ), //i + .io_masks (interruptCtrl_1__io_masks_driver[1:0] ), //i + .io_pendings (interruptCtrl_1__io_pendings[1:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + assign io_apb_PREADY = 1'b1; + always @ (*) begin + io_apb_PRDATA = 32'h0; + case(io_apb_PADDR) + 8'b00000000 : begin + io_apb_PRDATA[15 : 0] = _zz_1_; + end + 8'b01000000 : begin + io_apb_PRDATA[1 : 0] = timerABridge_ticksEnable; + io_apb_PRDATA[16 : 16] = timerABridge_clearsEnable; + end + 8'b01000100 : begin + io_apb_PRDATA[15 : 0] = timerA_io_limit_driver; + end + 8'b01001000 : begin + io_apb_PRDATA[15 : 0] = timerA_io_value; + end + 8'b01010000 : begin + io_apb_PRDATA[1 : 0] = timerBBridge_ticksEnable; + io_apb_PRDATA[16 : 16] = timerBBridge_clearsEnable; + end + 8'b01010100 : begin + io_apb_PRDATA[15 : 0] = timerB_io_limit_driver; + end + 8'b01011000 : begin + io_apb_PRDATA[15 : 0] = timerB_io_value; + end + 8'b00010000 : begin + io_apb_PRDATA[1 : 0] = interruptCtrl_1__io_pendings; + end + 8'b00010100 : begin + io_apb_PRDATA[1 : 0] = interruptCtrl_1__io_masks_driver; + end + default : begin + end + endcase + end + + assign io_apb_PSLVERROR = 1'b0; + assign busCtrl_askWrite = ((io_apb_PSEL[0] && io_apb_PENABLE) && io_apb_PWRITE); + assign busCtrl_askRead = ((io_apb_PSEL[0] && io_apb_PENABLE) && (! io_apb_PWRITE)); + assign busCtrl_doWrite = (((io_apb_PSEL[0] && io_apb_PENABLE) && io_apb_PREADY) && io_apb_PWRITE); + assign busCtrl_doRead = (((io_apb_PSEL[0] && io_apb_PENABLE) && io_apb_PREADY) && (! io_apb_PWRITE)); + always @ (*) begin + _zz_2_ = 1'b0; + case(io_apb_PADDR) + 8'b00000000 : begin + if(busCtrl_doWrite)begin + _zz_2_ = 1'b1; + end + end + 8'b01000000 : begin + end + 8'b01000100 : begin + end + 8'b01001000 : begin + end + 8'b01010000 : begin + end + 8'b01010100 : begin + end + 8'b01011000 : begin + end + 8'b00010000 : begin + end + 8'b00010100 : begin + end + default : begin + end + endcase + end + + always @ (*) begin + timerABridge_busClearing = 1'b0; + if(_zz_3_)begin + timerABridge_busClearing = 1'b1; + end + if(_zz_4_)begin + timerABridge_busClearing = 1'b1; + end + end + + always @ (*) begin + _zz_3_ = 1'b0; + case(io_apb_PADDR) + 8'b00000000 : begin + end + 8'b01000000 : begin + end + 8'b01000100 : begin + if(busCtrl_doWrite)begin + _zz_3_ = 1'b1; + end + end + 8'b01001000 : begin + end + 8'b01010000 : begin + end + 8'b01010100 : begin + end + 8'b01011000 : begin + end + 8'b00010000 : begin + end + 8'b00010100 : begin + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_4_ = 1'b0; + case(io_apb_PADDR) + 8'b00000000 : begin + end + 8'b01000000 : begin + end + 8'b01000100 : begin + end + 8'b01001000 : begin + if(busCtrl_doWrite)begin + _zz_4_ = 1'b1; + end + end + 8'b01010000 : begin + end + 8'b01010100 : begin + end + 8'b01011000 : begin + end + 8'b00010000 : begin + end + 8'b00010100 : begin + end + default : begin + end + endcase + end + + assign _zz_8_ = (((timerABridge_clearsEnable & timerA_io_full) != (1'b0)) || timerABridge_busClearing); + assign _zz_7_ = ((timerABridge_ticksEnable & {prescaler_1__io_overflow,1'b1}) != (2'b00)); + always @ (*) begin + timerBBridge_busClearing = 1'b0; + if(_zz_5_)begin + timerBBridge_busClearing = 1'b1; + end + if(_zz_6_)begin + timerBBridge_busClearing = 1'b1; + end + end + + always @ (*) begin + _zz_5_ = 1'b0; + case(io_apb_PADDR) + 8'b00000000 : begin + end + 8'b01000000 : begin + end + 8'b01000100 : begin + end + 8'b01001000 : begin + end + 8'b01010000 : begin + end + 8'b01010100 : begin + if(busCtrl_doWrite)begin + _zz_5_ = 1'b1; + end + end + 8'b01011000 : begin + end + 8'b00010000 : begin + end + 8'b00010100 : begin + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_6_ = 1'b0; + case(io_apb_PADDR) + 8'b00000000 : begin + end + 8'b01000000 : begin + end + 8'b01000100 : begin + end + 8'b01001000 : begin + end + 8'b01010000 : begin + end + 8'b01010100 : begin + end + 8'b01011000 : begin + if(busCtrl_doWrite)begin + _zz_6_ = 1'b1; + end + end + 8'b00010000 : begin + end + 8'b00010100 : begin + end + default : begin + end + endcase + end + + assign _zz_10_ = (((timerBBridge_clearsEnable & timerB_io_full) != (1'b0)) || timerBBridge_busClearing); + assign _zz_9_ = ((timerBBridge_ticksEnable & {prescaler_1__io_overflow,1'b1}) != (2'b00)); + always @ (*) begin + _zz_12_ = (2'b00); + case(io_apb_PADDR) + 8'b00000000 : begin + end + 8'b01000000 : begin + end + 8'b01000100 : begin + end + 8'b01001000 : begin + end + 8'b01010000 : begin + end + 8'b01010100 : begin + end + 8'b01011000 : begin + end + 8'b00010000 : begin + if(busCtrl_doWrite)begin + _zz_12_ = io_apb_PWDATA[1 : 0]; + end + end + 8'b00010100 : begin + end + default : begin + end + endcase + end + + always @ (*) begin + _zz_11_[0] = timerA_io_full; + _zz_11_[1] = timerB_io_full; + end + + assign io_interrupt = (interruptCtrl_1__io_pendings != (2'b00)); + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + timerABridge_ticksEnable <= (2'b00); + timerABridge_clearsEnable <= (1'b0); + timerBBridge_ticksEnable <= (2'b00); + timerBBridge_clearsEnable <= (1'b0); + interruptCtrl_1__io_masks_driver <= (2'b00); + end else begin + case(io_apb_PADDR) + 8'b00000000 : begin + end + 8'b01000000 : begin + if(busCtrl_doWrite)begin + timerABridge_ticksEnable <= io_apb_PWDATA[1 : 0]; + timerABridge_clearsEnable <= io_apb_PWDATA[16 : 16]; + end + end + 8'b01000100 : begin + end + 8'b01001000 : begin + end + 8'b01010000 : begin + if(busCtrl_doWrite)begin + timerBBridge_ticksEnable <= io_apb_PWDATA[1 : 0]; + timerBBridge_clearsEnable <= io_apb_PWDATA[16 : 16]; + end + end + 8'b01010100 : begin + end + 8'b01011000 : begin + end + 8'b00010000 : begin + end + 8'b00010100 : begin + if(busCtrl_doWrite)begin + interruptCtrl_1__io_masks_driver <= io_apb_PWDATA[1 : 0]; + end + end + default : begin + end + endcase + end + end + + always @ (posedge mainClock) begin + case(io_apb_PADDR) + 8'b00000000 : begin + if(busCtrl_doWrite)begin + _zz_1_ <= io_apb_PWDATA[15 : 0]; + end + end + 8'b01000000 : begin + end + 8'b01000100 : begin + if(busCtrl_doWrite)begin + timerA_io_limit_driver <= io_apb_PWDATA[15 : 0]; + end + end + 8'b01001000 : begin + end + 8'b01010000 : begin + end + 8'b01010100 : begin + if(busCtrl_doWrite)begin + timerB_io_limit_driver <= io_apb_PWDATA[15 : 0]; + end + end + 8'b01011000 : begin + end + 8'b00010000 : begin + end + 8'b00010100 : begin + end + default : begin + end + endcase + end + + +endmodule + +module MyMem ( + input [19:0] io_bus_PADDR, + input [0:0] io_bus_PSEL, + input io_bus_PENABLE, + output io_bus_PREADY, + input io_bus_PWRITE, + input [31:0] io_bus_PWDATA, + output reg [31:0] io_bus_PRDATA, + output io_bus_PSLVERROR, + input mainClock, + input resetCtrl_systemClockReset +); + reg [31:0] myReg; + wire busCtrl_askWrite; + wire busCtrl_askRead; + wire busCtrl_doWrite; + wire busCtrl_doRead; + + assign io_bus_PREADY = 1'b1; + always @ (*) begin + io_bus_PRDATA = 32'h0; + case(io_bus_PADDR) + 20'b00110000000000000000 : begin + io_bus_PRDATA[31 : 0] = myReg; + end + default : begin + end + endcase + end + + assign io_bus_PSLVERROR = 1'b0; + assign busCtrl_askWrite = ((io_bus_PSEL[0] && io_bus_PENABLE) && io_bus_PWRITE); + assign busCtrl_askRead = ((io_bus_PSEL[0] && io_bus_PENABLE) && (! io_bus_PWRITE)); + assign busCtrl_doWrite = (((io_bus_PSEL[0] && io_bus_PENABLE) && io_bus_PREADY) && io_bus_PWRITE); + assign busCtrl_doRead = (((io_bus_PSEL[0] && io_bus_PENABLE) && io_bus_PREADY) && (! io_bus_PWRITE)); + always @ (posedge mainClock) begin + case(io_bus_PADDR) + 20'b00110000000000000000 : begin + if(busCtrl_doWrite)begin + myReg <= io_bus_PWDATA[31 : 0]; + end + end + default : begin + end + endcase + end + + +endmodule + +module Apb3Decoder ( + input [19:0] io_input_PADDR, + input [0:0] io_input_PSEL, + input io_input_PENABLE, + output reg io_input_PREADY, + input io_input_PWRITE, + input [31:0] io_input_PWDATA, + output [31:0] io_input_PRDATA, + output reg io_input_PSLVERROR, + output [19:0] io_output_PADDR, + output reg [2:0] io_output_PSEL, + output io_output_PENABLE, + input io_output_PREADY, + output io_output_PWRITE, + output [31:0] io_output_PWDATA, + input [31:0] io_output_PRDATA, + input io_output_PSLVERROR +); + wire _zz_1_; + + assign _zz_1_ = (io_input_PSEL[0] && (io_output_PSEL == (3'b000))); + assign io_output_PADDR = io_input_PADDR; + assign io_output_PENABLE = io_input_PENABLE; + assign io_output_PWRITE = io_input_PWRITE; + assign io_output_PWDATA = io_input_PWDATA; + always @ (*) begin + io_output_PSEL[0] = (((io_input_PADDR & (~ 20'h00fff)) == 20'h10000) && io_input_PSEL[0]); + io_output_PSEL[1] = (((io_input_PADDR & (~ 20'h00fff)) == 20'h20000) && io_input_PSEL[0]); + io_output_PSEL[2] = (((io_input_PADDR & (~ 20'h00fff)) == 20'h30000) && io_input_PSEL[0]); + end + + always @ (*) begin + io_input_PREADY = io_output_PREADY; + if(_zz_1_)begin + io_input_PREADY = 1'b1; + end + end + + assign io_input_PRDATA = io_output_PRDATA; + always @ (*) begin + io_input_PSLVERROR = io_output_PSLVERROR; + if(_zz_1_)begin + io_input_PSLVERROR = 1'b1; + end + end + + +endmodule + +module Apb3Router ( + input [19:0] io_input_PADDR, + input [2:0] io_input_PSEL, + input io_input_PENABLE, + output io_input_PREADY, + input io_input_PWRITE, + input [31:0] io_input_PWDATA, + output [31:0] io_input_PRDATA, + output io_input_PSLVERROR, + output [19:0] io_outputs_0_PADDR, + output [0:0] io_outputs_0_PSEL, + output io_outputs_0_PENABLE, + input io_outputs_0_PREADY, + output io_outputs_0_PWRITE, + output [31:0] io_outputs_0_PWDATA, + input [31:0] io_outputs_0_PRDATA, + input io_outputs_0_PSLVERROR, + output [19:0] io_outputs_1_PADDR, + output [0:0] io_outputs_1_PSEL, + output io_outputs_1_PENABLE, + input io_outputs_1_PREADY, + output io_outputs_1_PWRITE, + output [31:0] io_outputs_1_PWDATA, + input [31:0] io_outputs_1_PRDATA, + input io_outputs_1_PSLVERROR, + output [19:0] io_outputs_2_PADDR, + output [0:0] io_outputs_2_PSEL, + output io_outputs_2_PENABLE, + input io_outputs_2_PREADY, + output io_outputs_2_PWRITE, + output [31:0] io_outputs_2_PWDATA, + input [31:0] io_outputs_2_PRDATA, + input io_outputs_2_PSLVERROR, + input mainClock, + input resetCtrl_systemClockReset +); + reg _zz_3_; + reg [31:0] _zz_4_; + reg _zz_5_; + wire _zz_1_; + wire _zz_2_; + reg [1:0] selIndex; + + always @(*) begin + case(selIndex) + 2'b00 : begin + _zz_3_ = io_outputs_0_PREADY; + _zz_4_ = io_outputs_0_PRDATA; + _zz_5_ = io_outputs_0_PSLVERROR; + end + 2'b01 : begin + _zz_3_ = io_outputs_1_PREADY; + _zz_4_ = io_outputs_1_PRDATA; + _zz_5_ = io_outputs_1_PSLVERROR; + end + default : begin + _zz_3_ = io_outputs_2_PREADY; + _zz_4_ = io_outputs_2_PRDATA; + _zz_5_ = io_outputs_2_PSLVERROR; + end + endcase + end + + assign io_outputs_0_PADDR = io_input_PADDR; + assign io_outputs_0_PENABLE = io_input_PENABLE; + assign io_outputs_0_PSEL[0] = io_input_PSEL[0]; + assign io_outputs_0_PWRITE = io_input_PWRITE; + assign io_outputs_0_PWDATA = io_input_PWDATA; + assign io_outputs_1_PADDR = io_input_PADDR; + assign io_outputs_1_PENABLE = io_input_PENABLE; + assign io_outputs_1_PSEL[0] = io_input_PSEL[1]; + assign io_outputs_1_PWRITE = io_input_PWRITE; + assign io_outputs_1_PWDATA = io_input_PWDATA; + assign io_outputs_2_PADDR = io_input_PADDR; + assign io_outputs_2_PENABLE = io_input_PENABLE; + assign io_outputs_2_PSEL[0] = io_input_PSEL[2]; + assign io_outputs_2_PWRITE = io_input_PWRITE; + assign io_outputs_2_PWDATA = io_input_PWDATA; + assign _zz_1_ = io_input_PSEL[1]; + assign _zz_2_ = io_input_PSEL[2]; + assign io_input_PREADY = _zz_3_; + assign io_input_PRDATA = _zz_4_; + assign io_input_PSLVERROR = _zz_5_; + always @ (posedge mainClock) begin + selIndex <= {_zz_2_,_zz_1_}; + end + + +endmodule + +module PipelinedMemoryBusRamUlx3s ( + input io_bus_cmd_valid, + output io_bus_cmd_ready, + input io_bus_cmd_payload_write, + input [15:0] io_bus_cmd_payload_address, + input [31:0] io_bus_cmd_payload_data, + input [3:0] io_bus_cmd_payload_mask, + output io_bus_rsp_valid, + output [31:0] io_bus_rsp_payload_data, + input mainClock, + input resetCtrl_systemClockReset +); + reg [31:0] _zz_4_; + reg _zz_1_; + wire [13:0] _zz_2_; + wire [31:0] _zz_3_; + reg [7:0] ram_symbol0 [0:16383]; + reg [7:0] ram_symbol1 [0:16383]; + reg [7:0] ram_symbol2 [0:16383]; + reg [7:0] ram_symbol3 [0:16383]; + reg [7:0] _zz_5_; + reg [7:0] _zz_6_; + reg [7:0] _zz_7_; + reg [7:0] _zz_8_; + + always @ (*) begin + _zz_4_ = {_zz_8_, _zz_7_, _zz_6_, _zz_5_}; + end + always @ (posedge mainClock) begin + if(io_bus_cmd_valid) begin + _zz_5_ <= ram_symbol0[_zz_2_]; + _zz_6_ <= ram_symbol1[_zz_2_]; + _zz_7_ <= ram_symbol2[_zz_2_]; + _zz_8_ <= ram_symbol3[_zz_2_]; + end + end + + always @ (posedge mainClock) begin + if(io_bus_cmd_payload_mask[0] && io_bus_cmd_valid && io_bus_cmd_payload_write ) begin + ram_symbol0[_zz_2_] <= _zz_3_[7 : 0]; + end + if(io_bus_cmd_payload_mask[1] && io_bus_cmd_valid && io_bus_cmd_payload_write ) begin + ram_symbol1[_zz_2_] <= _zz_3_[15 : 8]; + end + if(io_bus_cmd_payload_mask[2] && io_bus_cmd_valid && io_bus_cmd_payload_write ) begin + ram_symbol2[_zz_2_] <= _zz_3_[23 : 16]; + end + if(io_bus_cmd_payload_mask[3] && io_bus_cmd_valid && io_bus_cmd_payload_write ) begin + ram_symbol3[_zz_2_] <= _zz_3_[31 : 24]; + end + end + + assign io_bus_rsp_valid = _zz_1_; + assign _zz_2_ = (io_bus_cmd_payload_address >>> 2); + assign _zz_3_ = io_bus_cmd_payload_data; + assign io_bus_rsp_payload_data = _zz_4_; + assign io_bus_cmd_ready = 1'b1; + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + _zz_1_ <= 1'b0; + end else begin + _zz_1_ <= ((io_bus_cmd_valid && io_bus_cmd_ready) && (! io_bus_cmd_payload_write)); + end + end + + +endmodule +//PipelinedMemoryBusRamUlx3s_1_ replaced by PipelinedMemoryBusRamUlx3s + +module PipelinedMemoryBusDecoder ( + input io_input_cmd_valid, + output reg io_input_cmd_ready, + input io_input_cmd_payload_write, + input [31:0] io_input_cmd_payload_address, + input [31:0] io_input_cmd_payload_data, + input [3:0] io_input_cmd_payload_mask, + output io_input_rsp_valid, + output [31:0] io_input_rsp_payload_data, + output reg io_outputs_0_cmd_valid, + input io_outputs_0_cmd_ready, + output io_outputs_0_cmd_payload_write, + output [31:0] io_outputs_0_cmd_payload_address, + output [31:0] io_outputs_0_cmd_payload_data, + output [3:0] io_outputs_0_cmd_payload_mask, + input io_outputs_0_rsp_valid, + input [31:0] io_outputs_0_rsp_payload_data, + output reg io_outputs_1_cmd_valid, + input io_outputs_1_cmd_ready, + output io_outputs_1_cmd_payload_write, + output [31:0] io_outputs_1_cmd_payload_address, + output [31:0] io_outputs_1_cmd_payload_data, + output [3:0] io_outputs_1_cmd_payload_mask, + input io_outputs_1_rsp_valid, + input [31:0] io_outputs_1_rsp_payload_data, + output reg io_outputs_2_cmd_valid, + input io_outputs_2_cmd_ready, + output io_outputs_2_cmd_payload_write, + output [31:0] io_outputs_2_cmd_payload_address, + output [31:0] io_outputs_2_cmd_payload_data, + output [3:0] io_outputs_2_cmd_payload_mask, + input io_outputs_2_rsp_valid, + input [31:0] io_outputs_2_rsp_payload_data, + input mainClock, + input resetCtrl_systemClockReset +); + reg [31:0] _zz_4_; + wire [1:0] _zz_5_; + wire [0:0] _zz_6_; + wire [1:0] _zz_7_; + wire [0:0] _zz_8_; + wire [1:0] _zz_9_; + wire [1:0] _zz_10_; + wire logic_hits_0; + wire logic_hits_1; + wire logic_hits_2; + wire _zz_1_; + wire _zz_2_; + wire _zz_3_; + wire logic_noHit; + reg [1:0] logic_rspPendingCounter; + reg logic_rspHits_0; + reg logic_rspHits_1; + reg logic_rspHits_2; + wire logic_rspPending; + wire logic_rspNoHit; + wire logic_cmdWait; + + assign _zz_5_ = (logic_rspPendingCounter + _zz_7_); + assign _zz_6_ = ((io_input_cmd_valid && io_input_cmd_ready) && (! io_input_cmd_payload_write)); + assign _zz_7_ = {1'd0, _zz_6_}; + assign _zz_8_ = io_input_rsp_valid; + assign _zz_9_ = {1'd0, _zz_8_}; + assign _zz_10_ = {logic_rspHits_2,logic_rspHits_1}; + always @(*) begin + case(_zz_10_) + 2'b00 : begin + _zz_4_ = io_outputs_0_rsp_payload_data; + end + 2'b01 : begin + _zz_4_ = io_outputs_1_rsp_payload_data; + end + default : begin + _zz_4_ = io_outputs_2_rsp_payload_data; + end + endcase + end + + assign logic_hits_0 = ((io_input_cmd_payload_address & (~ 32'h000fffff)) == 32'hf0000000); + always @ (*) begin + io_outputs_0_cmd_valid = (io_input_cmd_valid && logic_hits_0); + if(logic_cmdWait)begin + io_outputs_0_cmd_valid = 1'b0; + end + end + + assign _zz_1_ = io_input_cmd_payload_write; + assign io_outputs_0_cmd_payload_write = _zz_1_; + assign io_outputs_0_cmd_payload_address = io_input_cmd_payload_address; + assign io_outputs_0_cmd_payload_data = io_input_cmd_payload_data; + assign io_outputs_0_cmd_payload_mask = io_input_cmd_payload_mask; + assign logic_hits_1 = ((io_input_cmd_payload_address & (~ 32'h0000ffff)) == 32'h80000000); + always @ (*) begin + io_outputs_1_cmd_valid = (io_input_cmd_valid && logic_hits_1); + if(logic_cmdWait)begin + io_outputs_1_cmd_valid = 1'b0; + end + end + + assign _zz_2_ = io_input_cmd_payload_write; + assign io_outputs_1_cmd_payload_write = _zz_2_; + assign io_outputs_1_cmd_payload_address = io_input_cmd_payload_address; + assign io_outputs_1_cmd_payload_data = io_input_cmd_payload_data; + assign io_outputs_1_cmd_payload_mask = io_input_cmd_payload_mask; + assign logic_hits_2 = ((io_input_cmd_payload_address & (~ 32'h0000ffff)) == 32'h80010000); + always @ (*) begin + io_outputs_2_cmd_valid = (io_input_cmd_valid && logic_hits_2); + if(logic_cmdWait)begin + io_outputs_2_cmd_valid = 1'b0; + end + end + + assign _zz_3_ = io_input_cmd_payload_write; + assign io_outputs_2_cmd_payload_write = _zz_3_; + assign io_outputs_2_cmd_payload_address = io_input_cmd_payload_address; + assign io_outputs_2_cmd_payload_data = io_input_cmd_payload_data; + assign io_outputs_2_cmd_payload_mask = io_input_cmd_payload_mask; + assign logic_noHit = (! ({logic_hits_2,{logic_hits_1,logic_hits_0}} != (3'b000))); + always @ (*) begin + io_input_cmd_ready = (({(logic_hits_2 && io_outputs_2_cmd_ready),{(logic_hits_1 && io_outputs_1_cmd_ready),(logic_hits_0 && io_outputs_0_cmd_ready)}} != (3'b000)) || logic_noHit); + if(logic_cmdWait)begin + io_input_cmd_ready = 1'b0; + end + end + + assign logic_rspPending = (logic_rspPendingCounter != (2'b00)); + assign logic_rspNoHit = (! ({logic_rspHits_2,{logic_rspHits_1,logic_rspHits_0}} != (3'b000))); + assign io_input_rsp_valid = (({io_outputs_2_rsp_valid,{io_outputs_1_rsp_valid,io_outputs_0_rsp_valid}} != (3'b000)) || (logic_rspPending && logic_rspNoHit)); + assign io_input_rsp_payload_data = _zz_4_; + assign logic_cmdWait = (((io_input_cmd_valid && logic_rspPending) && (((logic_hits_0 != logic_rspHits_0) || (logic_hits_1 != logic_rspHits_1)) || (logic_hits_2 != logic_rspHits_2))) || (logic_rspPendingCounter == (2'b11))); + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + logic_rspPendingCounter <= (2'b00); + end else begin + logic_rspPendingCounter <= (_zz_5_ - _zz_9_); + end + end + + always @ (posedge mainClock) begin + if((io_input_cmd_valid && io_input_cmd_ready))begin + logic_rspHits_0 <= logic_hits_0; + logic_rspHits_1 <= logic_hits_1; + logic_rspHits_2 <= logic_hits_2; + end + end + + +endmodule + +module PipelinedMemoryBusDecoder_1_ ( + input io_input_cmd_valid, + output reg io_input_cmd_ready, + input io_input_cmd_payload_write, + input [31:0] io_input_cmd_payload_address, + input [31:0] io_input_cmd_payload_data, + input [3:0] io_input_cmd_payload_mask, + output io_input_rsp_valid, + output [31:0] io_input_rsp_payload_data, + output reg io_outputs_0_cmd_valid, + input io_outputs_0_cmd_ready, + output io_outputs_0_cmd_payload_write, + output [31:0] io_outputs_0_cmd_payload_address, + output [31:0] io_outputs_0_cmd_payload_data, + output [3:0] io_outputs_0_cmd_payload_mask, + input io_outputs_0_rsp_valid, + input [31:0] io_outputs_0_rsp_payload_data, + output reg io_outputs_1_cmd_valid, + input io_outputs_1_cmd_ready, + output io_outputs_1_cmd_payload_write, + output [31:0] io_outputs_1_cmd_payload_address, + output [31:0] io_outputs_1_cmd_payload_data, + output [3:0] io_outputs_1_cmd_payload_mask, + input io_outputs_1_rsp_valid, + input [31:0] io_outputs_1_rsp_payload_data, + input mainClock, + input resetCtrl_systemClockReset +); + reg [31:0] _zz_3_; + wire [1:0] _zz_4_; + wire [0:0] _zz_5_; + wire [1:0] _zz_6_; + wire [0:0] _zz_7_; + wire [1:0] _zz_8_; + wire [0:0] _zz_9_; + wire logic_hits_0; + wire logic_hits_1; + wire _zz_1_; + wire _zz_2_; + wire logic_noHit; + reg [1:0] logic_rspPendingCounter; + reg logic_rspHits_0; + reg logic_rspHits_1; + wire logic_rspPending; + wire logic_rspNoHit; + wire logic_cmdWait; + + assign _zz_4_ = (logic_rspPendingCounter + _zz_6_); + assign _zz_5_ = ((io_input_cmd_valid && io_input_cmd_ready) && (! io_input_cmd_payload_write)); + assign _zz_6_ = {1'd0, _zz_5_}; + assign _zz_7_ = io_input_rsp_valid; + assign _zz_8_ = {1'd0, _zz_7_}; + assign _zz_9_ = logic_rspHits_1; + always @(*) begin + case(_zz_9_) + 1'b0 : begin + _zz_3_ = io_outputs_0_rsp_payload_data; + end + default : begin + _zz_3_ = io_outputs_1_rsp_payload_data; + end + endcase + end + + assign logic_hits_0 = ((io_input_cmd_payload_address & (~ 32'h0000ffff)) == 32'h80000000); + always @ (*) begin + io_outputs_0_cmd_valid = (io_input_cmd_valid && logic_hits_0); + if(logic_cmdWait)begin + io_outputs_0_cmd_valid = 1'b0; + end + end + + assign _zz_1_ = io_input_cmd_payload_write; + assign io_outputs_0_cmd_payload_write = _zz_1_; + assign io_outputs_0_cmd_payload_address = io_input_cmd_payload_address; + assign io_outputs_0_cmd_payload_data = io_input_cmd_payload_data; + assign io_outputs_0_cmd_payload_mask = io_input_cmd_payload_mask; + assign logic_hits_1 = ((io_input_cmd_payload_address & (~ 32'h0000ffff)) == 32'h80010000); + always @ (*) begin + io_outputs_1_cmd_valid = (io_input_cmd_valid && logic_hits_1); + if(logic_cmdWait)begin + io_outputs_1_cmd_valid = 1'b0; + end + end + + assign _zz_2_ = io_input_cmd_payload_write; + assign io_outputs_1_cmd_payload_write = _zz_2_; + assign io_outputs_1_cmd_payload_address = io_input_cmd_payload_address; + assign io_outputs_1_cmd_payload_data = io_input_cmd_payload_data; + assign io_outputs_1_cmd_payload_mask = io_input_cmd_payload_mask; + assign logic_noHit = (! ({logic_hits_1,logic_hits_0} != (2'b00))); + always @ (*) begin + io_input_cmd_ready = (({(logic_hits_1 && io_outputs_1_cmd_ready),(logic_hits_0 && io_outputs_0_cmd_ready)} != (2'b00)) || logic_noHit); + if(logic_cmdWait)begin + io_input_cmd_ready = 1'b0; + end + end + + assign logic_rspPending = (logic_rspPendingCounter != (2'b00)); + assign logic_rspNoHit = (! ({logic_rspHits_1,logic_rspHits_0} != (2'b00))); + assign io_input_rsp_valid = (({io_outputs_1_rsp_valid,io_outputs_0_rsp_valid} != (2'b00)) || (logic_rspPending && logic_rspNoHit)); + assign io_input_rsp_payload_data = _zz_3_; + assign logic_cmdWait = (((io_input_cmd_valid && logic_rspPending) && ((logic_hits_0 != logic_rspHits_0) || (logic_hits_1 != logic_rspHits_1))) || (logic_rspPendingCounter == (2'b11))); + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + logic_rspPendingCounter <= (2'b00); + end else begin + logic_rspPendingCounter <= (_zz_4_ - _zz_8_); + end + end + + always @ (posedge mainClock) begin + if((io_input_cmd_valid && io_input_cmd_ready))begin + logic_rspHits_0 <= logic_hits_0; + logic_rspHits_1 <= logic_hits_1; + end + end + + +endmodule + +module PipelinedMemoryBusArbiter ( + input io_inputs_0_cmd_valid, + output io_inputs_0_cmd_ready, + input io_inputs_0_cmd_payload_write, + input [31:0] io_inputs_0_cmd_payload_address, + input [31:0] io_inputs_0_cmd_payload_data, + input [3:0] io_inputs_0_cmd_payload_mask, + output io_inputs_0_rsp_valid, + output [31:0] io_inputs_0_rsp_payload_data, + output io_output_cmd_valid, + input io_output_cmd_ready, + output io_output_cmd_payload_write, + output [31:0] io_output_cmd_payload_address, + output [31:0] io_output_cmd_payload_data, + output [3:0] io_output_cmd_payload_mask, + input io_output_rsp_valid, + input [31:0] io_output_rsp_payload_data +); + + assign io_output_cmd_valid = io_inputs_0_cmd_valid; + assign io_output_cmd_payload_write = io_inputs_0_cmd_payload_write; + assign io_output_cmd_payload_address = io_inputs_0_cmd_payload_address; + assign io_output_cmd_payload_data = io_inputs_0_cmd_payload_data; + assign io_output_cmd_payload_mask = io_inputs_0_cmd_payload_mask; + assign io_inputs_0_cmd_ready = io_output_cmd_ready; + assign io_inputs_0_rsp_valid = io_output_rsp_valid; + assign io_inputs_0_rsp_payload_data = io_output_rsp_payload_data; + +endmodule + +module PipelinedMemoryBusArbiter_1_ ( + input io_inputs_0_cmd_valid, + output io_inputs_0_cmd_ready, + input io_inputs_0_cmd_payload_write, + input [15:0] io_inputs_0_cmd_payload_address, + input [31:0] io_inputs_0_cmd_payload_data, + input [3:0] io_inputs_0_cmd_payload_mask, + output io_inputs_0_rsp_valid, + output [31:0] io_inputs_0_rsp_payload_data, + input io_inputs_1_cmd_valid, + output io_inputs_1_cmd_ready, + input io_inputs_1_cmd_payload_write, + input [15:0] io_inputs_1_cmd_payload_address, + input [31:0] io_inputs_1_cmd_payload_data, + input [3:0] io_inputs_1_cmd_payload_mask, + output io_inputs_1_rsp_valid, + output [31:0] io_inputs_1_rsp_payload_data, + output io_output_cmd_valid, + input io_output_cmd_ready, + output io_output_cmd_payload_write, + output [15:0] io_output_cmd_payload_address, + output [31:0] io_output_cmd_payload_data, + output [3:0] io_output_cmd_payload_mask, + input io_output_rsp_valid, + input [31:0] io_output_rsp_payload_data, + input mainClock, + input resetCtrl_systemClockReset +); + wire _zz_1_; + wire logic_arbiter_io_inputs_0_ready; + wire logic_arbiter_io_inputs_1_ready; + wire logic_arbiter_io_output_valid; + wire logic_arbiter_io_output_payload_write; + wire [15:0] logic_arbiter_io_output_payload_address; + wire [31:0] logic_arbiter_io_output_payload_data; + wire [3:0] logic_arbiter_io_output_payload_mask; + wire [0:0] logic_arbiter_io_chosen; + wire [1:0] logic_arbiter_io_chosenOH; + wire streamFork_2__io_input_ready; + wire streamFork_2__io_outputs_0_valid; + wire streamFork_2__io_outputs_0_payload_write; + wire [15:0] streamFork_2__io_outputs_0_payload_address; + wire [31:0] streamFork_2__io_outputs_0_payload_data; + wire [3:0] streamFork_2__io_outputs_0_payload_mask; + wire streamFork_2__io_outputs_1_valid; + wire streamFork_2__io_outputs_1_payload_write; + wire [15:0] streamFork_2__io_outputs_1_payload_address; + wire [31:0] streamFork_2__io_outputs_1_payload_data; + wire [3:0] streamFork_2__io_outputs_1_payload_mask; + wire streamFork_2__io_outputs_1_translated_thrown_fifo_io_push_ready; + wire streamFork_2__io_outputs_1_translated_thrown_fifo_io_pop_valid; + wire [1:0] streamFork_2__io_outputs_1_translated_thrown_fifo_io_pop_payload; + wire [2:0] streamFork_2__io_outputs_1_translated_thrown_fifo_io_occupancy; + wire [1:0] logic_rspRouteOh; + wire streamFork_2__io_outputs_1_translated_valid; + reg streamFork_2__io_outputs_1_translated_ready; + wire [1:0] streamFork_2__io_outputs_1_translated_payload; + reg streamFork_2__io_outputs_1_translated_thrown_valid; + wire streamFork_2__io_outputs_1_translated_thrown_ready; + wire [1:0] streamFork_2__io_outputs_1_translated_thrown_payload; + + StreamArbiter logic_arbiter ( + .io_inputs_0_valid (io_inputs_0_cmd_valid ), //i + .io_inputs_0_ready (logic_arbiter_io_inputs_0_ready ), //o + .io_inputs_0_payload_write (io_inputs_0_cmd_payload_write ), //i + .io_inputs_0_payload_address (io_inputs_0_cmd_payload_address[15:0] ), //i + .io_inputs_0_payload_data (io_inputs_0_cmd_payload_data[31:0] ), //i + .io_inputs_0_payload_mask (io_inputs_0_cmd_payload_mask[3:0] ), //i + .io_inputs_1_valid (io_inputs_1_cmd_valid ), //i + .io_inputs_1_ready (logic_arbiter_io_inputs_1_ready ), //o + .io_inputs_1_payload_write (io_inputs_1_cmd_payload_write ), //i + .io_inputs_1_payload_address (io_inputs_1_cmd_payload_address[15:0] ), //i + .io_inputs_1_payload_data (io_inputs_1_cmd_payload_data[31:0] ), //i + .io_inputs_1_payload_mask (io_inputs_1_cmd_payload_mask[3:0] ), //i + .io_output_valid (logic_arbiter_io_output_valid ), //o + .io_output_ready (streamFork_2__io_input_ready ), //i + .io_output_payload_write (logic_arbiter_io_output_payload_write ), //o + .io_output_payload_address (logic_arbiter_io_output_payload_address[15:0] ), //o + .io_output_payload_data (logic_arbiter_io_output_payload_data[31:0] ), //o + .io_output_payload_mask (logic_arbiter_io_output_payload_mask[3:0] ), //o + .io_chosen (logic_arbiter_io_chosen ), //o + .io_chosenOH (logic_arbiter_io_chosenOH[1:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + StreamFork streamFork_2_ ( + .io_input_valid (logic_arbiter_io_output_valid ), //i + .io_input_ready (streamFork_2__io_input_ready ), //o + .io_input_payload_write (logic_arbiter_io_output_payload_write ), //i + .io_input_payload_address (logic_arbiter_io_output_payload_address[15:0] ), //i + .io_input_payload_data (logic_arbiter_io_output_payload_data[31:0] ), //i + .io_input_payload_mask (logic_arbiter_io_output_payload_mask[3:0] ), //i + .io_outputs_0_valid (streamFork_2__io_outputs_0_valid ), //o + .io_outputs_0_ready (io_output_cmd_ready ), //i + .io_outputs_0_payload_write (streamFork_2__io_outputs_0_payload_write ), //o + .io_outputs_0_payload_address (streamFork_2__io_outputs_0_payload_address[15:0] ), //o + .io_outputs_0_payload_data (streamFork_2__io_outputs_0_payload_data[31:0] ), //o + .io_outputs_0_payload_mask (streamFork_2__io_outputs_0_payload_mask[3:0] ), //o + .io_outputs_1_valid (streamFork_2__io_outputs_1_valid ), //o + .io_outputs_1_ready (streamFork_2__io_outputs_1_translated_ready ), //i + .io_outputs_1_payload_write (streamFork_2__io_outputs_1_payload_write ), //o + .io_outputs_1_payload_address (streamFork_2__io_outputs_1_payload_address[15:0] ), //o + .io_outputs_1_payload_data (streamFork_2__io_outputs_1_payload_data[31:0] ), //o + .io_outputs_1_payload_mask (streamFork_2__io_outputs_1_payload_mask[3:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + StreamFifoLowLatency_1_ streamFork_2__io_outputs_1_translated_thrown_fifo ( + .io_push_valid (streamFork_2__io_outputs_1_translated_thrown_valid ), //i + .io_push_ready (streamFork_2__io_outputs_1_translated_thrown_fifo_io_push_ready ), //o + .io_push_payload (streamFork_2__io_outputs_1_translated_thrown_payload[1:0] ), //i + .io_pop_valid (streamFork_2__io_outputs_1_translated_thrown_fifo_io_pop_valid ), //o + .io_pop_ready (io_output_rsp_valid ), //i + .io_pop_payload (streamFork_2__io_outputs_1_translated_thrown_fifo_io_pop_payload[1:0] ), //o + .io_flush (_zz_1_ ), //i + .io_occupancy (streamFork_2__io_outputs_1_translated_thrown_fifo_io_occupancy[2:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + assign io_inputs_0_cmd_ready = logic_arbiter_io_inputs_0_ready; + assign io_inputs_1_cmd_ready = logic_arbiter_io_inputs_1_ready; + assign io_output_cmd_valid = streamFork_2__io_outputs_0_valid; + assign io_output_cmd_payload_write = streamFork_2__io_outputs_0_payload_write; + assign io_output_cmd_payload_address = streamFork_2__io_outputs_0_payload_address; + assign io_output_cmd_payload_data = streamFork_2__io_outputs_0_payload_data; + assign io_output_cmd_payload_mask = streamFork_2__io_outputs_0_payload_mask; + assign streamFork_2__io_outputs_1_translated_valid = streamFork_2__io_outputs_1_valid; + assign streamFork_2__io_outputs_1_translated_payload = logic_arbiter_io_chosenOH; + always @ (*) begin + streamFork_2__io_outputs_1_translated_thrown_valid = streamFork_2__io_outputs_1_translated_valid; + if(streamFork_2__io_outputs_1_payload_write)begin + streamFork_2__io_outputs_1_translated_thrown_valid = 1'b0; + end + end + + always @ (*) begin + streamFork_2__io_outputs_1_translated_ready = streamFork_2__io_outputs_1_translated_thrown_ready; + if(streamFork_2__io_outputs_1_payload_write)begin + streamFork_2__io_outputs_1_translated_ready = 1'b1; + end + end + + assign streamFork_2__io_outputs_1_translated_thrown_payload = streamFork_2__io_outputs_1_translated_payload; + assign streamFork_2__io_outputs_1_translated_thrown_ready = streamFork_2__io_outputs_1_translated_thrown_fifo_io_push_ready; + assign logic_rspRouteOh = streamFork_2__io_outputs_1_translated_thrown_fifo_io_pop_payload; + assign io_inputs_0_rsp_valid = (io_output_rsp_valid && logic_rspRouteOh[0]); + assign io_inputs_0_rsp_payload_data = io_output_rsp_payload_data; + assign io_inputs_1_rsp_valid = (io_output_rsp_valid && logic_rspRouteOh[1]); + assign io_inputs_1_rsp_payload_data = io_output_rsp_payload_data; + assign _zz_1_ = 1'b0; + +endmodule +//PipelinedMemoryBusArbiter_2_ replaced by PipelinedMemoryBusArbiter_1_ + +module PQVexRiscvUlx3s ( + input io_asyncReset, + input io_mainClock, + output io_uart_txd, + input io_uart_rxd, + input io_jtag_tms, + input io_jtag_tdi, + output io_jtag_tdo, + input io_jtag_tck +); + wire _zz_36_; + wire _zz_37_; + wire [7:0] _zz_38_; + wire _zz_39_; + wire [4:0] _zz_40_; + wire [7:0] _zz_41_; + wire _zz_42_; + wire [15:0] _zz_43_; + wire [15:0] _zz_44_; + wire [15:0] _zz_45_; + wire [15:0] _zz_46_; + wire asyncReset_buffercc_io_dataOut; + wire core_cpu_iBus_cmd_valid; + wire [31:0] core_cpu_iBus_cmd_payload_pc; + wire core_cpu_debug_bus_cmd_ready; + wire [31:0] core_cpu_debug_bus_rsp_data; + wire core_cpu_debug_resetOut; + wire core_cpu_dBus_cmd_valid; + wire core_cpu_dBus_cmd_payload_wr; + wire [31:0] core_cpu_dBus_cmd_payload_address; + wire [31:0] core_cpu_dBus_cmd_payload_data; + wire [1:0] core_cpu_dBus_cmd_payload_size; + wire jtagBridge_1__io_jtag_tdo; + wire jtagBridge_1__io_remote_cmd_valid; + wire jtagBridge_1__io_remote_cmd_payload_last; + wire [0:0] jtagBridge_1__io_remote_cmd_payload_fragment; + wire jtagBridge_1__io_remote_rsp_ready; + wire systemDebugger_1__io_remote_cmd_ready; + wire systemDebugger_1__io_remote_rsp_valid; + wire systemDebugger_1__io_remote_rsp_payload_error; + wire [31:0] systemDebugger_1__io_remote_rsp_payload_data; + wire systemDebugger_1__io_mem_cmd_valid; + wire [31:0] systemDebugger_1__io_mem_cmd_payload_address; + wire [31:0] systemDebugger_1__io_mem_cmd_payload_data; + wire systemDebugger_1__io_mem_cmd_payload_wr; + wire [1:0] systemDebugger_1__io_mem_cmd_payload_size; + wire pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_cmd_ready; + wire pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_rsp_valid; + wire [31:0] pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_rsp_payload_data; + wire [19:0] pipelinedMemoryBusToApbBridge_1__io_apb_PADDR; + wire [0:0] pipelinedMemoryBusToApbBridge_1__io_apb_PSEL; + wire pipelinedMemoryBusToApbBridge_1__io_apb_PENABLE; + wire pipelinedMemoryBusToApbBridge_1__io_apb_PWRITE; + wire [31:0] pipelinedMemoryBusToApbBridge_1__io_apb_PWDATA; + wire apb3UartCtrl_1__io_apb_PREADY; + wire [31:0] apb3UartCtrl_1__io_apb_PRDATA; + wire apb3UartCtrl_1__io_uart_txd; + wire apb3UartCtrl_1__io_interrupt; + wire muraxApb3Timer_1__io_apb_PREADY; + wire [31:0] muraxApb3Timer_1__io_apb_PRDATA; + wire muraxApb3Timer_1__io_apb_PSLVERROR; + wire muraxApb3Timer_1__io_interrupt; + wire myMem_1__io_bus_PREADY; + wire [31:0] myMem_1__io_bus_PRDATA; + wire myMem_1__io_bus_PSLVERROR; + wire io_apb_decoder_io_input_PREADY; + wire [31:0] io_apb_decoder_io_input_PRDATA; + wire io_apb_decoder_io_input_PSLVERROR; + wire [19:0] io_apb_decoder_io_output_PADDR; + wire [2:0] io_apb_decoder_io_output_PSEL; + wire io_apb_decoder_io_output_PENABLE; + wire io_apb_decoder_io_output_PWRITE; + wire [31:0] io_apb_decoder_io_output_PWDATA; + wire apb3Router_1__io_input_PREADY; + wire [31:0] apb3Router_1__io_input_PRDATA; + wire apb3Router_1__io_input_PSLVERROR; + wire [19:0] apb3Router_1__io_outputs_0_PADDR; + wire [0:0] apb3Router_1__io_outputs_0_PSEL; + wire apb3Router_1__io_outputs_0_PENABLE; + wire apb3Router_1__io_outputs_0_PWRITE; + wire [31:0] apb3Router_1__io_outputs_0_PWDATA; + wire [19:0] apb3Router_1__io_outputs_1_PADDR; + wire [0:0] apb3Router_1__io_outputs_1_PSEL; + wire apb3Router_1__io_outputs_1_PENABLE; + wire apb3Router_1__io_outputs_1_PWRITE; + wire [31:0] apb3Router_1__io_outputs_1_PWDATA; + wire [19:0] apb3Router_1__io_outputs_2_PADDR; + wire [0:0] apb3Router_1__io_outputs_2_PSEL; + wire apb3Router_1__io_outputs_2_PENABLE; + wire apb3Router_1__io_outputs_2_PWRITE; + wire [31:0] apb3Router_1__io_outputs_2_PWDATA; + wire memory_ramBlocks_0_io_bus_cmd_ready; + wire memory_ramBlocks_0_io_bus_rsp_valid; + wire [31:0] memory_ramBlocks_0_io_bus_rsp_payload_data; + wire memory_ramBlocks_1_io_bus_cmd_ready; + wire memory_ramBlocks_1_io_bus_rsp_valid; + wire [31:0] memory_ramBlocks_1_io_bus_rsp_payload_data; + wire core_dbus_decoder_io_input_cmd_ready; + wire core_dbus_decoder_io_input_rsp_valid; + wire [31:0] core_dbus_decoder_io_input_rsp_payload_data; + wire core_dbus_decoder_io_outputs_0_cmd_valid; + wire core_dbus_decoder_io_outputs_0_cmd_payload_write; + wire [31:0] core_dbus_decoder_io_outputs_0_cmd_payload_address; + wire [31:0] core_dbus_decoder_io_outputs_0_cmd_payload_data; + wire [3:0] core_dbus_decoder_io_outputs_0_cmd_payload_mask; + wire core_dbus_decoder_io_outputs_1_cmd_valid; + wire core_dbus_decoder_io_outputs_1_cmd_payload_write; + wire [31:0] core_dbus_decoder_io_outputs_1_cmd_payload_address; + wire [31:0] core_dbus_decoder_io_outputs_1_cmd_payload_data; + wire [3:0] core_dbus_decoder_io_outputs_1_cmd_payload_mask; + wire core_dbus_decoder_io_outputs_2_cmd_valid; + wire core_dbus_decoder_io_outputs_2_cmd_payload_write; + wire [31:0] core_dbus_decoder_io_outputs_2_cmd_payload_address; + wire [31:0] core_dbus_decoder_io_outputs_2_cmd_payload_data; + wire [3:0] core_dbus_decoder_io_outputs_2_cmd_payload_mask; + wire core_ibus_decoder_io_input_cmd_ready; + wire core_ibus_decoder_io_input_rsp_valid; + wire [31:0] core_ibus_decoder_io_input_rsp_payload_data; + wire core_ibus_decoder_io_outputs_0_cmd_valid; + wire core_ibus_decoder_io_outputs_0_cmd_payload_write; + wire [31:0] core_ibus_decoder_io_outputs_0_cmd_payload_address; + wire [31:0] core_ibus_decoder_io_outputs_0_cmd_payload_data; + wire [3:0] core_ibus_decoder_io_outputs_0_cmd_payload_mask; + wire core_ibus_decoder_io_outputs_1_cmd_valid; + wire core_ibus_decoder_io_outputs_1_cmd_payload_write; + wire [31:0] core_ibus_decoder_io_outputs_1_cmd_payload_address; + wire [31:0] core_ibus_decoder_io_outputs_1_cmd_payload_data; + wire [3:0] core_ibus_decoder_io_outputs_1_cmd_payload_mask; + wire pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_cmd_ready; + wire pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_rsp_valid; + wire [31:0] pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_rsp_payload_data; + wire pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_valid; + wire pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_write; + wire [31:0] pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_address; + wire [31:0] pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_data; + wire [3:0] pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_mask; + wire memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_cmd_ready; + wire memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_rsp_valid; + wire [31:0] memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_rsp_payload_data; + wire memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_cmd_ready; + wire memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_rsp_valid; + wire [31:0] memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_rsp_payload_data; + wire memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_valid; + wire memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_write; + wire [15:0] memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_address; + wire [31:0] memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_data; + wire [3:0] memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_mask; + wire memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_cmd_ready; + wire memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_rsp_valid; + wire [31:0] memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_rsp_payload_data; + wire memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_cmd_ready; + wire memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_rsp_valid; + wire [31:0] memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_rsp_payload_data; + wire memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_valid; + wire memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_write; + wire [15:0] memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_address; + wire [31:0] memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_data; + wire [3:0] memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_mask; + wire _zz_47_; + wire _zz_48_; + wire asyncReset; + wire mainClock; + wire resetCtrl_bufferedReset; + reg resetCtrl_mainClockReset; + reg resetCtrl_systemClockReset; + reg core_timerInterrupt; + reg core_externalInterrupt; + wire core_ibus_cmd_valid; + wire core_ibus_cmd_ready; + wire core_ibus_cmd_payload_write; + wire [31:0] core_ibus_cmd_payload_address; + wire [31:0] core_ibus_cmd_payload_data; + wire [3:0] core_ibus_cmd_payload_mask; + wire core_ibus_rsp_valid; + wire [31:0] core_ibus_rsp_payload_data; + wire core_dbus_cmd_valid; + wire core_dbus_cmd_ready; + wire core_dbus_cmd_payload_write; + wire [31:0] core_dbus_cmd_payload_address; + wire [31:0] core_dbus_cmd_payload_data; + wire [3:0] core_dbus_cmd_payload_mask; + wire core_dbus_rsp_valid; + wire [31:0] core_dbus_rsp_payload_data; + wire _zz_1_; + wire _zz_2_; + wire [31:0] _zz_3_; + wire _zz_4_; + reg _zz_5_; + reg _zz_6_; + reg [31:0] _zz_7_; + reg [31:0] _zz_8_; + reg [3:0] _zz_9_; + wire _zz_10_; + reg _zz_11_; + reg _zz_12_; + reg [31:0] _zz_13_; + reg [31:0] _zz_14_; + reg [3:0] _zz_15_; + wire _zz_16_; + wire _zz_17_; + wire _zz_18_; + wire [31:0] _zz_19_; + wire [31:0] _zz_20_; + wire [3:0] _zz_21_; + reg [3:0] _zz_22_; + wire _zz_23_; + reg _zz_24_; + reg _zz_25_; + reg [31:0] _zz_26_; + reg [31:0] _zz_27_; + reg [3:0] _zz_28_; + wire _zz_29_; + reg _zz_30_; + reg _zz_31_; + reg [31:0] _zz_32_; + reg [31:0] _zz_33_; + reg [3:0] _zz_34_; + reg core_cpu_debug_resetOut_regNext; + reg _zz_35_; + + assign _zz_47_ = (_zz_2_ && (! _zz_4_)); + assign _zz_48_ = (_zz_17_ && (! _zz_23_)); + BufferCC_2_ asyncReset_buffercc ( + .io_dataIn (asyncReset ), //i + .io_dataOut (asyncReset_buffercc_io_dataOut ), //o + .mainClock (mainClock ) //i + ); + VexRiscv core_cpu ( + .iBus_cmd_valid (core_cpu_iBus_cmd_valid ), //o + .iBus_cmd_ready (_zz_2_ ), //i + .iBus_cmd_payload_pc (core_cpu_iBus_cmd_payload_pc[31:0] ), //o + .iBus_rsp_valid (core_ibus_rsp_valid ), //i + .iBus_rsp_payload_error (_zz_36_ ), //i + .iBus_rsp_payload_inst (core_ibus_rsp_payload_data[31:0] ), //i + .timerInterrupt (core_timerInterrupt ), //i + .externalInterrupt (core_externalInterrupt ), //i + .softwareInterrupt (_zz_37_ ), //i + .debug_bus_cmd_valid (systemDebugger_1__io_mem_cmd_valid ), //i + .debug_bus_cmd_ready (core_cpu_debug_bus_cmd_ready ), //o + .debug_bus_cmd_payload_wr (systemDebugger_1__io_mem_cmd_payload_wr ), //i + .debug_bus_cmd_payload_address (_zz_38_[7:0] ), //i + .debug_bus_cmd_payload_data (systemDebugger_1__io_mem_cmd_payload_data[31:0] ), //i + .debug_bus_rsp_data (core_cpu_debug_bus_rsp_data[31:0] ), //o + .debug_resetOut (core_cpu_debug_resetOut ), //o + .dBus_cmd_valid (core_cpu_dBus_cmd_valid ), //o + .dBus_cmd_ready (_zz_17_ ), //i + .dBus_cmd_payload_wr (core_cpu_dBus_cmd_payload_wr ), //o + .dBus_cmd_payload_address (core_cpu_dBus_cmd_payload_address[31:0] ), //o + .dBus_cmd_payload_data (core_cpu_dBus_cmd_payload_data[31:0] ), //o + .dBus_cmd_payload_size (core_cpu_dBus_cmd_payload_size[1:0] ), //o + .dBus_rsp_ready (core_dbus_rsp_valid ), //i + .dBus_rsp_error (_zz_39_ ), //i + .dBus_rsp_data (core_dbus_rsp_payload_data[31:0] ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ), //i + .resetCtrl_mainClockReset (resetCtrl_mainClockReset ) //i + ); + JtagBridge jtagBridge_1_ ( + .io_jtag_tms (io_jtag_tms ), //i + .io_jtag_tdi (io_jtag_tdi ), //i + .io_jtag_tdo (jtagBridge_1__io_jtag_tdo ), //o + .io_jtag_tck (io_jtag_tck ), //i + .io_remote_cmd_valid (jtagBridge_1__io_remote_cmd_valid ), //o + .io_remote_cmd_ready (systemDebugger_1__io_remote_cmd_ready ), //i + .io_remote_cmd_payload_last (jtagBridge_1__io_remote_cmd_payload_last ), //o + .io_remote_cmd_payload_fragment (jtagBridge_1__io_remote_cmd_payload_fragment ), //o + .io_remote_rsp_valid (systemDebugger_1__io_remote_rsp_valid ), //i + .io_remote_rsp_ready (jtagBridge_1__io_remote_rsp_ready ), //o + .io_remote_rsp_payload_error (systemDebugger_1__io_remote_rsp_payload_error ), //i + .io_remote_rsp_payload_data (systemDebugger_1__io_remote_rsp_payload_data[31:0] ), //i + .mainClock (mainClock ), //i + .resetCtrl_mainClockReset (resetCtrl_mainClockReset ) //i + ); + SystemDebugger systemDebugger_1_ ( + .io_remote_cmd_valid (jtagBridge_1__io_remote_cmd_valid ), //i + .io_remote_cmd_ready (systemDebugger_1__io_remote_cmd_ready ), //o + .io_remote_cmd_payload_last (jtagBridge_1__io_remote_cmd_payload_last ), //i + .io_remote_cmd_payload_fragment (jtagBridge_1__io_remote_cmd_payload_fragment ), //i + .io_remote_rsp_valid (systemDebugger_1__io_remote_rsp_valid ), //o + .io_remote_rsp_ready (jtagBridge_1__io_remote_rsp_ready ), //i + .io_remote_rsp_payload_error (systemDebugger_1__io_remote_rsp_payload_error ), //o + .io_remote_rsp_payload_data (systemDebugger_1__io_remote_rsp_payload_data[31:0] ), //o + .io_mem_cmd_valid (systemDebugger_1__io_mem_cmd_valid ), //o + .io_mem_cmd_ready (core_cpu_debug_bus_cmd_ready ), //i + .io_mem_cmd_payload_address (systemDebugger_1__io_mem_cmd_payload_address[31:0] ), //o + .io_mem_cmd_payload_data (systemDebugger_1__io_mem_cmd_payload_data[31:0] ), //o + .io_mem_cmd_payload_wr (systemDebugger_1__io_mem_cmd_payload_wr ), //o + .io_mem_cmd_payload_size (systemDebugger_1__io_mem_cmd_payload_size[1:0] ), //o + .io_mem_rsp_valid (_zz_35_ ), //i + .io_mem_rsp_payload (core_cpu_debug_bus_rsp_data[31:0] ), //i + .mainClock (mainClock ), //i + .resetCtrl_mainClockReset (resetCtrl_mainClockReset ) //i + ); + PipelinedMemoryBusToApbBridge pipelinedMemoryBusToApbBridge_1_ ( + .io_pipelinedMemoryBus_cmd_valid (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_valid ), //i + .io_pipelinedMemoryBus_cmd_ready (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_cmd_ready ), //o + .io_pipelinedMemoryBus_cmd_payload_write (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_write ), //i + .io_pipelinedMemoryBus_cmd_payload_address (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_address[31:0] ), //i + .io_pipelinedMemoryBus_cmd_payload_data (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_data[31:0] ), //i + .io_pipelinedMemoryBus_cmd_payload_mask (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_mask[3:0] ), //i + .io_pipelinedMemoryBus_rsp_valid (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_rsp_valid ), //o + .io_pipelinedMemoryBus_rsp_payload_data (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_rsp_payload_data[31:0] ), //o + .io_apb_PADDR (pipelinedMemoryBusToApbBridge_1__io_apb_PADDR[19:0] ), //o + .io_apb_PSEL (pipelinedMemoryBusToApbBridge_1__io_apb_PSEL ), //o + .io_apb_PENABLE (pipelinedMemoryBusToApbBridge_1__io_apb_PENABLE ), //o + .io_apb_PREADY (io_apb_decoder_io_input_PREADY ), //i + .io_apb_PWRITE (pipelinedMemoryBusToApbBridge_1__io_apb_PWRITE ), //o + .io_apb_PWDATA (pipelinedMemoryBusToApbBridge_1__io_apb_PWDATA[31:0] ), //o + .io_apb_PRDATA (io_apb_decoder_io_input_PRDATA[31:0] ), //i + .io_apb_PSLVERROR (io_apb_decoder_io_input_PSLVERROR ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + Apb3UartCtrl apb3UartCtrl_1_ ( + .io_apb_PADDR (_zz_40_[4:0] ), //i + .io_apb_PSEL (apb3Router_1__io_outputs_0_PSEL ), //i + .io_apb_PENABLE (apb3Router_1__io_outputs_0_PENABLE ), //i + .io_apb_PREADY (apb3UartCtrl_1__io_apb_PREADY ), //o + .io_apb_PWRITE (apb3Router_1__io_outputs_0_PWRITE ), //i + .io_apb_PWDATA (apb3Router_1__io_outputs_0_PWDATA[31:0] ), //i + .io_apb_PRDATA (apb3UartCtrl_1__io_apb_PRDATA[31:0] ), //o + .io_uart_txd (apb3UartCtrl_1__io_uart_txd ), //o + .io_uart_rxd (io_uart_rxd ), //i + .io_interrupt (apb3UartCtrl_1__io_interrupt ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + MuraxApb3Timer muraxApb3Timer_1_ ( + .io_apb_PADDR (_zz_41_[7:0] ), //i + .io_apb_PSEL (apb3Router_1__io_outputs_1_PSEL ), //i + .io_apb_PENABLE (apb3Router_1__io_outputs_1_PENABLE ), //i + .io_apb_PREADY (muraxApb3Timer_1__io_apb_PREADY ), //o + .io_apb_PWRITE (apb3Router_1__io_outputs_1_PWRITE ), //i + .io_apb_PWDATA (apb3Router_1__io_outputs_1_PWDATA[31:0] ), //i + .io_apb_PRDATA (muraxApb3Timer_1__io_apb_PRDATA[31:0] ), //o + .io_apb_PSLVERROR (muraxApb3Timer_1__io_apb_PSLVERROR ), //o + .io_interrupt (muraxApb3Timer_1__io_interrupt ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + MyMem myMem_1_ ( + .io_bus_PADDR (apb3Router_1__io_outputs_2_PADDR[19:0] ), //i + .io_bus_PSEL (apb3Router_1__io_outputs_2_PSEL ), //i + .io_bus_PENABLE (apb3Router_1__io_outputs_2_PENABLE ), //i + .io_bus_PREADY (myMem_1__io_bus_PREADY ), //o + .io_bus_PWRITE (apb3Router_1__io_outputs_2_PWRITE ), //i + .io_bus_PWDATA (apb3Router_1__io_outputs_2_PWDATA[31:0] ), //i + .io_bus_PRDATA (myMem_1__io_bus_PRDATA[31:0] ), //o + .io_bus_PSLVERROR (myMem_1__io_bus_PSLVERROR ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + Apb3Decoder io_apb_decoder ( + .io_input_PADDR (pipelinedMemoryBusToApbBridge_1__io_apb_PADDR[19:0] ), //i + .io_input_PSEL (pipelinedMemoryBusToApbBridge_1__io_apb_PSEL ), //i + .io_input_PENABLE (pipelinedMemoryBusToApbBridge_1__io_apb_PENABLE ), //i + .io_input_PREADY (io_apb_decoder_io_input_PREADY ), //o + .io_input_PWRITE (pipelinedMemoryBusToApbBridge_1__io_apb_PWRITE ), //i + .io_input_PWDATA (pipelinedMemoryBusToApbBridge_1__io_apb_PWDATA[31:0] ), //i + .io_input_PRDATA (io_apb_decoder_io_input_PRDATA[31:0] ), //o + .io_input_PSLVERROR (io_apb_decoder_io_input_PSLVERROR ), //o + .io_output_PADDR (io_apb_decoder_io_output_PADDR[19:0] ), //o + .io_output_PSEL (io_apb_decoder_io_output_PSEL[2:0] ), //o + .io_output_PENABLE (io_apb_decoder_io_output_PENABLE ), //o + .io_output_PREADY (apb3Router_1__io_input_PREADY ), //i + .io_output_PWRITE (io_apb_decoder_io_output_PWRITE ), //o + .io_output_PWDATA (io_apb_decoder_io_output_PWDATA[31:0] ), //o + .io_output_PRDATA (apb3Router_1__io_input_PRDATA[31:0] ), //i + .io_output_PSLVERROR (apb3Router_1__io_input_PSLVERROR ) //i + ); + Apb3Router apb3Router_1_ ( + .io_input_PADDR (io_apb_decoder_io_output_PADDR[19:0] ), //i + .io_input_PSEL (io_apb_decoder_io_output_PSEL[2:0] ), //i + .io_input_PENABLE (io_apb_decoder_io_output_PENABLE ), //i + .io_input_PREADY (apb3Router_1__io_input_PREADY ), //o + .io_input_PWRITE (io_apb_decoder_io_output_PWRITE ), //i + .io_input_PWDATA (io_apb_decoder_io_output_PWDATA[31:0] ), //i + .io_input_PRDATA (apb3Router_1__io_input_PRDATA[31:0] ), //o + .io_input_PSLVERROR (apb3Router_1__io_input_PSLVERROR ), //o + .io_outputs_0_PADDR (apb3Router_1__io_outputs_0_PADDR[19:0] ), //o + .io_outputs_0_PSEL (apb3Router_1__io_outputs_0_PSEL ), //o + .io_outputs_0_PENABLE (apb3Router_1__io_outputs_0_PENABLE ), //o + .io_outputs_0_PREADY (apb3UartCtrl_1__io_apb_PREADY ), //i + .io_outputs_0_PWRITE (apb3Router_1__io_outputs_0_PWRITE ), //o + .io_outputs_0_PWDATA (apb3Router_1__io_outputs_0_PWDATA[31:0] ), //o + .io_outputs_0_PRDATA (apb3UartCtrl_1__io_apb_PRDATA[31:0] ), //i + .io_outputs_0_PSLVERROR (_zz_42_ ), //i + .io_outputs_1_PADDR (apb3Router_1__io_outputs_1_PADDR[19:0] ), //o + .io_outputs_1_PSEL (apb3Router_1__io_outputs_1_PSEL ), //o + .io_outputs_1_PENABLE (apb3Router_1__io_outputs_1_PENABLE ), //o + .io_outputs_1_PREADY (muraxApb3Timer_1__io_apb_PREADY ), //i + .io_outputs_1_PWRITE (apb3Router_1__io_outputs_1_PWRITE ), //o + .io_outputs_1_PWDATA (apb3Router_1__io_outputs_1_PWDATA[31:0] ), //o + .io_outputs_1_PRDATA (muraxApb3Timer_1__io_apb_PRDATA[31:0] ), //i + .io_outputs_1_PSLVERROR (muraxApb3Timer_1__io_apb_PSLVERROR ), //i + .io_outputs_2_PADDR (apb3Router_1__io_outputs_2_PADDR[19:0] ), //o + .io_outputs_2_PSEL (apb3Router_1__io_outputs_2_PSEL ), //o + .io_outputs_2_PENABLE (apb3Router_1__io_outputs_2_PENABLE ), //o + .io_outputs_2_PREADY (myMem_1__io_bus_PREADY ), //i + .io_outputs_2_PWRITE (apb3Router_1__io_outputs_2_PWRITE ), //o + .io_outputs_2_PWDATA (apb3Router_1__io_outputs_2_PWDATA[31:0] ), //o + .io_outputs_2_PRDATA (myMem_1__io_bus_PRDATA[31:0] ), //i + .io_outputs_2_PSLVERROR (myMem_1__io_bus_PSLVERROR ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + PipelinedMemoryBusRamUlx3s memory_ramBlocks_0 ( + .io_bus_cmd_valid (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_valid ), //i + .io_bus_cmd_ready (memory_ramBlocks_0_io_bus_cmd_ready ), //o + .io_bus_cmd_payload_write (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_write ), //i + .io_bus_cmd_payload_address (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_address[15:0] ), //i + .io_bus_cmd_payload_data (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_data[31:0] ), //i + .io_bus_cmd_payload_mask (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_mask[3:0] ), //i + .io_bus_rsp_valid (memory_ramBlocks_0_io_bus_rsp_valid ), //o + .io_bus_rsp_payload_data (memory_ramBlocks_0_io_bus_rsp_payload_data[31:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + PipelinedMemoryBusRamUlx3s memory_ramBlocks_1 ( + .io_bus_cmd_valid (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_valid ), //i + .io_bus_cmd_ready (memory_ramBlocks_1_io_bus_cmd_ready ), //o + .io_bus_cmd_payload_write (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_write ), //i + .io_bus_cmd_payload_address (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_address[15:0] ), //i + .io_bus_cmd_payload_data (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_data[31:0] ), //i + .io_bus_cmd_payload_mask (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_mask[3:0] ), //i + .io_bus_rsp_valid (memory_ramBlocks_1_io_bus_rsp_valid ), //o + .io_bus_rsp_payload_data (memory_ramBlocks_1_io_bus_rsp_payload_data[31:0] ), //o + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + PipelinedMemoryBusDecoder core_dbus_decoder ( + .io_input_cmd_valid (core_dbus_cmd_valid ), //i + .io_input_cmd_ready (core_dbus_decoder_io_input_cmd_ready ), //o + .io_input_cmd_payload_write (core_dbus_cmd_payload_write ), //i + .io_input_cmd_payload_address (core_dbus_cmd_payload_address[31:0] ), //i + .io_input_cmd_payload_data (core_dbus_cmd_payload_data[31:0] ), //i + .io_input_cmd_payload_mask (core_dbus_cmd_payload_mask[3:0] ), //i + .io_input_rsp_valid (core_dbus_decoder_io_input_rsp_valid ), //o + .io_input_rsp_payload_data (core_dbus_decoder_io_input_rsp_payload_data[31:0] ), //o + .io_outputs_0_cmd_valid (core_dbus_decoder_io_outputs_0_cmd_valid ), //o + .io_outputs_0_cmd_ready (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_cmd_ready ), //i + .io_outputs_0_cmd_payload_write (core_dbus_decoder_io_outputs_0_cmd_payload_write ), //o + .io_outputs_0_cmd_payload_address (core_dbus_decoder_io_outputs_0_cmd_payload_address[31:0] ), //o + .io_outputs_0_cmd_payload_data (core_dbus_decoder_io_outputs_0_cmd_payload_data[31:0] ), //o + .io_outputs_0_cmd_payload_mask (core_dbus_decoder_io_outputs_0_cmd_payload_mask[3:0] ), //o + .io_outputs_0_rsp_valid (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_rsp_valid ), //i + .io_outputs_0_rsp_payload_data (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_rsp_payload_data[31:0] ), //i + .io_outputs_1_cmd_valid (core_dbus_decoder_io_outputs_1_cmd_valid ), //o + .io_outputs_1_cmd_ready (memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_cmd_ready ), //i + .io_outputs_1_cmd_payload_write (core_dbus_decoder_io_outputs_1_cmd_payload_write ), //o + .io_outputs_1_cmd_payload_address (core_dbus_decoder_io_outputs_1_cmd_payload_address[31:0] ), //o + .io_outputs_1_cmd_payload_data (core_dbus_decoder_io_outputs_1_cmd_payload_data[31:0] ), //o + .io_outputs_1_cmd_payload_mask (core_dbus_decoder_io_outputs_1_cmd_payload_mask[3:0] ), //o + .io_outputs_1_rsp_valid (memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_rsp_valid ), //i + .io_outputs_1_rsp_payload_data (memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_rsp_payload_data[31:0] ), //i + .io_outputs_2_cmd_valid (core_dbus_decoder_io_outputs_2_cmd_valid ), //o + .io_outputs_2_cmd_ready (memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_cmd_ready ), //i + .io_outputs_2_cmd_payload_write (core_dbus_decoder_io_outputs_2_cmd_payload_write ), //o + .io_outputs_2_cmd_payload_address (core_dbus_decoder_io_outputs_2_cmd_payload_address[31:0] ), //o + .io_outputs_2_cmd_payload_data (core_dbus_decoder_io_outputs_2_cmd_payload_data[31:0] ), //o + .io_outputs_2_cmd_payload_mask (core_dbus_decoder_io_outputs_2_cmd_payload_mask[3:0] ), //o + .io_outputs_2_rsp_valid (memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_rsp_valid ), //i + .io_outputs_2_rsp_payload_data (memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_rsp_payload_data[31:0] ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + PipelinedMemoryBusDecoder_1_ core_ibus_decoder ( + .io_input_cmd_valid (core_ibus_cmd_valid ), //i + .io_input_cmd_ready (core_ibus_decoder_io_input_cmd_ready ), //o + .io_input_cmd_payload_write (core_ibus_cmd_payload_write ), //i + .io_input_cmd_payload_address (core_ibus_cmd_payload_address[31:0] ), //i + .io_input_cmd_payload_data (core_ibus_cmd_payload_data[31:0] ), //i + .io_input_cmd_payload_mask (core_ibus_cmd_payload_mask[3:0] ), //i + .io_input_rsp_valid (core_ibus_decoder_io_input_rsp_valid ), //o + .io_input_rsp_payload_data (core_ibus_decoder_io_input_rsp_payload_data[31:0] ), //o + .io_outputs_0_cmd_valid (core_ibus_decoder_io_outputs_0_cmd_valid ), //o + .io_outputs_0_cmd_ready (memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_cmd_ready ), //i + .io_outputs_0_cmd_payload_write (core_ibus_decoder_io_outputs_0_cmd_payload_write ), //o + .io_outputs_0_cmd_payload_address (core_ibus_decoder_io_outputs_0_cmd_payload_address[31:0] ), //o + .io_outputs_0_cmd_payload_data (core_ibus_decoder_io_outputs_0_cmd_payload_data[31:0] ), //o + .io_outputs_0_cmd_payload_mask (core_ibus_decoder_io_outputs_0_cmd_payload_mask[3:0] ), //o + .io_outputs_0_rsp_valid (memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_rsp_valid ), //i + .io_outputs_0_rsp_payload_data (memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_rsp_payload_data[31:0] ), //i + .io_outputs_1_cmd_valid (core_ibus_decoder_io_outputs_1_cmd_valid ), //o + .io_outputs_1_cmd_ready (memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_cmd_ready ), //i + .io_outputs_1_cmd_payload_write (core_ibus_decoder_io_outputs_1_cmd_payload_write ), //o + .io_outputs_1_cmd_payload_address (core_ibus_decoder_io_outputs_1_cmd_payload_address[31:0] ), //o + .io_outputs_1_cmd_payload_data (core_ibus_decoder_io_outputs_1_cmd_payload_data[31:0] ), //o + .io_outputs_1_cmd_payload_mask (core_ibus_decoder_io_outputs_1_cmd_payload_mask[3:0] ), //o + .io_outputs_1_rsp_valid (memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_rsp_valid ), //i + .io_outputs_1_rsp_payload_data (memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_rsp_payload_data[31:0] ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + PipelinedMemoryBusArbiter pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter ( + .io_inputs_0_cmd_valid (core_dbus_decoder_io_outputs_0_cmd_valid ), //i + .io_inputs_0_cmd_ready (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_cmd_ready ), //o + .io_inputs_0_cmd_payload_write (core_dbus_decoder_io_outputs_0_cmd_payload_write ), //i + .io_inputs_0_cmd_payload_address (core_dbus_decoder_io_outputs_0_cmd_payload_address[31:0] ), //i + .io_inputs_0_cmd_payload_data (core_dbus_decoder_io_outputs_0_cmd_payload_data[31:0] ), //i + .io_inputs_0_cmd_payload_mask (core_dbus_decoder_io_outputs_0_cmd_payload_mask[3:0] ), //i + .io_inputs_0_rsp_valid (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_rsp_valid ), //o + .io_inputs_0_rsp_payload_data (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_inputs_0_rsp_payload_data[31:0] ), //o + .io_output_cmd_valid (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_valid ), //o + .io_output_cmd_ready (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_cmd_ready ), //i + .io_output_cmd_payload_write (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_write ), //o + .io_output_cmd_payload_address (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_address[31:0] ), //o + .io_output_cmd_payload_data (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_data[31:0] ), //o + .io_output_cmd_payload_mask (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_arbiter_io_output_cmd_payload_mask[3:0] ), //o + .io_output_rsp_valid (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_rsp_valid ), //i + .io_output_rsp_payload_data (pipelinedMemoryBusToApbBridge_1__io_pipelinedMemoryBus_rsp_payload_data[31:0] ) //i + ); + PipelinedMemoryBusArbiter_1_ memory_ramBlocks_0_io_bus_arbiter ( + .io_inputs_0_cmd_valid (core_dbus_decoder_io_outputs_1_cmd_valid ), //i + .io_inputs_0_cmd_ready (memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_cmd_ready ), //o + .io_inputs_0_cmd_payload_write (core_dbus_decoder_io_outputs_1_cmd_payload_write ), //i + .io_inputs_0_cmd_payload_address (_zz_43_[15:0] ), //i + .io_inputs_0_cmd_payload_data (core_dbus_decoder_io_outputs_1_cmd_payload_data[31:0] ), //i + .io_inputs_0_cmd_payload_mask (core_dbus_decoder_io_outputs_1_cmd_payload_mask[3:0] ), //i + .io_inputs_0_rsp_valid (memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_rsp_valid ), //o + .io_inputs_0_rsp_payload_data (memory_ramBlocks_0_io_bus_arbiter_io_inputs_0_rsp_payload_data[31:0] ), //o + .io_inputs_1_cmd_valid (core_ibus_decoder_io_outputs_0_cmd_valid ), //i + .io_inputs_1_cmd_ready (memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_cmd_ready ), //o + .io_inputs_1_cmd_payload_write (core_ibus_decoder_io_outputs_0_cmd_payload_write ), //i + .io_inputs_1_cmd_payload_address (_zz_44_[15:0] ), //i + .io_inputs_1_cmd_payload_data (core_ibus_decoder_io_outputs_0_cmd_payload_data[31:0] ), //i + .io_inputs_1_cmd_payload_mask (core_ibus_decoder_io_outputs_0_cmd_payload_mask[3:0] ), //i + .io_inputs_1_rsp_valid (memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_rsp_valid ), //o + .io_inputs_1_rsp_payload_data (memory_ramBlocks_0_io_bus_arbiter_io_inputs_1_rsp_payload_data[31:0] ), //o + .io_output_cmd_valid (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_valid ), //o + .io_output_cmd_ready (memory_ramBlocks_0_io_bus_cmd_ready ), //i + .io_output_cmd_payload_write (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_write ), //o + .io_output_cmd_payload_address (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_address[15:0] ), //o + .io_output_cmd_payload_data (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_data[31:0] ), //o + .io_output_cmd_payload_mask (memory_ramBlocks_0_io_bus_arbiter_io_output_cmd_payload_mask[3:0] ), //o + .io_output_rsp_valid (memory_ramBlocks_0_io_bus_rsp_valid ), //i + .io_output_rsp_payload_data (memory_ramBlocks_0_io_bus_rsp_payload_data[31:0] ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + PipelinedMemoryBusArbiter_1_ memory_ramBlocks_1_io_bus_arbiter ( + .io_inputs_0_cmd_valid (core_dbus_decoder_io_outputs_2_cmd_valid ), //i + .io_inputs_0_cmd_ready (memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_cmd_ready ), //o + .io_inputs_0_cmd_payload_write (core_dbus_decoder_io_outputs_2_cmd_payload_write ), //i + .io_inputs_0_cmd_payload_address (_zz_45_[15:0] ), //i + .io_inputs_0_cmd_payload_data (core_dbus_decoder_io_outputs_2_cmd_payload_data[31:0] ), //i + .io_inputs_0_cmd_payload_mask (core_dbus_decoder_io_outputs_2_cmd_payload_mask[3:0] ), //i + .io_inputs_0_rsp_valid (memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_rsp_valid ), //o + .io_inputs_0_rsp_payload_data (memory_ramBlocks_1_io_bus_arbiter_io_inputs_0_rsp_payload_data[31:0] ), //o + .io_inputs_1_cmd_valid (core_ibus_decoder_io_outputs_1_cmd_valid ), //i + .io_inputs_1_cmd_ready (memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_cmd_ready ), //o + .io_inputs_1_cmd_payload_write (core_ibus_decoder_io_outputs_1_cmd_payload_write ), //i + .io_inputs_1_cmd_payload_address (_zz_46_[15:0] ), //i + .io_inputs_1_cmd_payload_data (core_ibus_decoder_io_outputs_1_cmd_payload_data[31:0] ), //i + .io_inputs_1_cmd_payload_mask (core_ibus_decoder_io_outputs_1_cmd_payload_mask[3:0] ), //i + .io_inputs_1_rsp_valid (memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_rsp_valid ), //o + .io_inputs_1_rsp_payload_data (memory_ramBlocks_1_io_bus_arbiter_io_inputs_1_rsp_payload_data[31:0] ), //o + .io_output_cmd_valid (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_valid ), //o + .io_output_cmd_ready (memory_ramBlocks_1_io_bus_cmd_ready ), //i + .io_output_cmd_payload_write (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_write ), //o + .io_output_cmd_payload_address (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_address[15:0] ), //o + .io_output_cmd_payload_data (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_data[31:0] ), //o + .io_output_cmd_payload_mask (memory_ramBlocks_1_io_bus_arbiter_io_output_cmd_payload_mask[3:0] ), //o + .io_output_rsp_valid (memory_ramBlocks_1_io_bus_rsp_valid ), //i + .io_output_rsp_payload_data (memory_ramBlocks_1_io_bus_rsp_payload_data[31:0] ), //i + .mainClock (mainClock ), //i + .resetCtrl_systemClockReset (resetCtrl_systemClockReset ) //i + ); + assign resetCtrl_bufferedReset = asyncReset_buffercc_io_dataOut; + always @ (*) begin + core_timerInterrupt = 1'b0; + if(muraxApb3Timer_1__io_interrupt)begin + core_timerInterrupt = 1'b1; + end + end + + always @ (*) begin + core_externalInterrupt = 1'b0; + if(apb3UartCtrl_1__io_interrupt)begin + core_externalInterrupt = 1'b1; + end + end + + assign _zz_1_ = core_cpu_iBus_cmd_valid; + assign _zz_3_ = core_cpu_iBus_cmd_payload_pc; + assign _zz_36_ = 1'b0; + assign _zz_2_ = (! _zz_5_); + assign _zz_4_ = ((1'b1 && (! _zz_10_)) || core_ibus_cmd_ready); + assign _zz_10_ = _zz_11_; + assign core_ibus_cmd_valid = _zz_10_; + assign core_ibus_cmd_payload_write = _zz_12_; + assign core_ibus_cmd_payload_address = _zz_13_; + assign core_ibus_cmd_payload_data = _zz_14_; + assign core_ibus_cmd_payload_mask = _zz_15_; + assign _zz_16_ = core_cpu_dBus_cmd_valid; + assign _zz_18_ = core_cpu_dBus_cmd_payload_wr; + assign _zz_19_ = core_cpu_dBus_cmd_payload_address; + assign _zz_20_ = core_cpu_dBus_cmd_payload_data; + always @ (*) begin + case(core_cpu_dBus_cmd_payload_size) + 2'b00 : begin + _zz_22_ = (4'b0001); + end + 2'b01 : begin + _zz_22_ = (4'b0011); + end + default : begin + _zz_22_ = (4'b1111); + end + endcase + end + + assign _zz_21_ = (_zz_22_ <<< core_cpu_dBus_cmd_payload_address[1 : 0]); + assign _zz_17_ = (! _zz_24_); + assign _zz_23_ = ((1'b1 && (! _zz_29_)) || core_dbus_cmd_ready); + assign _zz_29_ = _zz_30_; + assign core_dbus_cmd_valid = _zz_29_; + assign core_dbus_cmd_payload_write = _zz_31_; + assign core_dbus_cmd_payload_address = _zz_32_; + assign core_dbus_cmd_payload_data = _zz_33_; + assign core_dbus_cmd_payload_mask = _zz_34_; + assign _zz_39_ = 1'b0; + assign _zz_38_ = systemDebugger_1__io_mem_cmd_payload_address[7:0]; + assign _zz_40_ = apb3Router_1__io_outputs_0_PADDR[4:0]; + assign _zz_42_ = 1'b0; + assign _zz_41_ = apb3Router_1__io_outputs_1_PADDR[7:0]; + assign asyncReset = io_asyncReset; + assign mainClock = io_mainClock; + assign io_uart_txd = apb3UartCtrl_1__io_uart_txd; + assign io_jtag_tdo = jtagBridge_1__io_jtag_tdo; + assign core_dbus_cmd_ready = core_dbus_decoder_io_input_cmd_ready; + assign core_dbus_rsp_valid = core_dbus_decoder_io_input_rsp_valid; + assign core_dbus_rsp_payload_data = core_dbus_decoder_io_input_rsp_payload_data; + assign core_ibus_cmd_ready = core_ibus_decoder_io_input_cmd_ready; + assign core_ibus_rsp_valid = core_ibus_decoder_io_input_rsp_valid; + assign core_ibus_rsp_payload_data = core_ibus_decoder_io_input_rsp_payload_data; + assign _zz_43_ = core_dbus_decoder_io_outputs_1_cmd_payload_address[15:0]; + assign _zz_45_ = core_dbus_decoder_io_outputs_2_cmd_payload_address[15:0]; + assign _zz_44_ = core_ibus_decoder_io_outputs_0_cmd_payload_address[15:0]; + assign _zz_46_ = core_ibus_decoder_io_outputs_1_cmd_payload_address[15:0]; + assign _zz_37_ = 1'b0; + always @ (posedge mainClock) begin + resetCtrl_mainClockReset <= resetCtrl_bufferedReset; + resetCtrl_systemClockReset <= resetCtrl_bufferedReset; + if(core_cpu_debug_resetOut_regNext)begin + resetCtrl_systemClockReset <= 1'b1; + end + end + + always @ (posedge mainClock or posedge resetCtrl_systemClockReset) begin + if (resetCtrl_systemClockReset) begin + _zz_5_ <= 1'b0; + _zz_11_ <= 1'b0; + _zz_24_ <= 1'b0; + _zz_30_ <= 1'b0; + end else begin + if(_zz_4_)begin + _zz_5_ <= 1'b0; + end + if(_zz_47_)begin + _zz_5_ <= _zz_1_; + end + if(_zz_4_)begin + _zz_11_ <= (_zz_1_ || _zz_5_); + end + if(_zz_23_)begin + _zz_24_ <= 1'b0; + end + if(_zz_48_)begin + _zz_24_ <= _zz_16_; + end + if(_zz_23_)begin + _zz_30_ <= (_zz_16_ || _zz_24_); + end + end + end + + always @ (posedge mainClock) begin + if(_zz_47_)begin + _zz_6_ <= 1'b0; + _zz_7_ <= _zz_3_; + _zz_8_ <= 32'h0; + _zz_9_ <= (4'bxxxx); + end + if(_zz_4_)begin + _zz_12_ <= (_zz_5_ ? _zz_6_ : 1'b0); + _zz_13_ <= (_zz_5_ ? _zz_7_ : _zz_3_); + _zz_14_ <= (_zz_5_ ? _zz_8_ : 32'h0); + _zz_15_ <= (_zz_5_ ? _zz_9_ : (4'bxxxx)); + end + if(_zz_48_)begin + _zz_25_ <= _zz_18_; + _zz_26_ <= _zz_19_; + _zz_27_ <= _zz_20_; + _zz_28_ <= _zz_21_; + end + if(_zz_23_)begin + _zz_31_ <= (_zz_24_ ? _zz_25_ : _zz_18_); + _zz_32_ <= (_zz_24_ ? _zz_26_ : _zz_19_); + _zz_33_ <= (_zz_24_ ? _zz_27_ : _zz_20_); + _zz_34_ <= (_zz_24_ ? _zz_28_ : _zz_21_); + end + end + + always @ (posedge mainClock) begin + core_cpu_debug_resetOut_regNext <= core_cpu_debug_resetOut; + end + + always @ (posedge mainClock or posedge resetCtrl_mainClockReset) begin + if (resetCtrl_mainClockReset) begin + _zz_35_ <= 1'b0; + end else begin + _zz_35_ <= (systemDebugger_1__io_mem_cmd_valid && core_cpu_debug_bus_cmd_ready); + end + end + + +endmodule diff --git a/vexriscv/src/main/scala/mupq/MulPlugins.scala b/vexriscv/src/main/scala/quantumrisc/MulPlugins.scala similarity index 99% rename from vexriscv/src/main/scala/mupq/MulPlugins.scala rename to vexriscv/src/main/scala/quantumrisc/MulPlugins.scala index 568939d..7655527 100644 --- a/vexriscv/src/main/scala/mupq/MulPlugins.scala +++ b/vexriscv/src/main/scala/quantumrisc/MulPlugins.scala @@ -1,4 +1,4 @@ -package mupq +package quantumrisc import vexriscv._ import vexriscv.plugin._ diff --git a/vexriscv/src/main/scala/mupq/MyMem.scala b/vexriscv/src/main/scala/quantumrisc/MyMem.scala similarity index 98% rename from vexriscv/src/main/scala/mupq/MyMem.scala rename to vexriscv/src/main/scala/quantumrisc/MyMem.scala index 275e6df..e904ad8 100644 --- a/vexriscv/src/main/scala/mupq/MyMem.scala +++ b/vexriscv/src/main/scala/quantumrisc/MyMem.scala @@ -1,4 +1,4 @@ -package mupq +package quantumrisc import spinal.core._ import spinal.lib._ diff --git a/vexriscv/src/main/scala/mupq/PQVexRiscv.scala b/vexriscv/src/main/scala/quantumrisc/PQVexRiscv.scala similarity index 99% rename from vexriscv/src/main/scala/mupq/PQVexRiscv.scala rename to vexriscv/src/main/scala/quantumrisc/PQVexRiscv.scala index 98c326c..dccbfaf 100644 --- a/vexriscv/src/main/scala/mupq/PQVexRiscv.scala +++ b/vexriscv/src/main/scala/quantumrisc/PQVexRiscv.scala @@ -1,4 +1,4 @@ -package mupq +package quantumrisc import scala.collection.mutable.ArrayBuffer diff --git a/vexriscv/src/main/scala/mupq/PQVexRiscvSim.scala b/vexriscv/src/main/scala/quantumrisc/PQVexRiscvSim.scala similarity index 98% rename from vexriscv/src/main/scala/mupq/PQVexRiscvSim.scala rename to vexriscv/src/main/scala/quantumrisc/PQVexRiscvSim.scala index 9a15603..d08b326 100644 --- a/vexriscv/src/main/scala/mupq/PQVexRiscvSim.scala +++ b/vexriscv/src/main/scala/quantumrisc/PQVexRiscvSim.scala @@ -1,4 +1,4 @@ -package mupq +package quantumrisc import java.io.{File, FileInputStream, FileOutputStream, IOException, OutputStream} @@ -55,7 +55,7 @@ case class PipelinedMemoryBusRam(size : BigInt, initialContent : File = null) ex class PQVexRiscvSim( - val ramBlockSizes : Seq[BigInt] = Seq[BigInt](256 KiB, 128 KiB), + val ramBlockSizes : Seq[BigInt] = Seq[BigInt](64 KiB, 64 KiB), val initialContent : File = null, val coreFrequency : HertzNumber = 12 MHz, cpuPlugins : () => Seq[Plugin[VexRiscv]] = PQVexRiscv.withDSPMultiplier() diff --git a/vexriscv/src/main/scala/quantumrisc/PQVexRiscvUlx3s.scala b/vexriscv/src/main/scala/quantumrisc/PQVexRiscvUlx3s.scala new file mode 100644 index 0000000..593950c --- /dev/null +++ b/vexriscv/src/main/scala/quantumrisc/PQVexRiscvUlx3s.scala @@ -0,0 +1,98 @@ +package quantumrisc + +import java.io.{File, FileInputStream, FileOutputStream, IOException, OutputStream} + +import scopt.OptionParser + +import spinal.sim._ +import spinal.core._ +import spinal.lib._ +import spinal.core.sim._ + +import spinal.lib.bus.simple._ +import spinal.lib.bus.misc.SizeMapping +import spinal.lib.io.{TriStateArray, InOutWrapper} +import spinal.lib.com.jtag.Jtag +import spinal.lib.com.uart.Uart +import spinal.lib.com.jtag.sim.JtagTcp + +import vexriscv.VexRiscv +import vexriscv.plugin.Plugin + +case class PipelinedMemoryBusRamUlx3s(size : BigInt, initialContent : File = null) extends Component{ + require(size % 4 == 0, "Size must be multiple of 4 bytes") + require(size > 0, "Size must be greater than zero") + val busConfig = PipelinedMemoryBusConfig(log2Up(size), 32) + val io = new Bundle{ + val bus = slave(PipelinedMemoryBus(busConfig)) + } + + val ram = Mem(Bits(32 bits), size / 4) + io.bus.rsp.valid := RegNext(io.bus.cmd.fire && !io.bus.cmd.write) init(False) + io.bus.rsp.data := ram.readWriteSync( + address = io.bus.cmd.address >> 2, + data = io.bus.cmd.data, + enable = io.bus.cmd.valid, + write = io.bus.cmd.write, + mask = io.bus.cmd.mask + ) + io.bus.cmd.ready := True + + if (initialContent != null) { + val input = new FileInputStream(initialContent) + val initContent = Array.fill[BigInt](ram.wordCount)(0) + val fileContent = Array.ofDim[Byte](Seq(input.available, initContent.length * 4).min) + input.read(fileContent) + for ((byte, addr) <- fileContent.zipWithIndex) { + val l = java.lang.Byte.toUnsignedLong(byte) << ((addr & 3) * 8) + initContent(addr >> 2) |= BigInt(l) + } + ram.initBigInt(initContent) + } +} + + + + +class PQVexRiscvUlx3s( + val ramBlockSizes : Seq[BigInt] = Seq[BigInt](64 KiB, 64 KiB), + val initialContent : File = null, + val coreFrequency : HertzNumber = 25 MHz, + cpuPlugins : () => Seq[Plugin[VexRiscv]] = PQVexRiscv.withDSPMultiplier() +) extends PQVexRiscv( + cpuPlugins = cpuPlugins, + ibusRange = SizeMapping(0x80000000l, ramBlockSizes.reduce(_ + _)), + genTimer = true +) { + val io = new Bundle { + val asyncReset = in Bool + val mainClock = in Bool + val uart = master(Uart()) + val jtag = slave(Jtag()) + } + + asyncReset := io.asyncReset + mainClock := io.mainClock + + uart <> io.uart + jtag <> io.jtag + + val memory = new ClockingArea(systemClockDomain) { + val ramBlocks = ramBlockSizes.zipWithIndex.map(t => PipelinedMemoryBusRamUlx3s(t._1, if (t._2 == 0) initialContent else null)) + var curAddr : BigInt = 0x80000000l + for (block <- ramBlocks) { + busSlaves += block.io.bus -> SizeMapping(curAddr, block.size) + curAddr += block.size + } + } +} + + +object PQVexRiscvUlx3s { + def main(args: Array[String]) : Unit = { + SpinalConfig( + mode = Verilog + // targetDirectory = "rtl" + ).generate(new PQVexRiscvUlx3s).printPruned() + } +} diff --git a/vexriscv/tf2323h_openocd.cfg b/vexriscv/tf2323h_openocd.cfg new file mode 100644 index 0000000..2682caa --- /dev/null +++ b/vexriscv/tf2323h_openocd.cfg @@ -0,0 +1,19 @@ +# ---- FT232H Adapter ----- +interface ftdi +# source [find interface/ftdi/um232h.cfg] +# ftdi_serial "TN34GV0F" +ftdi_vid_pid 0x0403 0x6014 +ftdi_layout_init 0x0008 0x400b +adapter_khz 20000 +transport select jtag + + +# Adapt this to your favourite FTDI-based debugger +# source [find interface/ftdi/um232h.cfg] +# ftdi_serial "TN34GV0F" + +# The Murax target needs a YAML file, even if it is empty +set MURAX_CPU0_YAML cpu0.yaml + +# The Murax target should work for all PQVexRiscv based chips +source [find target/murax.cfg]